From 5433610d48bd02d3cda7e4b622fc863b3eca6a0b Mon Sep 17 00:00:00 2001 From: thfu <2779155576@qq.com> Date: Thu, 21 Nov 2024 14:50:01 +0800 Subject: [PATCH] =?UTF-8?q?=E8=BE=93=E5=87=BA8=E8=B7=AF=E8=BD=AC4=E8=B7=AF?= =?UTF-8?q?=E5=90=8E=E5=92=8C=E5=8E=9F=E6=9D=A5=E7=9A=848=E8=B7=AF?= =?UTF-8?q?=E8=BF=9B=E8=A1=8C=E6=AF=94=E8=BE=83=EF=BC=9B=20.m=E8=BE=93?= =?UTF-8?q?=E5=87=BA=E4=BA=8C=E8=BF=9B=E5=88=B6=E6=95=B0=EF=BC=8C=E6=96=B9?= =?UTF-8?q?=E4=BE=BF=E9=85=8D=E5=AF=84=E5=AD=98=E5=99=A8=EF=BC=9B=20?= =?UTF-8?q?=E5=B0=86z=5Fdsp=E7=BB=BC=E5=90=88=E6=88=90=E7=BD=91=E8=A1=A8?= =?UTF-8?q?=E7=94=A8=E4=BA=8Ez=E8=8A=AF=E7=89=87=E7=9A=84=E5=9C=A8?= =?UTF-8?q?=E7=8E=AF=E9=AA=8C=E8=AF=81?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit v04-script add hex output v04-z_dsp's netlist used to z_chip_top --- edfFile/z_dsp.edf | 89041 +++++++++++++++++++++++ rtl/z_dsp_wrapper.v => edfFile/z_dsp.v | 13 +- edfFile/z_dsp_en.edf | 14141 ++-- rtl/z_dsp.v | 20 +- rtl/z_dsp_en_Test.v | 18 +- script_m/TailCorr_IP_Test.m | 21 +- sim/files.f | 6 +- tb/DW_mult_pipe.v | 357 + 8 files changed, 96962 insertions(+), 6655 deletions(-) create mode 100644 edfFile/z_dsp.edf rename rtl/z_dsp_wrapper.v => edfFile/z_dsp.v (95%) create mode 100755 tb/DW_mult_pipe.v diff --git a/edfFile/z_dsp.edf b/edfFile/z_dsp.edf new file mode 100644 index 0000000..f1b38b9 --- /dev/null +++ b/edfFile/z_dsp.edf @@ -0,0 +1,89041 @@ +(edif z_dsp + (edifVersion 2 0 0) + (edifLevel 0) + (keywordMap (keywordLevel 0)) + (status + (written + (timeStamp 2024 11 23 21 37 46) + (author "Synopsys, Inc.") + (program "Synplify Premier" (version "K-2015.09, mapper maprc, Build 2950R")) + ) + ) + (library UNILIB + (edifLevel 0) + (technology (numberDefinition )) + (cell LD (cellType GENERIC) + (view PRIM (viewType NETLIST) + (interface + (port Q (direction OUTPUT)) + (port D (direction INPUT)) + (port G (direction INPUT)) + ) + ) + ) + (cell FDRE (cellType GENERIC) + (view PRIM (viewType NETLIST) + (interface + (port Q (direction OUTPUT)) + (port D (direction INPUT)) + (port C (direction INPUT)) + (port R (direction INPUT)) + (port CE (direction INPUT)) + ) + ) + ) + (cell FDC (cellType GENERIC) + (view PRIM (viewType NETLIST) + (interface + (port Q (direction OUTPUT)) + (port D (direction INPUT)) + (port C (direction INPUT)) + (port CLR (direction INPUT)) + ) + ) + ) + (cell FDE (cellType GENERIC) + (view PRIM (viewType NETLIST) + (interface + (port Q (direction OUTPUT)) + (port D (direction INPUT)) + (port C (direction INPUT)) + (port CE (direction INPUT)) + ) + ) + ) + (cell FDCE (cellType GENERIC) + (view PRIM (viewType NETLIST) + (interface + (port Q (direction OUTPUT)) + (port D (direction INPUT)) + (port C (direction INPUT)) + (port CLR (direction INPUT)) + (port CE (direction INPUT)) + ) + ) + ) + (cell GND (cellType GENERIC) + (view PRIM (viewType NETLIST) + (interface + (port G (direction OUTPUT)) + ) + ) + ) + (cell VCC (cellType GENERIC) + (view PRIM (viewType NETLIST) + (interface + (port P (direction OUTPUT)) + ) + ) + ) + ) + (library VIRTEX + (edifLevel 0) + (technology (numberDefinition )) + (cell SRL16E (cellType GENERIC) + (view PRIM (viewType NETLIST) + (interface + (port Q (direction OUTPUT)) + (port A0 (direction INPUT)) + (port A1 (direction INPUT)) + (port A2 (direction INPUT)) + (port A3 (direction INPUT)) + (port D (direction INPUT)) + (port CLK (direction INPUT)) + (port CE (direction INPUT)) + ) + ) + ) + (cell LUT4_L (cellType GENERIC) + (view PRIM (viewType NETLIST) + (interface + (port I0 (direction INPUT)) + (port I1 (direction INPUT)) + (port I2 (direction INPUT)) + (port I3 (direction INPUT)) + (port LO (direction OUTPUT)) + ) + ) + ) + (cell LUT4 (cellType GENERIC) + (view PRIM (viewType NETLIST) + (interface + (port I0 (direction INPUT)) + (port I1 (direction INPUT)) + (port I2 (direction INPUT)) + (port I3 (direction INPUT)) + (port O (direction OUTPUT)) + ) + ) + ) + (cell LUT3_L (cellType GENERIC) + (view PRIM (viewType NETLIST) + (interface + (port I0 (direction INPUT)) + (port I1 (direction INPUT)) + (port I2 (direction INPUT)) + (port LO (direction OUTPUT)) + ) + ) + ) + (cell LUT3 (cellType GENERIC) + (view PRIM (viewType NETLIST) + (interface + (port I0 (direction INPUT)) + (port I1 (direction INPUT)) + (port I2 (direction INPUT)) + (port O (direction OUTPUT)) + ) + ) + ) + (cell LUT2_L (cellType GENERIC) + (view PRIM (viewType NETLIST) + (interface + (port I0 (direction INPUT)) + (port I1 (direction INPUT)) + (port LO (direction OUTPUT)) + ) + ) + ) + (cell LUT2 (cellType GENERIC) + (view PRIM (viewType NETLIST) + (interface + (port I0 (direction INPUT)) + (port I1 (direction INPUT)) + (port O (direction OUTPUT)) + ) + ) + ) + (cell LUT1_L (cellType GENERIC) + (view PRIM (viewType NETLIST) + (interface + (port I0 (direction INPUT)) + (port LO (direction OUTPUT)) + ) + ) + ) + (cell LUT1 (cellType GENERIC) + (view PRIM (viewType NETLIST) + (interface + (port I0 (direction INPUT)) + (port O (direction OUTPUT)) + ) + ) + ) + (cell XORCY (cellType GENERIC) + (view PRIM (viewType NETLIST) + (interface + (port LI (direction INPUT)) + (port CI (direction INPUT)) + (port O (direction OUTPUT)) + ) + ) + ) + (cell MUXCY (cellType GENERIC) + (view PRIM (viewType NETLIST) + (interface + (port DI (direction INPUT)) + (port CI (direction INPUT)) + (port S (direction INPUT)) + (port O (direction OUTPUT)) + ) + ) + ) + (cell BUFG (cellType GENERIC) + (view PRIM (viewType NETLIST) + (interface + (port I (direction INPUT)) + (port O (direction OUTPUT)) + ) + ) + ) + ) + (library VIRTEXR + (edifLevel 0) + (technology (numberDefinition )) + (cell LUT6_L (cellType GENERIC) + (view PRIM (viewType NETLIST) + (interface + (port I0 (direction INPUT)) + (port I1 (direction INPUT)) + (port I2 (direction INPUT)) + (port I3 (direction INPUT)) + (port I4 (direction INPUT)) + (port I5 (direction INPUT)) + (port LO (direction OUTPUT)) + ) + ) + ) + (cell LUT6 (cellType GENERIC) + (view PRIM (viewType NETLIST) + (interface + (port I0 (direction INPUT)) + (port I1 (direction INPUT)) + (port I2 (direction INPUT)) + (port I3 (direction INPUT)) + (port I4 (direction INPUT)) + (port I5 (direction INPUT)) + (port O (direction OUTPUT)) + ) + ) + ) + (cell LUT5_L (cellType GENERIC) + (view PRIM (viewType NETLIST) + (interface + (port I0 (direction INPUT)) + (port I1 (direction INPUT)) + (port I2 (direction INPUT)) + (port I3 (direction INPUT)) + (port I4 (direction INPUT)) + (port LO (direction OUTPUT)) + ) + ) + ) + (cell LUT5 (cellType GENERIC) + (view PRIM (viewType NETLIST) + (interface + (port I0 (direction INPUT)) + (port I1 (direction INPUT)) + (port I2 (direction INPUT)) + (port I3 (direction INPUT)) + (port I4 (direction INPUT)) + (port O (direction OUTPUT)) + ) + ) + ) + (cell DSP48E2 (cellType GENERIC) + (view PRIM (viewType NETLIST) + (interface + (port (array (rename ACOUT "ACOUT[29:0]") 30) (direction OUTPUT)) + (port (array (rename BCOUT "BCOUT[17:0]") 18) (direction OUTPUT)) + (port (array (rename CARRYOUT "CARRYOUT[3:0]") 4) (direction OUTPUT)) + (port (array (rename P "P[47:0]") 48) (direction OUTPUT)) + (port (array (rename PCOUT "PCOUT[47:0]") 48) (direction OUTPUT)) + (port (array (rename XOROUT "XOROUT[7:0]") 8) (direction OUTPUT)) + (port (array (rename A "A[29:0]") 30) (direction INPUT)) + (port (array (rename ACIN "ACIN[29:0]") 30) (direction INPUT)) + (port (array (rename ALUMODE "ALUMODE[3:0]") 4) (direction INPUT)) + (port (array (rename B "B[17:0]") 18) (direction INPUT)) + (port (array (rename BCIN "BCIN[17:0]") 18) (direction INPUT)) + (port (array (rename C "C[47:0]") 48) (direction INPUT)) + (port (array (rename CARRYINSEL "CARRYINSEL[2:0]") 3) (direction INPUT)) + (port (array (rename D "D[26:0]") 27) (direction INPUT)) + (port (array (rename INMODE "INMODE[4:0]") 5) (direction INPUT)) + (port (array (rename OPMODE "OPMODE[8:0]") 9) (direction INPUT)) + (port (array (rename PCIN "PCIN[47:0]") 48) (direction INPUT)) + (port CARRYCASCOUT (direction OUTPUT)) + (port MULTSIGNOUT (direction OUTPUT)) + (port OVERFLOW (direction OUTPUT)) + (port PATTERNBDETECT (direction OUTPUT)) + (port PATTERNDETECT (direction OUTPUT)) + (port UNDERFLOW (direction OUTPUT)) + (port CARRYCASCIN (direction INPUT)) + (port CARRYIN (direction INPUT)) + (port CEA1 (direction INPUT)) + (port CEA2 (direction INPUT)) + (port CEAD (direction INPUT)) + (port CEALUMODE (direction INPUT)) + (port CEB1 (direction INPUT)) + (port CEB2 (direction INPUT)) + (port CEC (direction INPUT)) + (port CECARRYIN (direction INPUT)) + (port CECTRL (direction INPUT)) + (port CED (direction INPUT)) + (port CEINMODE (direction INPUT)) + (port CEM (direction INPUT)) + (port CEP (direction INPUT)) + (port CLK (direction INPUT)) + (port MULTSIGNIN (direction INPUT)) + (port RSTA (direction INPUT)) + (port RSTALLCARRYIN (direction INPUT)) + (port RSTALUMODE (direction INPUT)) + (port RSTB (direction INPUT)) + (port RSTC (direction INPUT)) + (port RSTCTRL (direction INPUT)) + (port RSTD (direction INPUT)) + (port RSTINMODE (direction INPUT)) + (port RSTM (direction INPUT)) + (port RSTP (direction INPUT)) + ) + ) + ) + (cell CARRY4 (cellType GENERIC) + (view PRIM (viewType NETLIST) + (interface + (port (array (rename CO "CO[3:0]") 4) (direction OUTPUT)) + (port (array (rename O "O[3:0]") 4) (direction OUTPUT)) + (port (array (rename DI "DI[3:0]") 4) (direction INPUT)) + (port (array (rename S "S[3:0]") 4) (direction INPUT)) + (port CI (direction INPUT)) + (port CYINIT (direction INPUT)) + ) + ) + ) + ) + (library dw01 + (edifLevel 0) + (technology (numberDefinition )) + (cell DW01_inc_36_4 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(66:31)") 36) (direction INPUT)) + (port (array (rename feedback_inc_data "feedback_inc_data(35:1)") 35) (direction OUTPUT)) + ) + (contents + (instance un4_sum_axb_35 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_34 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_33 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_32 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_31 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_30 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_29 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_28 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_27 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_26 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_25 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_24 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_23 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_22 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_21 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_20 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_19 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_18 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_17 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_16 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_15 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_14 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_13 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_12 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_11 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_10 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_9 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_8 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_7 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_6 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_5 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_4 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_3 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_2 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_1 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_cry_4 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + (property xcn_c4chain_base (integer 1)) + ) + (instance un4_sum_cry_8 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un4_sum_cry_12 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un4_sum_cry_16 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un4_sum_cry_20 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un4_sum_cry_24 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un4_sum_cry_28 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un4_sum_cry_32 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un4_sum_s_35 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance GND (viewRef PRIM (cellRef GND (libraryRef UNILIB))) ) + (net (rename un1_psum0_signed_66 "un1_psum0_signed(66)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I0 (instanceRef un4_sum_axb_35)) + )) + (net (rename un4_sum_axbZ0Z_35 "un4_sum_axb_35") (joined + (portRef O (instanceRef un4_sum_axb_35)) + (portRef (member S 1) (instanceRef un4_sum_s_35)) + )) + (net (rename un1_psum0_signed_65 "un1_psum0_signed(65)") (joined + (portRef (member un1_psum0_signed 1)) + (portRef I0 (instanceRef un4_sum_axb_34)) + )) + (net (rename un4_sum_axbZ0Z_34 "un4_sum_axb_34") (joined + (portRef O (instanceRef un4_sum_axb_34)) + (portRef (member S 2) (instanceRef un4_sum_s_35)) + )) + (net (rename un1_psum0_signed_64 "un1_psum0_signed(64)") (joined + (portRef (member un1_psum0_signed 2)) + (portRef I0 (instanceRef un4_sum_axb_33)) + )) + (net (rename un4_sum_axbZ0Z_33 "un4_sum_axb_33") (joined + (portRef O (instanceRef un4_sum_axb_33)) + (portRef (member S 3) (instanceRef un4_sum_s_35)) + )) + (net (rename un1_psum0_signed_63 "un1_psum0_signed(63)") (joined + (portRef (member un1_psum0_signed 3)) + (portRef I0 (instanceRef un4_sum_axb_32)) + )) + (net (rename un4_sum_axbZ0Z_32 "un4_sum_axb_32") (joined + (portRef O (instanceRef un4_sum_axb_32)) + (portRef (member S 0) (instanceRef un4_sum_cry_32)) + )) + (net (rename un1_psum0_signed_62 "un1_psum0_signed(62)") (joined + (portRef (member un1_psum0_signed 4)) + (portRef I0 (instanceRef un4_sum_axb_31)) + )) + (net (rename un4_sum_axbZ0Z_31 "un4_sum_axb_31") (joined + (portRef O (instanceRef un4_sum_axb_31)) + (portRef (member S 1) (instanceRef un4_sum_cry_32)) + )) + (net (rename un1_psum0_signed_61 "un1_psum0_signed(61)") (joined + (portRef (member un1_psum0_signed 5)) + (portRef I0 (instanceRef un4_sum_axb_30)) + )) + (net (rename un4_sum_axbZ0Z_30 "un4_sum_axb_30") (joined + (portRef O (instanceRef un4_sum_axb_30)) + (portRef (member S 2) (instanceRef un4_sum_cry_32)) + )) + (net (rename un1_psum0_signed_60 "un1_psum0_signed(60)") (joined + (portRef (member un1_psum0_signed 6)) + (portRef I0 (instanceRef un4_sum_axb_29)) + )) + (net (rename un4_sum_axbZ0Z_29 "un4_sum_axb_29") (joined + (portRef O (instanceRef un4_sum_axb_29)) + (portRef (member S 3) (instanceRef un4_sum_cry_32)) + )) + (net (rename un1_psum0_signed_59 "un1_psum0_signed(59)") (joined + (portRef (member un1_psum0_signed 7)) + (portRef I0 (instanceRef un4_sum_axb_28)) + )) + (net (rename un4_sum_axbZ0Z_28 "un4_sum_axb_28") (joined + (portRef O (instanceRef un4_sum_axb_28)) + (portRef (member S 0) (instanceRef un4_sum_cry_28)) + )) + (net (rename un1_psum0_signed_58 "un1_psum0_signed(58)") (joined + (portRef (member un1_psum0_signed 8)) + (portRef I0 (instanceRef un4_sum_axb_27)) + )) + (net (rename un4_sum_axbZ0Z_27 "un4_sum_axb_27") (joined + (portRef O (instanceRef un4_sum_axb_27)) + (portRef (member S 1) (instanceRef un4_sum_cry_28)) + )) + (net (rename un1_psum0_signed_57 "un1_psum0_signed(57)") (joined + (portRef (member un1_psum0_signed 9)) + (portRef I0 (instanceRef un4_sum_axb_26)) + )) + (net (rename un4_sum_axbZ0Z_26 "un4_sum_axb_26") (joined + (portRef O (instanceRef un4_sum_axb_26)) + (portRef (member S 2) (instanceRef un4_sum_cry_28)) + )) + (net (rename un1_psum0_signed_56 "un1_psum0_signed(56)") (joined + (portRef (member un1_psum0_signed 10)) + (portRef I0 (instanceRef un4_sum_axb_25)) + )) + (net (rename un4_sum_axbZ0Z_25 "un4_sum_axb_25") (joined + (portRef O (instanceRef un4_sum_axb_25)) + (portRef (member S 3) (instanceRef un4_sum_cry_28)) + )) + (net (rename un1_psum0_signed_55 "un1_psum0_signed(55)") (joined + (portRef (member un1_psum0_signed 11)) + (portRef I0 (instanceRef un4_sum_axb_24)) + )) + (net (rename un4_sum_axbZ0Z_24 "un4_sum_axb_24") (joined + (portRef O (instanceRef un4_sum_axb_24)) + (portRef (member S 0) (instanceRef un4_sum_cry_24)) + )) + (net (rename un1_psum0_signed_54 "un1_psum0_signed(54)") (joined + (portRef (member un1_psum0_signed 12)) + (portRef I0 (instanceRef un4_sum_axb_23)) + )) + (net (rename un4_sum_axbZ0Z_23 "un4_sum_axb_23") (joined + (portRef O (instanceRef un4_sum_axb_23)) + (portRef (member S 1) (instanceRef un4_sum_cry_24)) + )) + (net (rename un1_psum0_signed_53 "un1_psum0_signed(53)") (joined + (portRef (member un1_psum0_signed 13)) + (portRef I0 (instanceRef un4_sum_axb_22)) + )) + (net (rename un4_sum_axbZ0Z_22 "un4_sum_axb_22") (joined + (portRef O (instanceRef un4_sum_axb_22)) + (portRef (member S 2) (instanceRef un4_sum_cry_24)) + )) + (net (rename un1_psum0_signed_52 "un1_psum0_signed(52)") (joined + (portRef (member un1_psum0_signed 14)) + (portRef I0 (instanceRef un4_sum_axb_21)) + )) + (net (rename un4_sum_axbZ0Z_21 "un4_sum_axb_21") (joined + (portRef O (instanceRef un4_sum_axb_21)) + (portRef (member S 3) (instanceRef un4_sum_cry_24)) + )) + (net (rename un1_psum0_signed_51 "un1_psum0_signed(51)") (joined + (portRef (member un1_psum0_signed 15)) + (portRef I0 (instanceRef un4_sum_axb_20)) + )) + (net (rename un4_sum_axbZ0Z_20 "un4_sum_axb_20") (joined + (portRef O (instanceRef un4_sum_axb_20)) + (portRef (member S 0) (instanceRef un4_sum_cry_20)) + )) + (net (rename un1_psum0_signed_50 "un1_psum0_signed(50)") (joined + (portRef (member un1_psum0_signed 16)) + (portRef I0 (instanceRef un4_sum_axb_19)) + )) + (net (rename un4_sum_axbZ0Z_19 "un4_sum_axb_19") (joined + (portRef O (instanceRef un4_sum_axb_19)) + (portRef (member S 1) (instanceRef un4_sum_cry_20)) + )) + (net (rename un1_psum0_signed_49 "un1_psum0_signed(49)") (joined + (portRef (member un1_psum0_signed 17)) + (portRef I0 (instanceRef un4_sum_axb_18)) + )) + (net (rename un4_sum_axbZ0Z_18 "un4_sum_axb_18") (joined + (portRef O (instanceRef un4_sum_axb_18)) + (portRef (member S 2) (instanceRef un4_sum_cry_20)) + )) + (net (rename un1_psum0_signed_48 "un1_psum0_signed(48)") (joined + (portRef (member un1_psum0_signed 18)) + (portRef I0 (instanceRef un4_sum_axb_17)) + )) + (net (rename un4_sum_axbZ0Z_17 "un4_sum_axb_17") (joined + (portRef O (instanceRef un4_sum_axb_17)) + (portRef (member S 3) (instanceRef un4_sum_cry_20)) + )) + (net (rename un1_psum0_signed_47 "un1_psum0_signed(47)") (joined + (portRef (member un1_psum0_signed 19)) + (portRef I0 (instanceRef un4_sum_axb_16)) + )) + (net (rename un4_sum_axbZ0Z_16 "un4_sum_axb_16") (joined + (portRef O (instanceRef un4_sum_axb_16)) + (portRef (member S 0) (instanceRef un4_sum_cry_16)) + )) + (net (rename un1_psum0_signed_46 "un1_psum0_signed(46)") (joined + (portRef (member un1_psum0_signed 20)) + (portRef I0 (instanceRef un4_sum_axb_15)) + )) + (net (rename un4_sum_axbZ0Z_15 "un4_sum_axb_15") (joined + (portRef O (instanceRef un4_sum_axb_15)) + (portRef (member S 1) (instanceRef un4_sum_cry_16)) + )) + (net (rename un1_psum0_signed_45 "un1_psum0_signed(45)") (joined + (portRef (member un1_psum0_signed 21)) + (portRef I0 (instanceRef un4_sum_axb_14)) + )) + (net (rename un4_sum_axbZ0Z_14 "un4_sum_axb_14") (joined + (portRef O (instanceRef un4_sum_axb_14)) + (portRef (member S 2) (instanceRef un4_sum_cry_16)) + )) + (net (rename un1_psum0_signed_44 "un1_psum0_signed(44)") (joined + (portRef (member un1_psum0_signed 22)) + (portRef I0 (instanceRef un4_sum_axb_13)) + )) + (net (rename un4_sum_axbZ0Z_13 "un4_sum_axb_13") (joined + (portRef O (instanceRef un4_sum_axb_13)) + (portRef (member S 3) (instanceRef un4_sum_cry_16)) + )) + (net (rename un1_psum0_signed_43 "un1_psum0_signed(43)") (joined + (portRef (member un1_psum0_signed 23)) + (portRef I0 (instanceRef un4_sum_axb_12)) + )) + (net (rename un4_sum_axbZ0Z_12 "un4_sum_axb_12") (joined + (portRef O (instanceRef un4_sum_axb_12)) + (portRef (member S 0) (instanceRef un4_sum_cry_12)) + )) + (net (rename un1_psum0_signed_42 "un1_psum0_signed(42)") (joined + (portRef (member un1_psum0_signed 24)) + (portRef I0 (instanceRef un4_sum_axb_11)) + )) + (net (rename un4_sum_axbZ0Z_11 "un4_sum_axb_11") (joined + (portRef O (instanceRef un4_sum_axb_11)) + (portRef (member S 1) (instanceRef un4_sum_cry_12)) + )) + (net (rename un1_psum0_signed_41 "un1_psum0_signed(41)") (joined + (portRef (member un1_psum0_signed 25)) + (portRef I0 (instanceRef un4_sum_axb_10)) + )) + (net (rename un4_sum_axbZ0Z_10 "un4_sum_axb_10") (joined + (portRef O (instanceRef un4_sum_axb_10)) + (portRef (member S 2) (instanceRef un4_sum_cry_12)) + )) + (net (rename un1_psum0_signed_40 "un1_psum0_signed(40)") (joined + (portRef (member un1_psum0_signed 26)) + (portRef I0 (instanceRef un4_sum_axb_9)) + )) + (net (rename un4_sum_axbZ0Z_9 "un4_sum_axb_9") (joined + (portRef O (instanceRef un4_sum_axb_9)) + (portRef (member S 3) (instanceRef un4_sum_cry_12)) + )) + (net (rename un1_psum0_signed_39 "un1_psum0_signed(39)") (joined + (portRef (member un1_psum0_signed 27)) + (portRef I0 (instanceRef un4_sum_axb_8)) + )) + (net (rename un4_sum_axbZ0Z_8 "un4_sum_axb_8") (joined + (portRef O (instanceRef un4_sum_axb_8)) + (portRef (member S 0) (instanceRef un4_sum_cry_8)) + )) + (net (rename un1_psum0_signed_38 "un1_psum0_signed(38)") (joined + (portRef (member un1_psum0_signed 28)) + (portRef I0 (instanceRef un4_sum_axb_7)) + )) + (net (rename un4_sum_axbZ0Z_7 "un4_sum_axb_7") (joined + (portRef O (instanceRef un4_sum_axb_7)) + (portRef (member S 1) (instanceRef un4_sum_cry_8)) + )) + (net (rename un1_psum0_signed_37 "un1_psum0_signed(37)") (joined + (portRef (member un1_psum0_signed 29)) + (portRef I0 (instanceRef un4_sum_axb_6)) + )) + (net (rename un4_sum_axbZ0Z_6 "un4_sum_axb_6") (joined + (portRef O (instanceRef un4_sum_axb_6)) + (portRef (member S 2) (instanceRef un4_sum_cry_8)) + )) + (net (rename un1_psum0_signed_36 "un1_psum0_signed(36)") (joined + (portRef (member un1_psum0_signed 30)) + (portRef I0 (instanceRef un4_sum_axb_5)) + )) + (net (rename un4_sum_axbZ0Z_5 "un4_sum_axb_5") (joined + (portRef O (instanceRef un4_sum_axb_5)) + (portRef (member S 3) (instanceRef un4_sum_cry_8)) + )) + (net (rename un1_psum0_signed_35 "un1_psum0_signed(35)") (joined + (portRef (member un1_psum0_signed 31)) + (portRef I0 (instanceRef un4_sum_axb_4)) + )) + (net (rename un4_sum_axbZ0Z_4 "un4_sum_axb_4") (joined + (portRef O (instanceRef un4_sum_axb_4)) + (portRef (member S 0) (instanceRef un4_sum_cry_4)) + )) + (net (rename un1_psum0_signed_34 "un1_psum0_signed(34)") (joined + (portRef (member un1_psum0_signed 32)) + (portRef I0 (instanceRef un4_sum_axb_3)) + )) + (net (rename un4_sum_axbZ0Z_3 "un4_sum_axb_3") (joined + (portRef O (instanceRef un4_sum_axb_3)) + (portRef (member S 1) (instanceRef un4_sum_cry_4)) + )) + (net (rename un1_psum0_signed_33 "un1_psum0_signed(33)") (joined + (portRef (member un1_psum0_signed 33)) + (portRef I0 (instanceRef un4_sum_axb_2)) + )) + (net (rename un4_sum_axbZ0Z_2 "un4_sum_axb_2") (joined + (portRef O (instanceRef un4_sum_axb_2)) + (portRef (member S 2) (instanceRef un4_sum_cry_4)) + )) + (net (rename un1_psum0_signed_32 "un1_psum0_signed(32)") (joined + (portRef (member un1_psum0_signed 34)) + (portRef I0 (instanceRef un4_sum_axb_1)) + )) + (net (rename un4_sum_axbZ0Z_1 "un4_sum_axb_1") (joined + (portRef O (instanceRef un4_sum_axb_1)) + (portRef (member S 3) (instanceRef un4_sum_cry_4)) + )) + (net (rename un4_sum_cryZ0Z_4 "un4_sum_cry_4") (joined + (portRef (member CO 0) (instanceRef un4_sum_cry_4)) + (portRef CI (instanceRef un4_sum_cry_8)) + )) + (net (rename feedback_inc_data_1 "feedback_inc_data(1)") (joined + (portRef (member O 3) (instanceRef un4_sum_cry_4)) + (portRef (member feedback_inc_data 34)) + )) + (net (rename feedback_inc_data_2 "feedback_inc_data(2)") (joined + (portRef (member O 2) (instanceRef un4_sum_cry_4)) + (portRef (member feedback_inc_data 33)) + )) + (net (rename feedback_inc_data_3 "feedback_inc_data(3)") (joined + (portRef (member O 1) (instanceRef un4_sum_cry_4)) + (portRef (member feedback_inc_data 32)) + )) + (net (rename feedback_inc_data_4 "feedback_inc_data(4)") (joined + (portRef (member O 0) (instanceRef un4_sum_cry_4)) + (portRef (member feedback_inc_data 31)) + )) + (net (rename GNDZ0 "GND") (joined + (portRef G (instanceRef GND)) + (portRef (member S 0) (instanceRef un4_sum_s_35)) + (portRef (member DI 0) (instanceRef un4_sum_s_35)) + (portRef (member DI 1) (instanceRef un4_sum_s_35)) + (portRef (member DI 2) (instanceRef un4_sum_s_35)) + (portRef (member DI 3) (instanceRef un4_sum_s_35)) + (portRef CYINIT (instanceRef un4_sum_s_35)) + (portRef (member DI 0) (instanceRef un4_sum_cry_32)) + (portRef (member DI 1) (instanceRef un4_sum_cry_32)) + (portRef (member DI 2) (instanceRef un4_sum_cry_32)) + (portRef (member DI 3) (instanceRef un4_sum_cry_32)) + (portRef CYINIT (instanceRef un4_sum_cry_32)) + (portRef (member DI 0) (instanceRef un4_sum_cry_28)) + (portRef (member DI 1) (instanceRef un4_sum_cry_28)) + (portRef (member DI 2) (instanceRef un4_sum_cry_28)) + (portRef (member DI 3) (instanceRef un4_sum_cry_28)) + (portRef CYINIT (instanceRef un4_sum_cry_28)) + (portRef (member DI 0) (instanceRef un4_sum_cry_24)) + (portRef (member DI 1) (instanceRef un4_sum_cry_24)) + (portRef (member DI 2) (instanceRef un4_sum_cry_24)) + (portRef (member DI 3) (instanceRef un4_sum_cry_24)) + (portRef CYINIT (instanceRef un4_sum_cry_24)) + (portRef (member DI 0) (instanceRef un4_sum_cry_20)) + (portRef (member DI 1) (instanceRef un4_sum_cry_20)) + (portRef (member DI 2) (instanceRef un4_sum_cry_20)) + (portRef (member DI 3) (instanceRef un4_sum_cry_20)) + (portRef CYINIT (instanceRef un4_sum_cry_20)) + (portRef (member DI 0) (instanceRef un4_sum_cry_16)) + (portRef (member DI 1) (instanceRef un4_sum_cry_16)) + (portRef (member DI 2) (instanceRef un4_sum_cry_16)) + (portRef (member DI 3) (instanceRef un4_sum_cry_16)) + (portRef CYINIT (instanceRef un4_sum_cry_16)) + (portRef (member DI 0) (instanceRef un4_sum_cry_12)) + (portRef (member DI 1) (instanceRef un4_sum_cry_12)) + (portRef (member DI 2) (instanceRef un4_sum_cry_12)) + (portRef (member DI 3) (instanceRef un4_sum_cry_12)) + (portRef CYINIT (instanceRef un4_sum_cry_12)) + (portRef (member DI 0) (instanceRef un4_sum_cry_8)) + (portRef (member DI 1) (instanceRef un4_sum_cry_8)) + (portRef (member DI 2) (instanceRef un4_sum_cry_8)) + (portRef (member DI 3) (instanceRef un4_sum_cry_8)) + (portRef CYINIT (instanceRef un4_sum_cry_8)) + (portRef (member DI 0) (instanceRef un4_sum_cry_4)) + (portRef (member DI 1) (instanceRef un4_sum_cry_4)) + (portRef (member DI 2) (instanceRef un4_sum_cry_4)) + (portRef (member DI 3) (instanceRef un4_sum_cry_4)) + (portRef CI (instanceRef un4_sum_cry_4)) + )) + (net (rename un1_psum0_signed_31 "un1_psum0_signed(31)") (joined + (portRef (member un1_psum0_signed 35)) + (portRef CYINIT (instanceRef un4_sum_cry_4)) + )) + (net (rename un4_sum_cryZ0Z_8 "un4_sum_cry_8") (joined + (portRef (member CO 0) (instanceRef un4_sum_cry_8)) + (portRef CI (instanceRef un4_sum_cry_12)) + )) + (net (rename feedback_inc_data_5 "feedback_inc_data(5)") (joined + (portRef (member O 3) (instanceRef un4_sum_cry_8)) + (portRef (member feedback_inc_data 30)) + )) + (net (rename feedback_inc_data_6 "feedback_inc_data(6)") (joined + (portRef (member O 2) (instanceRef un4_sum_cry_8)) + (portRef (member feedback_inc_data 29)) + )) + (net (rename feedback_inc_data_7 "feedback_inc_data(7)") (joined + (portRef (member O 1) (instanceRef un4_sum_cry_8)) + (portRef (member feedback_inc_data 28)) + )) + (net (rename feedback_inc_data_8 "feedback_inc_data(8)") (joined + (portRef (member O 0) (instanceRef un4_sum_cry_8)) + (portRef (member feedback_inc_data 27)) + )) + (net (rename un4_sum_cryZ0Z_12 "un4_sum_cry_12") (joined + (portRef (member CO 0) (instanceRef un4_sum_cry_12)) + (portRef CI (instanceRef un4_sum_cry_16)) + )) + (net (rename feedback_inc_data_9 "feedback_inc_data(9)") (joined + (portRef (member O 3) (instanceRef un4_sum_cry_12)) + (portRef (member feedback_inc_data 26)) + )) + (net (rename feedback_inc_data_10 "feedback_inc_data(10)") (joined + (portRef (member O 2) (instanceRef un4_sum_cry_12)) + (portRef (member feedback_inc_data 25)) + )) + (net (rename feedback_inc_data_11 "feedback_inc_data(11)") (joined + (portRef (member O 1) (instanceRef un4_sum_cry_12)) + (portRef (member feedback_inc_data 24)) + )) + (net (rename feedback_inc_data_12 "feedback_inc_data(12)") (joined + (portRef (member O 0) (instanceRef un4_sum_cry_12)) + (portRef (member feedback_inc_data 23)) + )) + (net (rename un4_sum_cryZ0Z_16 "un4_sum_cry_16") (joined + (portRef (member CO 0) (instanceRef un4_sum_cry_16)) + (portRef CI (instanceRef un4_sum_cry_20)) + )) + (net (rename feedback_inc_data_13 "feedback_inc_data(13)") (joined + (portRef (member O 3) (instanceRef un4_sum_cry_16)) + (portRef (member feedback_inc_data 22)) + )) + (net (rename feedback_inc_data_14 "feedback_inc_data(14)") (joined + (portRef (member O 2) (instanceRef un4_sum_cry_16)) + (portRef (member feedback_inc_data 21)) + )) + (net (rename feedback_inc_data_15 "feedback_inc_data(15)") (joined + (portRef (member O 1) (instanceRef un4_sum_cry_16)) + (portRef (member feedback_inc_data 20)) + )) + (net (rename feedback_inc_data_16 "feedback_inc_data(16)") (joined + (portRef (member O 0) (instanceRef un4_sum_cry_16)) + (portRef (member feedback_inc_data 19)) + )) + (net (rename un4_sum_cryZ0Z_20 "un4_sum_cry_20") (joined + (portRef (member CO 0) (instanceRef un4_sum_cry_20)) + (portRef CI (instanceRef un4_sum_cry_24)) + )) + (net (rename feedback_inc_data_17 "feedback_inc_data(17)") (joined + (portRef (member O 3) (instanceRef un4_sum_cry_20)) + (portRef (member feedback_inc_data 18)) + )) + (net (rename feedback_inc_data_18 "feedback_inc_data(18)") (joined + (portRef (member O 2) (instanceRef un4_sum_cry_20)) + (portRef (member feedback_inc_data 17)) + )) + (net (rename feedback_inc_data_19 "feedback_inc_data(19)") (joined + (portRef (member O 1) (instanceRef un4_sum_cry_20)) + (portRef (member feedback_inc_data 16)) + )) + (net (rename feedback_inc_data_20 "feedback_inc_data(20)") (joined + (portRef (member O 0) (instanceRef un4_sum_cry_20)) + (portRef (member feedback_inc_data 15)) + )) + (net (rename un4_sum_cryZ0Z_24 "un4_sum_cry_24") (joined + (portRef (member CO 0) (instanceRef un4_sum_cry_24)) + (portRef CI (instanceRef un4_sum_cry_28)) + )) + (net (rename feedback_inc_data_21 "feedback_inc_data(21)") (joined + (portRef (member O 3) (instanceRef un4_sum_cry_24)) + (portRef (member feedback_inc_data 14)) + )) + (net (rename feedback_inc_data_22 "feedback_inc_data(22)") (joined + (portRef (member O 2) (instanceRef un4_sum_cry_24)) + (portRef (member feedback_inc_data 13)) + )) + (net (rename feedback_inc_data_23 "feedback_inc_data(23)") (joined + (portRef (member O 1) (instanceRef un4_sum_cry_24)) + (portRef (member feedback_inc_data 12)) + )) + (net (rename feedback_inc_data_24 "feedback_inc_data(24)") (joined + (portRef (member O 0) (instanceRef un4_sum_cry_24)) + (portRef (member feedback_inc_data 11)) + )) + (net (rename un4_sum_cryZ0Z_28 "un4_sum_cry_28") (joined + (portRef (member CO 0) (instanceRef un4_sum_cry_28)) + (portRef CI (instanceRef un4_sum_cry_32)) + )) + (net (rename feedback_inc_data_25 "feedback_inc_data(25)") (joined + (portRef (member O 3) (instanceRef un4_sum_cry_28)) + (portRef (member feedback_inc_data 10)) + )) + (net (rename feedback_inc_data_26 "feedback_inc_data(26)") (joined + (portRef (member O 2) (instanceRef un4_sum_cry_28)) + (portRef (member feedback_inc_data 9)) + )) + (net (rename feedback_inc_data_27 "feedback_inc_data(27)") (joined + (portRef (member O 1) (instanceRef un4_sum_cry_28)) + (portRef (member feedback_inc_data 8)) + )) + (net (rename feedback_inc_data_28 "feedback_inc_data(28)") (joined + (portRef (member O 0) (instanceRef un4_sum_cry_28)) + (portRef (member feedback_inc_data 7)) + )) + (net (rename un4_sum_cryZ0Z_32 "un4_sum_cry_32") (joined + (portRef (member CO 0) (instanceRef un4_sum_cry_32)) + (portRef CI (instanceRef un4_sum_s_35)) + )) + (net (rename feedback_inc_data_29 "feedback_inc_data(29)") (joined + (portRef (member O 3) (instanceRef un4_sum_cry_32)) + (portRef (member feedback_inc_data 6)) + )) + (net (rename feedback_inc_data_30 "feedback_inc_data(30)") (joined + (portRef (member O 2) (instanceRef un4_sum_cry_32)) + (portRef (member feedback_inc_data 5)) + )) + (net (rename feedback_inc_data_31 "feedback_inc_data(31)") (joined + (portRef (member O 1) (instanceRef un4_sum_cry_32)) + (portRef (member feedback_inc_data 4)) + )) + (net (rename feedback_inc_data_32 "feedback_inc_data(32)") (joined + (portRef (member O 0) (instanceRef un4_sum_cry_32)) + (portRef (member feedback_inc_data 3)) + )) + (net (rename feedback_inc_data_33 "feedback_inc_data(33)") (joined + (portRef (member O 3) (instanceRef un4_sum_s_35)) + (portRef (member feedback_inc_data 2)) + )) + (net (rename feedback_inc_data_34 "feedback_inc_data(34)") (joined + (portRef (member O 2) (instanceRef un4_sum_s_35)) + (portRef (member feedback_inc_data 1)) + )) + (net (rename feedback_inc_data_35 "feedback_inc_data(35)") (joined + (portRef (member O 1) (instanceRef un4_sum_s_35)) + (portRef (member feedback_inc_data 0)) + )) + ) + (property orig_inst_of (string "DW01_inc")) + ) + ) + (cell DW01_inc_36_3 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(66:31)") 36) (direction INPUT)) + (port (array (rename feedback_inc_data "feedback_inc_data(35:1)") 35) (direction OUTPUT)) + ) + (contents + (instance un4_sum_axb_35 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_34 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_33 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_32 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_31 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_30 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_29 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_28 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_27 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_26 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_25 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_24 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_23 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_22 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_21 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_20 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_19 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_18 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_17 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_16 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_15 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_14 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_13 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_12 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_11 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_10 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_9 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_8 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_7 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_6 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_5 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_4 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_3 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_2 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_1 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_cry_4 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + (property xcn_c4chain_base (integer 1)) + ) + (instance un4_sum_cry_8 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un4_sum_cry_12 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un4_sum_cry_16 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un4_sum_cry_20 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un4_sum_cry_24 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un4_sum_cry_28 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un4_sum_cry_32 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un4_sum_s_35 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance GND (viewRef PRIM (cellRef GND (libraryRef UNILIB))) ) + (net (rename un1_psum0_signed_66 "un1_psum0_signed(66)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I0 (instanceRef un4_sum_axb_35)) + )) + (net (rename un4_sum_axbZ0Z_35 "un4_sum_axb_35") (joined + (portRef O (instanceRef un4_sum_axb_35)) + (portRef (member S 1) (instanceRef un4_sum_s_35)) + )) + (net (rename un1_psum0_signed_65 "un1_psum0_signed(65)") (joined + (portRef (member un1_psum0_signed 1)) + (portRef I0 (instanceRef un4_sum_axb_34)) + )) + (net (rename un4_sum_axbZ0Z_34 "un4_sum_axb_34") (joined + (portRef O (instanceRef un4_sum_axb_34)) + (portRef (member S 2) (instanceRef un4_sum_s_35)) + )) + (net (rename un1_psum0_signed_64 "un1_psum0_signed(64)") (joined + (portRef (member un1_psum0_signed 2)) + (portRef I0 (instanceRef un4_sum_axb_33)) + )) + (net (rename un4_sum_axbZ0Z_33 "un4_sum_axb_33") (joined + (portRef O (instanceRef un4_sum_axb_33)) + (portRef (member S 3) (instanceRef un4_sum_s_35)) + )) + (net (rename un1_psum0_signed_63 "un1_psum0_signed(63)") (joined + (portRef (member un1_psum0_signed 3)) + (portRef I0 (instanceRef un4_sum_axb_32)) + )) + (net (rename un4_sum_axbZ0Z_32 "un4_sum_axb_32") (joined + (portRef O (instanceRef un4_sum_axb_32)) + (portRef (member S 0) (instanceRef un4_sum_cry_32)) + )) + (net (rename un1_psum0_signed_62 "un1_psum0_signed(62)") (joined + (portRef (member un1_psum0_signed 4)) + (portRef I0 (instanceRef un4_sum_axb_31)) + )) + (net (rename un4_sum_axbZ0Z_31 "un4_sum_axb_31") (joined + (portRef O (instanceRef un4_sum_axb_31)) + (portRef (member S 1) (instanceRef un4_sum_cry_32)) + )) + (net (rename un1_psum0_signed_61 "un1_psum0_signed(61)") (joined + (portRef (member un1_psum0_signed 5)) + (portRef I0 (instanceRef un4_sum_axb_30)) + )) + (net (rename un4_sum_axbZ0Z_30 "un4_sum_axb_30") (joined + (portRef O (instanceRef un4_sum_axb_30)) + (portRef (member S 2) (instanceRef un4_sum_cry_32)) + )) + (net (rename un1_psum0_signed_60 "un1_psum0_signed(60)") (joined + (portRef (member un1_psum0_signed 6)) + (portRef I0 (instanceRef un4_sum_axb_29)) + )) + (net (rename un4_sum_axbZ0Z_29 "un4_sum_axb_29") (joined + (portRef O (instanceRef un4_sum_axb_29)) + (portRef (member S 3) (instanceRef un4_sum_cry_32)) + )) + (net (rename un1_psum0_signed_59 "un1_psum0_signed(59)") (joined + (portRef (member un1_psum0_signed 7)) + (portRef I0 (instanceRef un4_sum_axb_28)) + )) + (net (rename un4_sum_axbZ0Z_28 "un4_sum_axb_28") (joined + (portRef O (instanceRef un4_sum_axb_28)) + (portRef (member S 0) (instanceRef un4_sum_cry_28)) + )) + (net (rename un1_psum0_signed_58 "un1_psum0_signed(58)") (joined + (portRef (member un1_psum0_signed 8)) + (portRef I0 (instanceRef un4_sum_axb_27)) + )) + (net (rename un4_sum_axbZ0Z_27 "un4_sum_axb_27") (joined + (portRef O (instanceRef un4_sum_axb_27)) + (portRef (member S 1) (instanceRef un4_sum_cry_28)) + )) + (net (rename un1_psum0_signed_57 "un1_psum0_signed(57)") (joined + (portRef (member un1_psum0_signed 9)) + (portRef I0 (instanceRef un4_sum_axb_26)) + )) + (net (rename un4_sum_axbZ0Z_26 "un4_sum_axb_26") (joined + (portRef O (instanceRef un4_sum_axb_26)) + (portRef (member S 2) (instanceRef un4_sum_cry_28)) + )) + (net (rename un1_psum0_signed_56 "un1_psum0_signed(56)") (joined + (portRef (member un1_psum0_signed 10)) + (portRef I0 (instanceRef un4_sum_axb_25)) + )) + (net (rename un4_sum_axbZ0Z_25 "un4_sum_axb_25") (joined + (portRef O (instanceRef un4_sum_axb_25)) + (portRef (member S 3) (instanceRef un4_sum_cry_28)) + )) + (net (rename un1_psum0_signed_55 "un1_psum0_signed(55)") (joined + (portRef (member un1_psum0_signed 11)) + (portRef I0 (instanceRef un4_sum_axb_24)) + )) + (net (rename un4_sum_axbZ0Z_24 "un4_sum_axb_24") (joined + (portRef O (instanceRef un4_sum_axb_24)) + (portRef (member S 0) (instanceRef un4_sum_cry_24)) + )) + (net (rename un1_psum0_signed_54 "un1_psum0_signed(54)") (joined + (portRef (member un1_psum0_signed 12)) + (portRef I0 (instanceRef un4_sum_axb_23)) + )) + (net (rename un4_sum_axbZ0Z_23 "un4_sum_axb_23") (joined + (portRef O (instanceRef un4_sum_axb_23)) + (portRef (member S 1) (instanceRef un4_sum_cry_24)) + )) + (net (rename un1_psum0_signed_53 "un1_psum0_signed(53)") (joined + (portRef (member un1_psum0_signed 13)) + (portRef I0 (instanceRef un4_sum_axb_22)) + )) + (net (rename un4_sum_axbZ0Z_22 "un4_sum_axb_22") (joined + (portRef O (instanceRef un4_sum_axb_22)) + (portRef (member S 2) (instanceRef un4_sum_cry_24)) + )) + (net (rename un1_psum0_signed_52 "un1_psum0_signed(52)") (joined + (portRef (member un1_psum0_signed 14)) + (portRef I0 (instanceRef un4_sum_axb_21)) + )) + (net (rename un4_sum_axbZ0Z_21 "un4_sum_axb_21") (joined + (portRef O (instanceRef un4_sum_axb_21)) + (portRef (member S 3) (instanceRef un4_sum_cry_24)) + )) + (net (rename un1_psum0_signed_51 "un1_psum0_signed(51)") (joined + (portRef (member un1_psum0_signed 15)) + (portRef I0 (instanceRef un4_sum_axb_20)) + )) + (net (rename un4_sum_axbZ0Z_20 "un4_sum_axb_20") (joined + (portRef O (instanceRef un4_sum_axb_20)) + (portRef (member S 0) (instanceRef un4_sum_cry_20)) + )) + (net (rename un1_psum0_signed_50 "un1_psum0_signed(50)") (joined + (portRef (member un1_psum0_signed 16)) + (portRef I0 (instanceRef un4_sum_axb_19)) + )) + (net (rename un4_sum_axbZ0Z_19 "un4_sum_axb_19") (joined + (portRef O (instanceRef un4_sum_axb_19)) + (portRef (member S 1) (instanceRef un4_sum_cry_20)) + )) + (net (rename un1_psum0_signed_49 "un1_psum0_signed(49)") (joined + (portRef (member un1_psum0_signed 17)) + (portRef I0 (instanceRef un4_sum_axb_18)) + )) + (net (rename un4_sum_axbZ0Z_18 "un4_sum_axb_18") (joined + (portRef O (instanceRef un4_sum_axb_18)) + (portRef (member S 2) (instanceRef un4_sum_cry_20)) + )) + (net (rename un1_psum0_signed_48 "un1_psum0_signed(48)") (joined + (portRef (member un1_psum0_signed 18)) + (portRef I0 (instanceRef un4_sum_axb_17)) + )) + (net (rename un4_sum_axbZ0Z_17 "un4_sum_axb_17") (joined + (portRef O (instanceRef un4_sum_axb_17)) + (portRef (member S 3) (instanceRef un4_sum_cry_20)) + )) + (net (rename un1_psum0_signed_47 "un1_psum0_signed(47)") (joined + (portRef (member un1_psum0_signed 19)) + (portRef I0 (instanceRef un4_sum_axb_16)) + )) + (net (rename un4_sum_axbZ0Z_16 "un4_sum_axb_16") (joined + (portRef O (instanceRef un4_sum_axb_16)) + (portRef (member S 0) (instanceRef un4_sum_cry_16)) + )) + (net (rename un1_psum0_signed_46 "un1_psum0_signed(46)") (joined + (portRef (member un1_psum0_signed 20)) + (portRef I0 (instanceRef un4_sum_axb_15)) + )) + (net (rename un4_sum_axbZ0Z_15 "un4_sum_axb_15") (joined + (portRef O (instanceRef un4_sum_axb_15)) + (portRef (member S 1) (instanceRef un4_sum_cry_16)) + )) + (net (rename un1_psum0_signed_45 "un1_psum0_signed(45)") (joined + (portRef (member un1_psum0_signed 21)) + (portRef I0 (instanceRef un4_sum_axb_14)) + )) + (net (rename un4_sum_axbZ0Z_14 "un4_sum_axb_14") (joined + (portRef O (instanceRef un4_sum_axb_14)) + (portRef (member S 2) (instanceRef un4_sum_cry_16)) + )) + (net (rename un1_psum0_signed_44 "un1_psum0_signed(44)") (joined + (portRef (member un1_psum0_signed 22)) + (portRef I0 (instanceRef un4_sum_axb_13)) + )) + (net (rename un4_sum_axbZ0Z_13 "un4_sum_axb_13") (joined + (portRef O (instanceRef un4_sum_axb_13)) + (portRef (member S 3) (instanceRef un4_sum_cry_16)) + )) + (net (rename un1_psum0_signed_43 "un1_psum0_signed(43)") (joined + (portRef (member un1_psum0_signed 23)) + (portRef I0 (instanceRef un4_sum_axb_12)) + )) + (net (rename un4_sum_axbZ0Z_12 "un4_sum_axb_12") (joined + (portRef O (instanceRef un4_sum_axb_12)) + (portRef (member S 0) (instanceRef un4_sum_cry_12)) + )) + (net (rename un1_psum0_signed_42 "un1_psum0_signed(42)") (joined + (portRef (member un1_psum0_signed 24)) + (portRef I0 (instanceRef un4_sum_axb_11)) + )) + (net (rename un4_sum_axbZ0Z_11 "un4_sum_axb_11") (joined + (portRef O (instanceRef un4_sum_axb_11)) + (portRef (member S 1) (instanceRef un4_sum_cry_12)) + )) + (net (rename un1_psum0_signed_41 "un1_psum0_signed(41)") (joined + (portRef (member un1_psum0_signed 25)) + (portRef I0 (instanceRef un4_sum_axb_10)) + )) + (net (rename un4_sum_axbZ0Z_10 "un4_sum_axb_10") (joined + (portRef O (instanceRef un4_sum_axb_10)) + (portRef (member S 2) (instanceRef un4_sum_cry_12)) + )) + (net (rename un1_psum0_signed_40 "un1_psum0_signed(40)") (joined + (portRef (member un1_psum0_signed 26)) + (portRef I0 (instanceRef un4_sum_axb_9)) + )) + (net (rename un4_sum_axbZ0Z_9 "un4_sum_axb_9") (joined + (portRef O (instanceRef un4_sum_axb_9)) + (portRef (member S 3) (instanceRef un4_sum_cry_12)) + )) + (net (rename un1_psum0_signed_39 "un1_psum0_signed(39)") (joined + (portRef (member un1_psum0_signed 27)) + (portRef I0 (instanceRef un4_sum_axb_8)) + )) + (net (rename un4_sum_axbZ0Z_8 "un4_sum_axb_8") (joined + (portRef O (instanceRef un4_sum_axb_8)) + (portRef (member S 0) (instanceRef un4_sum_cry_8)) + )) + (net (rename un1_psum0_signed_38 "un1_psum0_signed(38)") (joined + (portRef (member un1_psum0_signed 28)) + (portRef I0 (instanceRef un4_sum_axb_7)) + )) + (net (rename un4_sum_axbZ0Z_7 "un4_sum_axb_7") (joined + (portRef O (instanceRef un4_sum_axb_7)) + (portRef (member S 1) (instanceRef un4_sum_cry_8)) + )) + (net (rename un1_psum0_signed_37 "un1_psum0_signed(37)") (joined + (portRef (member un1_psum0_signed 29)) + (portRef I0 (instanceRef un4_sum_axb_6)) + )) + (net (rename un4_sum_axbZ0Z_6 "un4_sum_axb_6") (joined + (portRef O (instanceRef un4_sum_axb_6)) + (portRef (member S 2) (instanceRef un4_sum_cry_8)) + )) + (net (rename un1_psum0_signed_36 "un1_psum0_signed(36)") (joined + (portRef (member un1_psum0_signed 30)) + (portRef I0 (instanceRef un4_sum_axb_5)) + )) + (net (rename un4_sum_axbZ0Z_5 "un4_sum_axb_5") (joined + (portRef O (instanceRef un4_sum_axb_5)) + (portRef (member S 3) (instanceRef un4_sum_cry_8)) + )) + (net (rename un1_psum0_signed_35 "un1_psum0_signed(35)") (joined + (portRef (member un1_psum0_signed 31)) + (portRef I0 (instanceRef un4_sum_axb_4)) + )) + (net (rename un4_sum_axbZ0Z_4 "un4_sum_axb_4") (joined + (portRef O (instanceRef un4_sum_axb_4)) + (portRef (member S 0) (instanceRef un4_sum_cry_4)) + )) + (net (rename un1_psum0_signed_34 "un1_psum0_signed(34)") (joined + (portRef (member un1_psum0_signed 32)) + (portRef I0 (instanceRef un4_sum_axb_3)) + )) + (net (rename un4_sum_axbZ0Z_3 "un4_sum_axb_3") (joined + (portRef O (instanceRef un4_sum_axb_3)) + (portRef (member S 1) (instanceRef un4_sum_cry_4)) + )) + (net (rename un1_psum0_signed_33 "un1_psum0_signed(33)") (joined + (portRef (member un1_psum0_signed 33)) + (portRef I0 (instanceRef un4_sum_axb_2)) + )) + (net (rename un4_sum_axbZ0Z_2 "un4_sum_axb_2") (joined + (portRef O (instanceRef un4_sum_axb_2)) + (portRef (member S 2) (instanceRef un4_sum_cry_4)) + )) + (net (rename un1_psum0_signed_32 "un1_psum0_signed(32)") (joined + (portRef (member un1_psum0_signed 34)) + (portRef I0 (instanceRef un4_sum_axb_1)) + )) + (net (rename un4_sum_axbZ0Z_1 "un4_sum_axb_1") (joined + (portRef O (instanceRef un4_sum_axb_1)) + (portRef (member S 3) (instanceRef un4_sum_cry_4)) + )) + (net (rename un4_sum_cryZ0Z_4 "un4_sum_cry_4") (joined + (portRef (member CO 0) (instanceRef un4_sum_cry_4)) + (portRef CI (instanceRef un4_sum_cry_8)) + )) + (net (rename feedback_inc_data_1 "feedback_inc_data(1)") (joined + (portRef (member O 3) (instanceRef un4_sum_cry_4)) + (portRef (member feedback_inc_data 34)) + )) + (net (rename feedback_inc_data_2 "feedback_inc_data(2)") (joined + (portRef (member O 2) (instanceRef un4_sum_cry_4)) + (portRef (member feedback_inc_data 33)) + )) + (net (rename feedback_inc_data_3 "feedback_inc_data(3)") (joined + (portRef (member O 1) (instanceRef un4_sum_cry_4)) + (portRef (member feedback_inc_data 32)) + )) + (net (rename feedback_inc_data_4 "feedback_inc_data(4)") (joined + (portRef (member O 0) (instanceRef un4_sum_cry_4)) + (portRef (member feedback_inc_data 31)) + )) + (net (rename GNDZ0 "GND") (joined + (portRef G (instanceRef GND)) + (portRef (member S 0) (instanceRef un4_sum_s_35)) + (portRef (member DI 0) (instanceRef un4_sum_s_35)) + (portRef (member DI 1) (instanceRef un4_sum_s_35)) + (portRef (member DI 2) (instanceRef un4_sum_s_35)) + (portRef (member DI 3) (instanceRef un4_sum_s_35)) + (portRef CYINIT (instanceRef un4_sum_s_35)) + (portRef (member DI 0) (instanceRef un4_sum_cry_32)) + (portRef (member DI 1) (instanceRef un4_sum_cry_32)) + (portRef (member DI 2) (instanceRef un4_sum_cry_32)) + (portRef (member DI 3) (instanceRef un4_sum_cry_32)) + (portRef CYINIT (instanceRef un4_sum_cry_32)) + (portRef (member DI 0) (instanceRef un4_sum_cry_28)) + (portRef (member DI 1) (instanceRef un4_sum_cry_28)) + (portRef (member DI 2) (instanceRef un4_sum_cry_28)) + (portRef (member DI 3) (instanceRef un4_sum_cry_28)) + (portRef CYINIT (instanceRef un4_sum_cry_28)) + (portRef (member DI 0) (instanceRef un4_sum_cry_24)) + (portRef (member DI 1) (instanceRef un4_sum_cry_24)) + (portRef (member DI 2) (instanceRef un4_sum_cry_24)) + (portRef (member DI 3) (instanceRef un4_sum_cry_24)) + (portRef CYINIT (instanceRef un4_sum_cry_24)) + (portRef (member DI 0) (instanceRef un4_sum_cry_20)) + (portRef (member DI 1) (instanceRef un4_sum_cry_20)) + (portRef (member DI 2) (instanceRef un4_sum_cry_20)) + (portRef (member DI 3) (instanceRef un4_sum_cry_20)) + (portRef CYINIT (instanceRef un4_sum_cry_20)) + (portRef (member DI 0) (instanceRef un4_sum_cry_16)) + (portRef (member DI 1) (instanceRef un4_sum_cry_16)) + (portRef (member DI 2) (instanceRef un4_sum_cry_16)) + (portRef (member DI 3) (instanceRef un4_sum_cry_16)) + (portRef CYINIT (instanceRef un4_sum_cry_16)) + (portRef (member DI 0) (instanceRef un4_sum_cry_12)) + (portRef (member DI 1) (instanceRef un4_sum_cry_12)) + (portRef (member DI 2) (instanceRef un4_sum_cry_12)) + (portRef (member DI 3) (instanceRef un4_sum_cry_12)) + (portRef CYINIT (instanceRef un4_sum_cry_12)) + (portRef (member DI 0) (instanceRef un4_sum_cry_8)) + (portRef (member DI 1) (instanceRef un4_sum_cry_8)) + (portRef (member DI 2) (instanceRef un4_sum_cry_8)) + (portRef (member DI 3) (instanceRef un4_sum_cry_8)) + (portRef CYINIT (instanceRef un4_sum_cry_8)) + (portRef (member DI 0) (instanceRef un4_sum_cry_4)) + (portRef (member DI 1) (instanceRef un4_sum_cry_4)) + (portRef (member DI 2) (instanceRef un4_sum_cry_4)) + (portRef (member DI 3) (instanceRef un4_sum_cry_4)) + (portRef CI (instanceRef un4_sum_cry_4)) + )) + (net (rename un1_psum0_signed_31 "un1_psum0_signed(31)") (joined + (portRef (member un1_psum0_signed 35)) + (portRef CYINIT (instanceRef un4_sum_cry_4)) + )) + (net (rename un4_sum_cryZ0Z_8 "un4_sum_cry_8") (joined + (portRef (member CO 0) (instanceRef un4_sum_cry_8)) + (portRef CI (instanceRef un4_sum_cry_12)) + )) + (net (rename feedback_inc_data_5 "feedback_inc_data(5)") (joined + (portRef (member O 3) (instanceRef un4_sum_cry_8)) + (portRef (member feedback_inc_data 30)) + )) + (net (rename feedback_inc_data_6 "feedback_inc_data(6)") (joined + (portRef (member O 2) (instanceRef un4_sum_cry_8)) + (portRef (member feedback_inc_data 29)) + )) + (net (rename feedback_inc_data_7 "feedback_inc_data(7)") (joined + (portRef (member O 1) (instanceRef un4_sum_cry_8)) + (portRef (member feedback_inc_data 28)) + )) + (net (rename feedback_inc_data_8 "feedback_inc_data(8)") (joined + (portRef (member O 0) (instanceRef un4_sum_cry_8)) + (portRef (member feedback_inc_data 27)) + )) + (net (rename un4_sum_cryZ0Z_12 "un4_sum_cry_12") (joined + (portRef (member CO 0) (instanceRef un4_sum_cry_12)) + (portRef CI (instanceRef un4_sum_cry_16)) + )) + (net (rename feedback_inc_data_9 "feedback_inc_data(9)") (joined + (portRef (member O 3) (instanceRef un4_sum_cry_12)) + (portRef (member feedback_inc_data 26)) + )) + (net (rename feedback_inc_data_10 "feedback_inc_data(10)") (joined + (portRef (member O 2) (instanceRef un4_sum_cry_12)) + (portRef (member feedback_inc_data 25)) + )) + (net (rename feedback_inc_data_11 "feedback_inc_data(11)") (joined + (portRef (member O 1) (instanceRef un4_sum_cry_12)) + (portRef (member feedback_inc_data 24)) + )) + (net (rename feedback_inc_data_12 "feedback_inc_data(12)") (joined + (portRef (member O 0) (instanceRef un4_sum_cry_12)) + (portRef (member feedback_inc_data 23)) + )) + (net (rename un4_sum_cryZ0Z_16 "un4_sum_cry_16") (joined + (portRef (member CO 0) (instanceRef un4_sum_cry_16)) + (portRef CI (instanceRef un4_sum_cry_20)) + )) + (net (rename feedback_inc_data_13 "feedback_inc_data(13)") (joined + (portRef (member O 3) (instanceRef un4_sum_cry_16)) + (portRef (member feedback_inc_data 22)) + )) + (net (rename feedback_inc_data_14 "feedback_inc_data(14)") (joined + (portRef (member O 2) (instanceRef un4_sum_cry_16)) + (portRef (member feedback_inc_data 21)) + )) + (net (rename feedback_inc_data_15 "feedback_inc_data(15)") (joined + (portRef (member O 1) (instanceRef un4_sum_cry_16)) + (portRef (member feedback_inc_data 20)) + )) + (net (rename feedback_inc_data_16 "feedback_inc_data(16)") (joined + (portRef (member O 0) (instanceRef un4_sum_cry_16)) + (portRef (member feedback_inc_data 19)) + )) + (net (rename un4_sum_cryZ0Z_20 "un4_sum_cry_20") (joined + (portRef (member CO 0) (instanceRef un4_sum_cry_20)) + (portRef CI (instanceRef un4_sum_cry_24)) + )) + (net (rename feedback_inc_data_17 "feedback_inc_data(17)") (joined + (portRef (member O 3) (instanceRef un4_sum_cry_20)) + (portRef (member feedback_inc_data 18)) + )) + (net (rename feedback_inc_data_18 "feedback_inc_data(18)") (joined + (portRef (member O 2) (instanceRef un4_sum_cry_20)) + (portRef (member feedback_inc_data 17)) + )) + (net (rename feedback_inc_data_19 "feedback_inc_data(19)") (joined + (portRef (member O 1) (instanceRef un4_sum_cry_20)) + (portRef (member feedback_inc_data 16)) + )) + (net (rename feedback_inc_data_20 "feedback_inc_data(20)") (joined + (portRef (member O 0) (instanceRef un4_sum_cry_20)) + (portRef (member feedback_inc_data 15)) + )) + (net (rename un4_sum_cryZ0Z_24 "un4_sum_cry_24") (joined + (portRef (member CO 0) (instanceRef un4_sum_cry_24)) + (portRef CI (instanceRef un4_sum_cry_28)) + )) + (net (rename feedback_inc_data_21 "feedback_inc_data(21)") (joined + (portRef (member O 3) (instanceRef un4_sum_cry_24)) + (portRef (member feedback_inc_data 14)) + )) + (net (rename feedback_inc_data_22 "feedback_inc_data(22)") (joined + (portRef (member O 2) (instanceRef un4_sum_cry_24)) + (portRef (member feedback_inc_data 13)) + )) + (net (rename feedback_inc_data_23 "feedback_inc_data(23)") (joined + (portRef (member O 1) (instanceRef un4_sum_cry_24)) + (portRef (member feedback_inc_data 12)) + )) + (net (rename feedback_inc_data_24 "feedback_inc_data(24)") (joined + (portRef (member O 0) (instanceRef un4_sum_cry_24)) + (portRef (member feedback_inc_data 11)) + )) + (net (rename un4_sum_cryZ0Z_28 "un4_sum_cry_28") (joined + (portRef (member CO 0) (instanceRef un4_sum_cry_28)) + (portRef CI (instanceRef un4_sum_cry_32)) + )) + (net (rename feedback_inc_data_25 "feedback_inc_data(25)") (joined + (portRef (member O 3) (instanceRef un4_sum_cry_28)) + (portRef (member feedback_inc_data 10)) + )) + (net (rename feedback_inc_data_26 "feedback_inc_data(26)") (joined + (portRef (member O 2) (instanceRef un4_sum_cry_28)) + (portRef (member feedback_inc_data 9)) + )) + (net (rename feedback_inc_data_27 "feedback_inc_data(27)") (joined + (portRef (member O 1) (instanceRef un4_sum_cry_28)) + (portRef (member feedback_inc_data 8)) + )) + (net (rename feedback_inc_data_28 "feedback_inc_data(28)") (joined + (portRef (member O 0) (instanceRef un4_sum_cry_28)) + (portRef (member feedback_inc_data 7)) + )) + (net (rename un4_sum_cryZ0Z_32 "un4_sum_cry_32") (joined + (portRef (member CO 0) (instanceRef un4_sum_cry_32)) + (portRef CI (instanceRef un4_sum_s_35)) + )) + (net (rename feedback_inc_data_29 "feedback_inc_data(29)") (joined + (portRef (member O 3) (instanceRef un4_sum_cry_32)) + (portRef (member feedback_inc_data 6)) + )) + (net (rename feedback_inc_data_30 "feedback_inc_data(30)") (joined + (portRef (member O 2) (instanceRef un4_sum_cry_32)) + (portRef (member feedback_inc_data 5)) + )) + (net (rename feedback_inc_data_31 "feedback_inc_data(31)") (joined + (portRef (member O 1) (instanceRef un4_sum_cry_32)) + (portRef (member feedback_inc_data 4)) + )) + (net (rename feedback_inc_data_32 "feedback_inc_data(32)") (joined + (portRef (member O 0) (instanceRef un4_sum_cry_32)) + (portRef (member feedback_inc_data 3)) + )) + (net (rename feedback_inc_data_33 "feedback_inc_data(33)") (joined + (portRef (member O 3) (instanceRef un4_sum_s_35)) + (portRef (member feedback_inc_data 2)) + )) + (net (rename feedback_inc_data_34 "feedback_inc_data(34)") (joined + (portRef (member O 2) (instanceRef un4_sum_s_35)) + (portRef (member feedback_inc_data 1)) + )) + (net (rename feedback_inc_data_35 "feedback_inc_data(35)") (joined + (portRef (member O 1) (instanceRef un4_sum_s_35)) + (portRef (member feedback_inc_data 0)) + )) + ) + (property orig_inst_of (string "DW01_inc")) + ) + ) + (cell DW01_inc_36_2 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(66:31)") 36) (direction INPUT)) + (port (array (rename feedback_inc_data "feedback_inc_data(35:1)") 35) (direction OUTPUT)) + ) + (contents + (instance un4_sum_axb_35 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_34 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_33 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_32 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_31 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_30 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_29 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_28 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_27 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_26 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_25 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_24 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_23 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_22 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_21 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_20 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_19 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_18 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_17 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_16 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_15 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_14 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_13 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_12 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_11 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_10 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_9 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_8 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_7 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_6 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_5 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_4 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_3 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_2 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_1 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_cry_4 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + (property xcn_c4chain_base (integer 1)) + ) + (instance un4_sum_cry_8 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un4_sum_cry_12 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un4_sum_cry_16 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un4_sum_cry_20 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un4_sum_cry_24 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un4_sum_cry_28 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un4_sum_cry_32 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un4_sum_s_35 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance GND (viewRef PRIM (cellRef GND (libraryRef UNILIB))) ) + (net (rename un1_psum0_signed_66 "un1_psum0_signed(66)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I0 (instanceRef un4_sum_axb_35)) + )) + (net (rename un4_sum_axbZ0Z_35 "un4_sum_axb_35") (joined + (portRef O (instanceRef un4_sum_axb_35)) + (portRef (member S 1) (instanceRef un4_sum_s_35)) + )) + (net (rename un1_psum0_signed_65 "un1_psum0_signed(65)") (joined + (portRef (member un1_psum0_signed 1)) + (portRef I0 (instanceRef un4_sum_axb_34)) + )) + (net (rename un4_sum_axbZ0Z_34 "un4_sum_axb_34") (joined + (portRef O (instanceRef un4_sum_axb_34)) + (portRef (member S 2) (instanceRef un4_sum_s_35)) + )) + (net (rename un1_psum0_signed_64 "un1_psum0_signed(64)") (joined + (portRef (member un1_psum0_signed 2)) + (portRef I0 (instanceRef un4_sum_axb_33)) + )) + (net (rename un4_sum_axbZ0Z_33 "un4_sum_axb_33") (joined + (portRef O (instanceRef un4_sum_axb_33)) + (portRef (member S 3) (instanceRef un4_sum_s_35)) + )) + (net (rename un1_psum0_signed_63 "un1_psum0_signed(63)") (joined + (portRef (member un1_psum0_signed 3)) + (portRef I0 (instanceRef un4_sum_axb_32)) + )) + (net (rename un4_sum_axbZ0Z_32 "un4_sum_axb_32") (joined + (portRef O (instanceRef un4_sum_axb_32)) + (portRef (member S 0) (instanceRef un4_sum_cry_32)) + )) + (net (rename un1_psum0_signed_62 "un1_psum0_signed(62)") (joined + (portRef (member un1_psum0_signed 4)) + (portRef I0 (instanceRef un4_sum_axb_31)) + )) + (net (rename un4_sum_axbZ0Z_31 "un4_sum_axb_31") (joined + (portRef O (instanceRef un4_sum_axb_31)) + (portRef (member S 1) (instanceRef un4_sum_cry_32)) + )) + (net (rename un1_psum0_signed_61 "un1_psum0_signed(61)") (joined + (portRef (member un1_psum0_signed 5)) + (portRef I0 (instanceRef un4_sum_axb_30)) + )) + (net (rename un4_sum_axbZ0Z_30 "un4_sum_axb_30") (joined + (portRef O (instanceRef un4_sum_axb_30)) + (portRef (member S 2) (instanceRef un4_sum_cry_32)) + )) + (net (rename un1_psum0_signed_60 "un1_psum0_signed(60)") (joined + (portRef (member un1_psum0_signed 6)) + (portRef I0 (instanceRef un4_sum_axb_29)) + )) + (net (rename un4_sum_axbZ0Z_29 "un4_sum_axb_29") (joined + (portRef O (instanceRef un4_sum_axb_29)) + (portRef (member S 3) (instanceRef un4_sum_cry_32)) + )) + (net (rename un1_psum0_signed_59 "un1_psum0_signed(59)") (joined + (portRef (member un1_psum0_signed 7)) + (portRef I0 (instanceRef un4_sum_axb_28)) + )) + (net (rename un4_sum_axbZ0Z_28 "un4_sum_axb_28") (joined + (portRef O (instanceRef un4_sum_axb_28)) + (portRef (member S 0) (instanceRef un4_sum_cry_28)) + )) + (net (rename un1_psum0_signed_58 "un1_psum0_signed(58)") (joined + (portRef (member un1_psum0_signed 8)) + (portRef I0 (instanceRef un4_sum_axb_27)) + )) + (net (rename un4_sum_axbZ0Z_27 "un4_sum_axb_27") (joined + (portRef O (instanceRef un4_sum_axb_27)) + (portRef (member S 1) (instanceRef un4_sum_cry_28)) + )) + (net (rename un1_psum0_signed_57 "un1_psum0_signed(57)") (joined + (portRef (member un1_psum0_signed 9)) + (portRef I0 (instanceRef un4_sum_axb_26)) + )) + (net (rename un4_sum_axbZ0Z_26 "un4_sum_axb_26") (joined + (portRef O (instanceRef un4_sum_axb_26)) + (portRef (member S 2) (instanceRef un4_sum_cry_28)) + )) + (net (rename un1_psum0_signed_56 "un1_psum0_signed(56)") (joined + (portRef (member un1_psum0_signed 10)) + (portRef I0 (instanceRef un4_sum_axb_25)) + )) + (net (rename un4_sum_axbZ0Z_25 "un4_sum_axb_25") (joined + (portRef O (instanceRef un4_sum_axb_25)) + (portRef (member S 3) (instanceRef un4_sum_cry_28)) + )) + (net (rename un1_psum0_signed_55 "un1_psum0_signed(55)") (joined + (portRef (member un1_psum0_signed 11)) + (portRef I0 (instanceRef un4_sum_axb_24)) + )) + (net (rename un4_sum_axbZ0Z_24 "un4_sum_axb_24") (joined + (portRef O (instanceRef un4_sum_axb_24)) + (portRef (member S 0) (instanceRef un4_sum_cry_24)) + )) + (net (rename un1_psum0_signed_54 "un1_psum0_signed(54)") (joined + (portRef (member un1_psum0_signed 12)) + (portRef I0 (instanceRef un4_sum_axb_23)) + )) + (net (rename un4_sum_axbZ0Z_23 "un4_sum_axb_23") (joined + (portRef O (instanceRef un4_sum_axb_23)) + (portRef (member S 1) (instanceRef un4_sum_cry_24)) + )) + (net (rename un1_psum0_signed_53 "un1_psum0_signed(53)") (joined + (portRef (member un1_psum0_signed 13)) + (portRef I0 (instanceRef un4_sum_axb_22)) + )) + (net (rename un4_sum_axbZ0Z_22 "un4_sum_axb_22") (joined + (portRef O (instanceRef un4_sum_axb_22)) + (portRef (member S 2) (instanceRef un4_sum_cry_24)) + )) + (net (rename un1_psum0_signed_52 "un1_psum0_signed(52)") (joined + (portRef (member un1_psum0_signed 14)) + (portRef I0 (instanceRef un4_sum_axb_21)) + )) + (net (rename un4_sum_axbZ0Z_21 "un4_sum_axb_21") (joined + (portRef O (instanceRef un4_sum_axb_21)) + (portRef (member S 3) (instanceRef un4_sum_cry_24)) + )) + (net (rename un1_psum0_signed_51 "un1_psum0_signed(51)") (joined + (portRef (member un1_psum0_signed 15)) + (portRef I0 (instanceRef un4_sum_axb_20)) + )) + (net (rename un4_sum_axbZ0Z_20 "un4_sum_axb_20") (joined + (portRef O (instanceRef un4_sum_axb_20)) + (portRef (member S 0) (instanceRef un4_sum_cry_20)) + )) + (net (rename un1_psum0_signed_50 "un1_psum0_signed(50)") (joined + (portRef (member un1_psum0_signed 16)) + (portRef I0 (instanceRef un4_sum_axb_19)) + )) + (net (rename un4_sum_axbZ0Z_19 "un4_sum_axb_19") (joined + (portRef O (instanceRef un4_sum_axb_19)) + (portRef (member S 1) (instanceRef un4_sum_cry_20)) + )) + (net (rename un1_psum0_signed_49 "un1_psum0_signed(49)") (joined + (portRef (member un1_psum0_signed 17)) + (portRef I0 (instanceRef un4_sum_axb_18)) + )) + (net (rename un4_sum_axbZ0Z_18 "un4_sum_axb_18") (joined + (portRef O (instanceRef un4_sum_axb_18)) + (portRef (member S 2) (instanceRef un4_sum_cry_20)) + )) + (net (rename un1_psum0_signed_48 "un1_psum0_signed(48)") (joined + (portRef (member un1_psum0_signed 18)) + (portRef I0 (instanceRef un4_sum_axb_17)) + )) + (net (rename un4_sum_axbZ0Z_17 "un4_sum_axb_17") (joined + (portRef O (instanceRef un4_sum_axb_17)) + (portRef (member S 3) (instanceRef un4_sum_cry_20)) + )) + (net (rename un1_psum0_signed_47 "un1_psum0_signed(47)") (joined + (portRef (member un1_psum0_signed 19)) + (portRef I0 (instanceRef un4_sum_axb_16)) + )) + (net (rename un4_sum_axbZ0Z_16 "un4_sum_axb_16") (joined + (portRef O (instanceRef un4_sum_axb_16)) + (portRef (member S 0) (instanceRef un4_sum_cry_16)) + )) + (net (rename un1_psum0_signed_46 "un1_psum0_signed(46)") (joined + (portRef (member un1_psum0_signed 20)) + (portRef I0 (instanceRef un4_sum_axb_15)) + )) + (net (rename un4_sum_axbZ0Z_15 "un4_sum_axb_15") (joined + (portRef O (instanceRef un4_sum_axb_15)) + (portRef (member S 1) (instanceRef un4_sum_cry_16)) + )) + (net (rename un1_psum0_signed_45 "un1_psum0_signed(45)") (joined + (portRef (member un1_psum0_signed 21)) + (portRef I0 (instanceRef un4_sum_axb_14)) + )) + (net (rename un4_sum_axbZ0Z_14 "un4_sum_axb_14") (joined + (portRef O (instanceRef un4_sum_axb_14)) + (portRef (member S 2) (instanceRef un4_sum_cry_16)) + )) + (net (rename un1_psum0_signed_44 "un1_psum0_signed(44)") (joined + (portRef (member un1_psum0_signed 22)) + (portRef I0 (instanceRef un4_sum_axb_13)) + )) + (net (rename un4_sum_axbZ0Z_13 "un4_sum_axb_13") (joined + (portRef O (instanceRef un4_sum_axb_13)) + (portRef (member S 3) (instanceRef un4_sum_cry_16)) + )) + (net (rename un1_psum0_signed_43 "un1_psum0_signed(43)") (joined + (portRef (member un1_psum0_signed 23)) + (portRef I0 (instanceRef un4_sum_axb_12)) + )) + (net (rename un4_sum_axbZ0Z_12 "un4_sum_axb_12") (joined + (portRef O (instanceRef un4_sum_axb_12)) + (portRef (member S 0) (instanceRef un4_sum_cry_12)) + )) + (net (rename un1_psum0_signed_42 "un1_psum0_signed(42)") (joined + (portRef (member un1_psum0_signed 24)) + (portRef I0 (instanceRef un4_sum_axb_11)) + )) + (net (rename un4_sum_axbZ0Z_11 "un4_sum_axb_11") (joined + (portRef O (instanceRef un4_sum_axb_11)) + (portRef (member S 1) (instanceRef un4_sum_cry_12)) + )) + (net (rename un1_psum0_signed_41 "un1_psum0_signed(41)") (joined + (portRef (member un1_psum0_signed 25)) + (portRef I0 (instanceRef un4_sum_axb_10)) + )) + (net (rename un4_sum_axbZ0Z_10 "un4_sum_axb_10") (joined + (portRef O (instanceRef un4_sum_axb_10)) + (portRef (member S 2) (instanceRef un4_sum_cry_12)) + )) + (net (rename un1_psum0_signed_40 "un1_psum0_signed(40)") (joined + (portRef (member un1_psum0_signed 26)) + (portRef I0 (instanceRef un4_sum_axb_9)) + )) + (net (rename un4_sum_axbZ0Z_9 "un4_sum_axb_9") (joined + (portRef O (instanceRef un4_sum_axb_9)) + (portRef (member S 3) (instanceRef un4_sum_cry_12)) + )) + (net (rename un1_psum0_signed_39 "un1_psum0_signed(39)") (joined + (portRef (member un1_psum0_signed 27)) + (portRef I0 (instanceRef un4_sum_axb_8)) + )) + (net (rename un4_sum_axbZ0Z_8 "un4_sum_axb_8") (joined + (portRef O (instanceRef un4_sum_axb_8)) + (portRef (member S 0) (instanceRef un4_sum_cry_8)) + )) + (net (rename un1_psum0_signed_38 "un1_psum0_signed(38)") (joined + (portRef (member un1_psum0_signed 28)) + (portRef I0 (instanceRef un4_sum_axb_7)) + )) + (net (rename un4_sum_axbZ0Z_7 "un4_sum_axb_7") (joined + (portRef O (instanceRef un4_sum_axb_7)) + (portRef (member S 1) (instanceRef un4_sum_cry_8)) + )) + (net (rename un1_psum0_signed_37 "un1_psum0_signed(37)") (joined + (portRef (member un1_psum0_signed 29)) + (portRef I0 (instanceRef un4_sum_axb_6)) + )) + (net (rename un4_sum_axbZ0Z_6 "un4_sum_axb_6") (joined + (portRef O (instanceRef un4_sum_axb_6)) + (portRef (member S 2) (instanceRef un4_sum_cry_8)) + )) + (net (rename un1_psum0_signed_36 "un1_psum0_signed(36)") (joined + (portRef (member un1_psum0_signed 30)) + (portRef I0 (instanceRef un4_sum_axb_5)) + )) + (net (rename un4_sum_axbZ0Z_5 "un4_sum_axb_5") (joined + (portRef O (instanceRef un4_sum_axb_5)) + (portRef (member S 3) (instanceRef un4_sum_cry_8)) + )) + (net (rename un1_psum0_signed_35 "un1_psum0_signed(35)") (joined + (portRef (member un1_psum0_signed 31)) + (portRef I0 (instanceRef un4_sum_axb_4)) + )) + (net (rename un4_sum_axbZ0Z_4 "un4_sum_axb_4") (joined + (portRef O (instanceRef un4_sum_axb_4)) + (portRef (member S 0) (instanceRef un4_sum_cry_4)) + )) + (net (rename un1_psum0_signed_34 "un1_psum0_signed(34)") (joined + (portRef (member un1_psum0_signed 32)) + (portRef I0 (instanceRef un4_sum_axb_3)) + )) + (net (rename un4_sum_axbZ0Z_3 "un4_sum_axb_3") (joined + (portRef O (instanceRef un4_sum_axb_3)) + (portRef (member S 1) (instanceRef un4_sum_cry_4)) + )) + (net (rename un1_psum0_signed_33 "un1_psum0_signed(33)") (joined + (portRef (member un1_psum0_signed 33)) + (portRef I0 (instanceRef un4_sum_axb_2)) + )) + (net (rename un4_sum_axbZ0Z_2 "un4_sum_axb_2") (joined + (portRef O (instanceRef un4_sum_axb_2)) + (portRef (member S 2) (instanceRef un4_sum_cry_4)) + )) + (net (rename un1_psum0_signed_32 "un1_psum0_signed(32)") (joined + (portRef (member un1_psum0_signed 34)) + (portRef I0 (instanceRef un4_sum_axb_1)) + )) + (net (rename un4_sum_axbZ0Z_1 "un4_sum_axb_1") (joined + (portRef O (instanceRef un4_sum_axb_1)) + (portRef (member S 3) (instanceRef un4_sum_cry_4)) + )) + (net (rename un4_sum_cryZ0Z_4 "un4_sum_cry_4") (joined + (portRef (member CO 0) (instanceRef un4_sum_cry_4)) + (portRef CI (instanceRef un4_sum_cry_8)) + )) + (net (rename feedback_inc_data_1 "feedback_inc_data(1)") (joined + (portRef (member O 3) (instanceRef un4_sum_cry_4)) + (portRef (member feedback_inc_data 34)) + )) + (net (rename feedback_inc_data_2 "feedback_inc_data(2)") (joined + (portRef (member O 2) (instanceRef un4_sum_cry_4)) + (portRef (member feedback_inc_data 33)) + )) + (net (rename feedback_inc_data_3 "feedback_inc_data(3)") (joined + (portRef (member O 1) (instanceRef un4_sum_cry_4)) + (portRef (member feedback_inc_data 32)) + )) + (net (rename feedback_inc_data_4 "feedback_inc_data(4)") (joined + (portRef (member O 0) (instanceRef un4_sum_cry_4)) + (portRef (member feedback_inc_data 31)) + )) + (net (rename GNDZ0 "GND") (joined + (portRef G (instanceRef GND)) + (portRef (member S 0) (instanceRef un4_sum_s_35)) + (portRef (member DI 0) (instanceRef un4_sum_s_35)) + (portRef (member DI 1) (instanceRef un4_sum_s_35)) + (portRef (member DI 2) (instanceRef un4_sum_s_35)) + (portRef (member DI 3) (instanceRef un4_sum_s_35)) + (portRef CYINIT (instanceRef un4_sum_s_35)) + (portRef (member DI 0) (instanceRef un4_sum_cry_32)) + (portRef (member DI 1) (instanceRef un4_sum_cry_32)) + (portRef (member DI 2) (instanceRef un4_sum_cry_32)) + (portRef (member DI 3) (instanceRef un4_sum_cry_32)) + (portRef CYINIT (instanceRef un4_sum_cry_32)) + (portRef (member DI 0) (instanceRef un4_sum_cry_28)) + (portRef (member DI 1) (instanceRef un4_sum_cry_28)) + (portRef (member DI 2) (instanceRef un4_sum_cry_28)) + (portRef (member DI 3) (instanceRef un4_sum_cry_28)) + (portRef CYINIT (instanceRef un4_sum_cry_28)) + (portRef (member DI 0) (instanceRef un4_sum_cry_24)) + (portRef (member DI 1) (instanceRef un4_sum_cry_24)) + (portRef (member DI 2) (instanceRef un4_sum_cry_24)) + (portRef (member DI 3) (instanceRef un4_sum_cry_24)) + (portRef CYINIT (instanceRef un4_sum_cry_24)) + (portRef (member DI 0) (instanceRef un4_sum_cry_20)) + (portRef (member DI 1) (instanceRef un4_sum_cry_20)) + (portRef (member DI 2) (instanceRef un4_sum_cry_20)) + (portRef (member DI 3) (instanceRef un4_sum_cry_20)) + (portRef CYINIT (instanceRef un4_sum_cry_20)) + (portRef (member DI 0) (instanceRef un4_sum_cry_16)) + (portRef (member DI 1) (instanceRef un4_sum_cry_16)) + (portRef (member DI 2) (instanceRef un4_sum_cry_16)) + (portRef (member DI 3) (instanceRef un4_sum_cry_16)) + (portRef CYINIT (instanceRef un4_sum_cry_16)) + (portRef (member DI 0) (instanceRef un4_sum_cry_12)) + (portRef (member DI 1) (instanceRef un4_sum_cry_12)) + (portRef (member DI 2) (instanceRef un4_sum_cry_12)) + (portRef (member DI 3) (instanceRef un4_sum_cry_12)) + (portRef CYINIT (instanceRef un4_sum_cry_12)) + (portRef (member DI 0) (instanceRef un4_sum_cry_8)) + (portRef (member DI 1) (instanceRef un4_sum_cry_8)) + (portRef (member DI 2) (instanceRef un4_sum_cry_8)) + (portRef (member DI 3) (instanceRef un4_sum_cry_8)) + (portRef CYINIT (instanceRef un4_sum_cry_8)) + (portRef (member DI 0) (instanceRef un4_sum_cry_4)) + (portRef (member DI 1) (instanceRef un4_sum_cry_4)) + (portRef (member DI 2) (instanceRef un4_sum_cry_4)) + (portRef (member DI 3) (instanceRef un4_sum_cry_4)) + (portRef CI (instanceRef un4_sum_cry_4)) + )) + (net (rename un1_psum0_signed_31 "un1_psum0_signed(31)") (joined + (portRef (member un1_psum0_signed 35)) + (portRef CYINIT (instanceRef un4_sum_cry_4)) + )) + (net (rename un4_sum_cryZ0Z_8 "un4_sum_cry_8") (joined + (portRef (member CO 0) (instanceRef un4_sum_cry_8)) + (portRef CI (instanceRef un4_sum_cry_12)) + )) + (net (rename feedback_inc_data_5 "feedback_inc_data(5)") (joined + (portRef (member O 3) (instanceRef un4_sum_cry_8)) + (portRef (member feedback_inc_data 30)) + )) + (net (rename feedback_inc_data_6 "feedback_inc_data(6)") (joined + (portRef (member O 2) (instanceRef un4_sum_cry_8)) + (portRef (member feedback_inc_data 29)) + )) + (net (rename feedback_inc_data_7 "feedback_inc_data(7)") (joined + (portRef (member O 1) (instanceRef un4_sum_cry_8)) + (portRef (member feedback_inc_data 28)) + )) + (net (rename feedback_inc_data_8 "feedback_inc_data(8)") (joined + (portRef (member O 0) (instanceRef un4_sum_cry_8)) + (portRef (member feedback_inc_data 27)) + )) + (net (rename un4_sum_cryZ0Z_12 "un4_sum_cry_12") (joined + (portRef (member CO 0) (instanceRef un4_sum_cry_12)) + (portRef CI (instanceRef un4_sum_cry_16)) + )) + (net (rename feedback_inc_data_9 "feedback_inc_data(9)") (joined + (portRef (member O 3) (instanceRef un4_sum_cry_12)) + (portRef (member feedback_inc_data 26)) + )) + (net (rename feedback_inc_data_10 "feedback_inc_data(10)") (joined + (portRef (member O 2) (instanceRef un4_sum_cry_12)) + (portRef (member feedback_inc_data 25)) + )) + (net (rename feedback_inc_data_11 "feedback_inc_data(11)") (joined + (portRef (member O 1) (instanceRef un4_sum_cry_12)) + (portRef (member feedback_inc_data 24)) + )) + (net (rename feedback_inc_data_12 "feedback_inc_data(12)") (joined + (portRef (member O 0) (instanceRef un4_sum_cry_12)) + (portRef (member feedback_inc_data 23)) + )) + (net (rename un4_sum_cryZ0Z_16 "un4_sum_cry_16") (joined + (portRef (member CO 0) (instanceRef un4_sum_cry_16)) + (portRef CI (instanceRef un4_sum_cry_20)) + )) + (net (rename feedback_inc_data_13 "feedback_inc_data(13)") (joined + (portRef (member O 3) (instanceRef un4_sum_cry_16)) + (portRef (member feedback_inc_data 22)) + )) + (net (rename feedback_inc_data_14 "feedback_inc_data(14)") (joined + (portRef (member O 2) (instanceRef un4_sum_cry_16)) + (portRef (member feedback_inc_data 21)) + )) + (net (rename feedback_inc_data_15 "feedback_inc_data(15)") (joined + (portRef (member O 1) (instanceRef un4_sum_cry_16)) + (portRef (member feedback_inc_data 20)) + )) + (net (rename feedback_inc_data_16 "feedback_inc_data(16)") (joined + (portRef (member O 0) (instanceRef un4_sum_cry_16)) + (portRef (member feedback_inc_data 19)) + )) + (net (rename un4_sum_cryZ0Z_20 "un4_sum_cry_20") (joined + (portRef (member CO 0) (instanceRef un4_sum_cry_20)) + (portRef CI (instanceRef un4_sum_cry_24)) + )) + (net (rename feedback_inc_data_17 "feedback_inc_data(17)") (joined + (portRef (member O 3) (instanceRef un4_sum_cry_20)) + (portRef (member feedback_inc_data 18)) + )) + (net (rename feedback_inc_data_18 "feedback_inc_data(18)") (joined + (portRef (member O 2) (instanceRef un4_sum_cry_20)) + (portRef (member feedback_inc_data 17)) + )) + (net (rename feedback_inc_data_19 "feedback_inc_data(19)") (joined + (portRef (member O 1) (instanceRef un4_sum_cry_20)) + (portRef (member feedback_inc_data 16)) + )) + (net (rename feedback_inc_data_20 "feedback_inc_data(20)") (joined + (portRef (member O 0) (instanceRef un4_sum_cry_20)) + (portRef (member feedback_inc_data 15)) + )) + (net (rename un4_sum_cryZ0Z_24 "un4_sum_cry_24") (joined + (portRef (member CO 0) (instanceRef un4_sum_cry_24)) + (portRef CI (instanceRef un4_sum_cry_28)) + )) + (net (rename feedback_inc_data_21 "feedback_inc_data(21)") (joined + (portRef (member O 3) (instanceRef un4_sum_cry_24)) + (portRef (member feedback_inc_data 14)) + )) + (net (rename feedback_inc_data_22 "feedback_inc_data(22)") (joined + (portRef (member O 2) (instanceRef un4_sum_cry_24)) + (portRef (member feedback_inc_data 13)) + )) + (net (rename feedback_inc_data_23 "feedback_inc_data(23)") (joined + (portRef (member O 1) (instanceRef un4_sum_cry_24)) + (portRef (member feedback_inc_data 12)) + )) + (net (rename feedback_inc_data_24 "feedback_inc_data(24)") (joined + (portRef (member O 0) (instanceRef un4_sum_cry_24)) + (portRef (member feedback_inc_data 11)) + )) + (net (rename un4_sum_cryZ0Z_28 "un4_sum_cry_28") (joined + (portRef (member CO 0) (instanceRef un4_sum_cry_28)) + (portRef CI (instanceRef un4_sum_cry_32)) + )) + (net (rename feedback_inc_data_25 "feedback_inc_data(25)") (joined + (portRef (member O 3) (instanceRef un4_sum_cry_28)) + (portRef (member feedback_inc_data 10)) + )) + (net (rename feedback_inc_data_26 "feedback_inc_data(26)") (joined + (portRef (member O 2) (instanceRef un4_sum_cry_28)) + (portRef (member feedback_inc_data 9)) + )) + (net (rename feedback_inc_data_27 "feedback_inc_data(27)") (joined + (portRef (member O 1) (instanceRef un4_sum_cry_28)) + (portRef (member feedback_inc_data 8)) + )) + (net (rename feedback_inc_data_28 "feedback_inc_data(28)") (joined + (portRef (member O 0) (instanceRef un4_sum_cry_28)) + (portRef (member feedback_inc_data 7)) + )) + (net (rename un4_sum_cryZ0Z_32 "un4_sum_cry_32") (joined + (portRef (member CO 0) (instanceRef un4_sum_cry_32)) + (portRef CI (instanceRef un4_sum_s_35)) + )) + (net (rename feedback_inc_data_29 "feedback_inc_data(29)") (joined + (portRef (member O 3) (instanceRef un4_sum_cry_32)) + (portRef (member feedback_inc_data 6)) + )) + (net (rename feedback_inc_data_30 "feedback_inc_data(30)") (joined + (portRef (member O 2) (instanceRef un4_sum_cry_32)) + (portRef (member feedback_inc_data 5)) + )) + (net (rename feedback_inc_data_31 "feedback_inc_data(31)") (joined + (portRef (member O 1) (instanceRef un4_sum_cry_32)) + (portRef (member feedback_inc_data 4)) + )) + (net (rename feedback_inc_data_32 "feedback_inc_data(32)") (joined + (portRef (member O 0) (instanceRef un4_sum_cry_32)) + (portRef (member feedback_inc_data 3)) + )) + (net (rename feedback_inc_data_33 "feedback_inc_data(33)") (joined + (portRef (member O 3) (instanceRef un4_sum_s_35)) + (portRef (member feedback_inc_data 2)) + )) + (net (rename feedback_inc_data_34 "feedback_inc_data(34)") (joined + (portRef (member O 2) (instanceRef un4_sum_s_35)) + (portRef (member feedback_inc_data 1)) + )) + (net (rename feedback_inc_data_35 "feedback_inc_data(35)") (joined + (portRef (member O 1) (instanceRef un4_sum_s_35)) + (portRef (member feedback_inc_data 0)) + )) + ) + (property orig_inst_of (string "DW01_inc")) + ) + ) + (cell DW01_inc_36_1 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(66:31)") 36) (direction INPUT)) + (port (array (rename feedback_inc_data "feedback_inc_data(35:1)") 35) (direction OUTPUT)) + ) + (contents + (instance un4_sum_axb_35 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_34 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_33 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_32 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_31 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_30 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_29 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_28 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_27 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_26 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_25 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_24 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_23 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_22 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_21 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_20 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_19 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_18 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_17 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_16 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_15 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_14 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_13 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_12 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_11 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_10 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_9 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_8 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_7 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_6 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_5 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_4 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_3 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_2 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_1 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_cry_4 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + (property xcn_c4chain_base (integer 1)) + ) + (instance un4_sum_cry_8 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un4_sum_cry_12 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un4_sum_cry_16 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un4_sum_cry_20 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un4_sum_cry_24 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un4_sum_cry_28 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un4_sum_cry_32 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un4_sum_s_35 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance GND (viewRef PRIM (cellRef GND (libraryRef UNILIB))) ) + (net (rename un1_psum0_signed_66 "un1_psum0_signed(66)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I0 (instanceRef un4_sum_axb_35)) + )) + (net (rename un4_sum_axbZ0Z_35 "un4_sum_axb_35") (joined + (portRef O (instanceRef un4_sum_axb_35)) + (portRef (member S 1) (instanceRef un4_sum_s_35)) + )) + (net (rename un1_psum0_signed_65 "un1_psum0_signed(65)") (joined + (portRef (member un1_psum0_signed 1)) + (portRef I0 (instanceRef un4_sum_axb_34)) + )) + (net (rename un4_sum_axbZ0Z_34 "un4_sum_axb_34") (joined + (portRef O (instanceRef un4_sum_axb_34)) + (portRef (member S 2) (instanceRef un4_sum_s_35)) + )) + (net (rename un1_psum0_signed_64 "un1_psum0_signed(64)") (joined + (portRef (member un1_psum0_signed 2)) + (portRef I0 (instanceRef un4_sum_axb_33)) + )) + (net (rename un4_sum_axbZ0Z_33 "un4_sum_axb_33") (joined + (portRef O (instanceRef un4_sum_axb_33)) + (portRef (member S 3) (instanceRef un4_sum_s_35)) + )) + (net (rename un1_psum0_signed_63 "un1_psum0_signed(63)") (joined + (portRef (member un1_psum0_signed 3)) + (portRef I0 (instanceRef un4_sum_axb_32)) + )) + (net (rename un4_sum_axbZ0Z_32 "un4_sum_axb_32") (joined + (portRef O (instanceRef un4_sum_axb_32)) + (portRef (member S 0) (instanceRef un4_sum_cry_32)) + )) + (net (rename un1_psum0_signed_62 "un1_psum0_signed(62)") (joined + (portRef (member un1_psum0_signed 4)) + (portRef I0 (instanceRef un4_sum_axb_31)) + )) + (net (rename un4_sum_axbZ0Z_31 "un4_sum_axb_31") (joined + (portRef O (instanceRef un4_sum_axb_31)) + (portRef (member S 1) (instanceRef un4_sum_cry_32)) + )) + (net (rename un1_psum0_signed_61 "un1_psum0_signed(61)") (joined + (portRef (member un1_psum0_signed 5)) + (portRef I0 (instanceRef un4_sum_axb_30)) + )) + (net (rename un4_sum_axbZ0Z_30 "un4_sum_axb_30") (joined + (portRef O (instanceRef un4_sum_axb_30)) + (portRef (member S 2) (instanceRef un4_sum_cry_32)) + )) + (net (rename un1_psum0_signed_60 "un1_psum0_signed(60)") (joined + (portRef (member un1_psum0_signed 6)) + (portRef I0 (instanceRef un4_sum_axb_29)) + )) + (net (rename un4_sum_axbZ0Z_29 "un4_sum_axb_29") (joined + (portRef O (instanceRef un4_sum_axb_29)) + (portRef (member S 3) (instanceRef un4_sum_cry_32)) + )) + (net (rename un1_psum0_signed_59 "un1_psum0_signed(59)") (joined + (portRef (member un1_psum0_signed 7)) + (portRef I0 (instanceRef un4_sum_axb_28)) + )) + (net (rename un4_sum_axbZ0Z_28 "un4_sum_axb_28") (joined + (portRef O (instanceRef un4_sum_axb_28)) + (portRef (member S 0) (instanceRef un4_sum_cry_28)) + )) + (net (rename un1_psum0_signed_58 "un1_psum0_signed(58)") (joined + (portRef (member un1_psum0_signed 8)) + (portRef I0 (instanceRef un4_sum_axb_27)) + )) + (net (rename un4_sum_axbZ0Z_27 "un4_sum_axb_27") (joined + (portRef O (instanceRef un4_sum_axb_27)) + (portRef (member S 1) (instanceRef un4_sum_cry_28)) + )) + (net (rename un1_psum0_signed_57 "un1_psum0_signed(57)") (joined + (portRef (member un1_psum0_signed 9)) + (portRef I0 (instanceRef un4_sum_axb_26)) + )) + (net (rename un4_sum_axbZ0Z_26 "un4_sum_axb_26") (joined + (portRef O (instanceRef un4_sum_axb_26)) + (portRef (member S 2) (instanceRef un4_sum_cry_28)) + )) + (net (rename un1_psum0_signed_56 "un1_psum0_signed(56)") (joined + (portRef (member un1_psum0_signed 10)) + (portRef I0 (instanceRef un4_sum_axb_25)) + )) + (net (rename un4_sum_axbZ0Z_25 "un4_sum_axb_25") (joined + (portRef O (instanceRef un4_sum_axb_25)) + (portRef (member S 3) (instanceRef un4_sum_cry_28)) + )) + (net (rename un1_psum0_signed_55 "un1_psum0_signed(55)") (joined + (portRef (member un1_psum0_signed 11)) + (portRef I0 (instanceRef un4_sum_axb_24)) + )) + (net (rename un4_sum_axbZ0Z_24 "un4_sum_axb_24") (joined + (portRef O (instanceRef un4_sum_axb_24)) + (portRef (member S 0) (instanceRef un4_sum_cry_24)) + )) + (net (rename un1_psum0_signed_54 "un1_psum0_signed(54)") (joined + (portRef (member un1_psum0_signed 12)) + (portRef I0 (instanceRef un4_sum_axb_23)) + )) + (net (rename un4_sum_axbZ0Z_23 "un4_sum_axb_23") (joined + (portRef O (instanceRef un4_sum_axb_23)) + (portRef (member S 1) (instanceRef un4_sum_cry_24)) + )) + (net (rename un1_psum0_signed_53 "un1_psum0_signed(53)") (joined + (portRef (member un1_psum0_signed 13)) + (portRef I0 (instanceRef un4_sum_axb_22)) + )) + (net (rename un4_sum_axbZ0Z_22 "un4_sum_axb_22") (joined + (portRef O (instanceRef un4_sum_axb_22)) + (portRef (member S 2) (instanceRef un4_sum_cry_24)) + )) + (net (rename un1_psum0_signed_52 "un1_psum0_signed(52)") (joined + (portRef (member un1_psum0_signed 14)) + (portRef I0 (instanceRef un4_sum_axb_21)) + )) + (net (rename un4_sum_axbZ0Z_21 "un4_sum_axb_21") (joined + (portRef O (instanceRef un4_sum_axb_21)) + (portRef (member S 3) (instanceRef un4_sum_cry_24)) + )) + (net (rename un1_psum0_signed_51 "un1_psum0_signed(51)") (joined + (portRef (member un1_psum0_signed 15)) + (portRef I0 (instanceRef un4_sum_axb_20)) + )) + (net (rename un4_sum_axbZ0Z_20 "un4_sum_axb_20") (joined + (portRef O (instanceRef un4_sum_axb_20)) + (portRef (member S 0) (instanceRef un4_sum_cry_20)) + )) + (net (rename un1_psum0_signed_50 "un1_psum0_signed(50)") (joined + (portRef (member un1_psum0_signed 16)) + (portRef I0 (instanceRef un4_sum_axb_19)) + )) + (net (rename un4_sum_axbZ0Z_19 "un4_sum_axb_19") (joined + (portRef O (instanceRef un4_sum_axb_19)) + (portRef (member S 1) (instanceRef un4_sum_cry_20)) + )) + (net (rename un1_psum0_signed_49 "un1_psum0_signed(49)") (joined + (portRef (member un1_psum0_signed 17)) + (portRef I0 (instanceRef un4_sum_axb_18)) + )) + (net (rename un4_sum_axbZ0Z_18 "un4_sum_axb_18") (joined + (portRef O (instanceRef un4_sum_axb_18)) + (portRef (member S 2) (instanceRef un4_sum_cry_20)) + )) + (net (rename un1_psum0_signed_48 "un1_psum0_signed(48)") (joined + (portRef (member un1_psum0_signed 18)) + (portRef I0 (instanceRef un4_sum_axb_17)) + )) + (net (rename un4_sum_axbZ0Z_17 "un4_sum_axb_17") (joined + (portRef O (instanceRef un4_sum_axb_17)) + (portRef (member S 3) (instanceRef un4_sum_cry_20)) + )) + (net (rename un1_psum0_signed_47 "un1_psum0_signed(47)") (joined + (portRef (member un1_psum0_signed 19)) + (portRef I0 (instanceRef un4_sum_axb_16)) + )) + (net (rename un4_sum_axbZ0Z_16 "un4_sum_axb_16") (joined + (portRef O (instanceRef un4_sum_axb_16)) + (portRef (member S 0) (instanceRef un4_sum_cry_16)) + )) + (net (rename un1_psum0_signed_46 "un1_psum0_signed(46)") (joined + (portRef (member un1_psum0_signed 20)) + (portRef I0 (instanceRef un4_sum_axb_15)) + )) + (net (rename un4_sum_axbZ0Z_15 "un4_sum_axb_15") (joined + (portRef O (instanceRef un4_sum_axb_15)) + (portRef (member S 1) (instanceRef un4_sum_cry_16)) + )) + (net (rename un1_psum0_signed_45 "un1_psum0_signed(45)") (joined + (portRef (member un1_psum0_signed 21)) + (portRef I0 (instanceRef un4_sum_axb_14)) + )) + (net (rename un4_sum_axbZ0Z_14 "un4_sum_axb_14") (joined + (portRef O (instanceRef un4_sum_axb_14)) + (portRef (member S 2) (instanceRef un4_sum_cry_16)) + )) + (net (rename un1_psum0_signed_44 "un1_psum0_signed(44)") (joined + (portRef (member un1_psum0_signed 22)) + (portRef I0 (instanceRef un4_sum_axb_13)) + )) + (net (rename un4_sum_axbZ0Z_13 "un4_sum_axb_13") (joined + (portRef O (instanceRef un4_sum_axb_13)) + (portRef (member S 3) (instanceRef un4_sum_cry_16)) + )) + (net (rename un1_psum0_signed_43 "un1_psum0_signed(43)") (joined + (portRef (member un1_psum0_signed 23)) + (portRef I0 (instanceRef un4_sum_axb_12)) + )) + (net (rename un4_sum_axbZ0Z_12 "un4_sum_axb_12") (joined + (portRef O (instanceRef un4_sum_axb_12)) + (portRef (member S 0) (instanceRef un4_sum_cry_12)) + )) + (net (rename un1_psum0_signed_42 "un1_psum0_signed(42)") (joined + (portRef (member un1_psum0_signed 24)) + (portRef I0 (instanceRef un4_sum_axb_11)) + )) + (net (rename un4_sum_axbZ0Z_11 "un4_sum_axb_11") (joined + (portRef O (instanceRef un4_sum_axb_11)) + (portRef (member S 1) (instanceRef un4_sum_cry_12)) + )) + (net (rename un1_psum0_signed_41 "un1_psum0_signed(41)") (joined + (portRef (member un1_psum0_signed 25)) + (portRef I0 (instanceRef un4_sum_axb_10)) + )) + (net (rename un4_sum_axbZ0Z_10 "un4_sum_axb_10") (joined + (portRef O (instanceRef un4_sum_axb_10)) + (portRef (member S 2) (instanceRef un4_sum_cry_12)) + )) + (net (rename un1_psum0_signed_40 "un1_psum0_signed(40)") (joined + (portRef (member un1_psum0_signed 26)) + (portRef I0 (instanceRef un4_sum_axb_9)) + )) + (net (rename un4_sum_axbZ0Z_9 "un4_sum_axb_9") (joined + (portRef O (instanceRef un4_sum_axb_9)) + (portRef (member S 3) (instanceRef un4_sum_cry_12)) + )) + (net (rename un1_psum0_signed_39 "un1_psum0_signed(39)") (joined + (portRef (member un1_psum0_signed 27)) + (portRef I0 (instanceRef un4_sum_axb_8)) + )) + (net (rename un4_sum_axbZ0Z_8 "un4_sum_axb_8") (joined + (portRef O (instanceRef un4_sum_axb_8)) + (portRef (member S 0) (instanceRef un4_sum_cry_8)) + )) + (net (rename un1_psum0_signed_38 "un1_psum0_signed(38)") (joined + (portRef (member un1_psum0_signed 28)) + (portRef I0 (instanceRef un4_sum_axb_7)) + )) + (net (rename un4_sum_axbZ0Z_7 "un4_sum_axb_7") (joined + (portRef O (instanceRef un4_sum_axb_7)) + (portRef (member S 1) (instanceRef un4_sum_cry_8)) + )) + (net (rename un1_psum0_signed_37 "un1_psum0_signed(37)") (joined + (portRef (member un1_psum0_signed 29)) + (portRef I0 (instanceRef un4_sum_axb_6)) + )) + (net (rename un4_sum_axbZ0Z_6 "un4_sum_axb_6") (joined + (portRef O (instanceRef un4_sum_axb_6)) + (portRef (member S 2) (instanceRef un4_sum_cry_8)) + )) + (net (rename un1_psum0_signed_36 "un1_psum0_signed(36)") (joined + (portRef (member un1_psum0_signed 30)) + (portRef I0 (instanceRef un4_sum_axb_5)) + )) + (net (rename un4_sum_axbZ0Z_5 "un4_sum_axb_5") (joined + (portRef O (instanceRef un4_sum_axb_5)) + (portRef (member S 3) (instanceRef un4_sum_cry_8)) + )) + (net (rename un1_psum0_signed_35 "un1_psum0_signed(35)") (joined + (portRef (member un1_psum0_signed 31)) + (portRef I0 (instanceRef un4_sum_axb_4)) + )) + (net (rename un4_sum_axbZ0Z_4 "un4_sum_axb_4") (joined + (portRef O (instanceRef un4_sum_axb_4)) + (portRef (member S 0) (instanceRef un4_sum_cry_4)) + )) + (net (rename un1_psum0_signed_34 "un1_psum0_signed(34)") (joined + (portRef (member un1_psum0_signed 32)) + (portRef I0 (instanceRef un4_sum_axb_3)) + )) + (net (rename un4_sum_axbZ0Z_3 "un4_sum_axb_3") (joined + (portRef O (instanceRef un4_sum_axb_3)) + (portRef (member S 1) (instanceRef un4_sum_cry_4)) + )) + (net (rename un1_psum0_signed_33 "un1_psum0_signed(33)") (joined + (portRef (member un1_psum0_signed 33)) + (portRef I0 (instanceRef un4_sum_axb_2)) + )) + (net (rename un4_sum_axbZ0Z_2 "un4_sum_axb_2") (joined + (portRef O (instanceRef un4_sum_axb_2)) + (portRef (member S 2) (instanceRef un4_sum_cry_4)) + )) + (net (rename un1_psum0_signed_32 "un1_psum0_signed(32)") (joined + (portRef (member un1_psum0_signed 34)) + (portRef I0 (instanceRef un4_sum_axb_1)) + )) + (net (rename un4_sum_axbZ0Z_1 "un4_sum_axb_1") (joined + (portRef O (instanceRef un4_sum_axb_1)) + (portRef (member S 3) (instanceRef un4_sum_cry_4)) + )) + (net (rename un4_sum_cryZ0Z_4 "un4_sum_cry_4") (joined + (portRef (member CO 0) (instanceRef un4_sum_cry_4)) + (portRef CI (instanceRef un4_sum_cry_8)) + )) + (net (rename feedback_inc_data_1 "feedback_inc_data(1)") (joined + (portRef (member O 3) (instanceRef un4_sum_cry_4)) + (portRef (member feedback_inc_data 34)) + )) + (net (rename feedback_inc_data_2 "feedback_inc_data(2)") (joined + (portRef (member O 2) (instanceRef un4_sum_cry_4)) + (portRef (member feedback_inc_data 33)) + )) + (net (rename feedback_inc_data_3 "feedback_inc_data(3)") (joined + (portRef (member O 1) (instanceRef un4_sum_cry_4)) + (portRef (member feedback_inc_data 32)) + )) + (net (rename feedback_inc_data_4 "feedback_inc_data(4)") (joined + (portRef (member O 0) (instanceRef un4_sum_cry_4)) + (portRef (member feedback_inc_data 31)) + )) + (net (rename GNDZ0 "GND") (joined + (portRef G (instanceRef GND)) + (portRef (member S 0) (instanceRef un4_sum_s_35)) + (portRef (member DI 0) (instanceRef un4_sum_s_35)) + (portRef (member DI 1) (instanceRef un4_sum_s_35)) + (portRef (member DI 2) (instanceRef un4_sum_s_35)) + (portRef (member DI 3) (instanceRef un4_sum_s_35)) + (portRef CYINIT (instanceRef un4_sum_s_35)) + (portRef (member DI 0) (instanceRef un4_sum_cry_32)) + (portRef (member DI 1) (instanceRef un4_sum_cry_32)) + (portRef (member DI 2) (instanceRef un4_sum_cry_32)) + (portRef (member DI 3) (instanceRef un4_sum_cry_32)) + (portRef CYINIT (instanceRef un4_sum_cry_32)) + (portRef (member DI 0) (instanceRef un4_sum_cry_28)) + (portRef (member DI 1) (instanceRef un4_sum_cry_28)) + (portRef (member DI 2) (instanceRef un4_sum_cry_28)) + (portRef (member DI 3) (instanceRef un4_sum_cry_28)) + (portRef CYINIT (instanceRef un4_sum_cry_28)) + (portRef (member DI 0) (instanceRef un4_sum_cry_24)) + (portRef (member DI 1) (instanceRef un4_sum_cry_24)) + (portRef (member DI 2) (instanceRef un4_sum_cry_24)) + (portRef (member DI 3) (instanceRef un4_sum_cry_24)) + (portRef CYINIT (instanceRef un4_sum_cry_24)) + (portRef (member DI 0) (instanceRef un4_sum_cry_20)) + (portRef (member DI 1) (instanceRef un4_sum_cry_20)) + (portRef (member DI 2) (instanceRef un4_sum_cry_20)) + (portRef (member DI 3) (instanceRef un4_sum_cry_20)) + (portRef CYINIT (instanceRef un4_sum_cry_20)) + (portRef (member DI 0) (instanceRef un4_sum_cry_16)) + (portRef (member DI 1) (instanceRef un4_sum_cry_16)) + (portRef (member DI 2) (instanceRef un4_sum_cry_16)) + (portRef (member DI 3) (instanceRef un4_sum_cry_16)) + (portRef CYINIT (instanceRef un4_sum_cry_16)) + (portRef (member DI 0) (instanceRef un4_sum_cry_12)) + (portRef (member DI 1) (instanceRef un4_sum_cry_12)) + (portRef (member DI 2) (instanceRef un4_sum_cry_12)) + (portRef (member DI 3) (instanceRef un4_sum_cry_12)) + (portRef CYINIT (instanceRef un4_sum_cry_12)) + (portRef (member DI 0) (instanceRef un4_sum_cry_8)) + (portRef (member DI 1) (instanceRef un4_sum_cry_8)) + (portRef (member DI 2) (instanceRef un4_sum_cry_8)) + (portRef (member DI 3) (instanceRef un4_sum_cry_8)) + (portRef CYINIT (instanceRef un4_sum_cry_8)) + (portRef (member DI 0) (instanceRef un4_sum_cry_4)) + (portRef (member DI 1) (instanceRef un4_sum_cry_4)) + (portRef (member DI 2) (instanceRef un4_sum_cry_4)) + (portRef (member DI 3) (instanceRef un4_sum_cry_4)) + (portRef CI (instanceRef un4_sum_cry_4)) + )) + (net (rename un1_psum0_signed_31 "un1_psum0_signed(31)") (joined + (portRef (member un1_psum0_signed 35)) + (portRef CYINIT (instanceRef un4_sum_cry_4)) + )) + (net (rename un4_sum_cryZ0Z_8 "un4_sum_cry_8") (joined + (portRef (member CO 0) (instanceRef un4_sum_cry_8)) + (portRef CI (instanceRef un4_sum_cry_12)) + )) + (net (rename feedback_inc_data_5 "feedback_inc_data(5)") (joined + (portRef (member O 3) (instanceRef un4_sum_cry_8)) + (portRef (member feedback_inc_data 30)) + )) + (net (rename feedback_inc_data_6 "feedback_inc_data(6)") (joined + (portRef (member O 2) (instanceRef un4_sum_cry_8)) + (portRef (member feedback_inc_data 29)) + )) + (net (rename feedback_inc_data_7 "feedback_inc_data(7)") (joined + (portRef (member O 1) (instanceRef un4_sum_cry_8)) + (portRef (member feedback_inc_data 28)) + )) + (net (rename feedback_inc_data_8 "feedback_inc_data(8)") (joined + (portRef (member O 0) (instanceRef un4_sum_cry_8)) + (portRef (member feedback_inc_data 27)) + )) + (net (rename un4_sum_cryZ0Z_12 "un4_sum_cry_12") (joined + (portRef (member CO 0) (instanceRef un4_sum_cry_12)) + (portRef CI (instanceRef un4_sum_cry_16)) + )) + (net (rename feedback_inc_data_9 "feedback_inc_data(9)") (joined + (portRef (member O 3) (instanceRef un4_sum_cry_12)) + (portRef (member feedback_inc_data 26)) + )) + (net (rename feedback_inc_data_10 "feedback_inc_data(10)") (joined + (portRef (member O 2) (instanceRef un4_sum_cry_12)) + (portRef (member feedback_inc_data 25)) + )) + (net (rename feedback_inc_data_11 "feedback_inc_data(11)") (joined + (portRef (member O 1) (instanceRef un4_sum_cry_12)) + (portRef (member feedback_inc_data 24)) + )) + (net (rename feedback_inc_data_12 "feedback_inc_data(12)") (joined + (portRef (member O 0) (instanceRef un4_sum_cry_12)) + (portRef (member feedback_inc_data 23)) + )) + (net (rename un4_sum_cryZ0Z_16 "un4_sum_cry_16") (joined + (portRef (member CO 0) (instanceRef un4_sum_cry_16)) + (portRef CI (instanceRef un4_sum_cry_20)) + )) + (net (rename feedback_inc_data_13 "feedback_inc_data(13)") (joined + (portRef (member O 3) (instanceRef un4_sum_cry_16)) + (portRef (member feedback_inc_data 22)) + )) + (net (rename feedback_inc_data_14 "feedback_inc_data(14)") (joined + (portRef (member O 2) (instanceRef un4_sum_cry_16)) + (portRef (member feedback_inc_data 21)) + )) + (net (rename feedback_inc_data_15 "feedback_inc_data(15)") (joined + (portRef (member O 1) (instanceRef un4_sum_cry_16)) + (portRef (member feedback_inc_data 20)) + )) + (net (rename feedback_inc_data_16 "feedback_inc_data(16)") (joined + (portRef (member O 0) (instanceRef un4_sum_cry_16)) + (portRef (member feedback_inc_data 19)) + )) + (net (rename un4_sum_cryZ0Z_20 "un4_sum_cry_20") (joined + (portRef (member CO 0) (instanceRef un4_sum_cry_20)) + (portRef CI (instanceRef un4_sum_cry_24)) + )) + (net (rename feedback_inc_data_17 "feedback_inc_data(17)") (joined + (portRef (member O 3) (instanceRef un4_sum_cry_20)) + (portRef (member feedback_inc_data 18)) + )) + (net (rename feedback_inc_data_18 "feedback_inc_data(18)") (joined + (portRef (member O 2) (instanceRef un4_sum_cry_20)) + (portRef (member feedback_inc_data 17)) + )) + (net (rename feedback_inc_data_19 "feedback_inc_data(19)") (joined + (portRef (member O 1) (instanceRef un4_sum_cry_20)) + (portRef (member feedback_inc_data 16)) + )) + (net (rename feedback_inc_data_20 "feedback_inc_data(20)") (joined + (portRef (member O 0) (instanceRef un4_sum_cry_20)) + (portRef (member feedback_inc_data 15)) + )) + (net (rename un4_sum_cryZ0Z_24 "un4_sum_cry_24") (joined + (portRef (member CO 0) (instanceRef un4_sum_cry_24)) + (portRef CI (instanceRef un4_sum_cry_28)) + )) + (net (rename feedback_inc_data_21 "feedback_inc_data(21)") (joined + (portRef (member O 3) (instanceRef un4_sum_cry_24)) + (portRef (member feedback_inc_data 14)) + )) + (net (rename feedback_inc_data_22 "feedback_inc_data(22)") (joined + (portRef (member O 2) (instanceRef un4_sum_cry_24)) + (portRef (member feedback_inc_data 13)) + )) + (net (rename feedback_inc_data_23 "feedback_inc_data(23)") (joined + (portRef (member O 1) (instanceRef un4_sum_cry_24)) + (portRef (member feedback_inc_data 12)) + )) + (net (rename feedback_inc_data_24 "feedback_inc_data(24)") (joined + (portRef (member O 0) (instanceRef un4_sum_cry_24)) + (portRef (member feedback_inc_data 11)) + )) + (net (rename un4_sum_cryZ0Z_28 "un4_sum_cry_28") (joined + (portRef (member CO 0) (instanceRef un4_sum_cry_28)) + (portRef CI (instanceRef un4_sum_cry_32)) + )) + (net (rename feedback_inc_data_25 "feedback_inc_data(25)") (joined + (portRef (member O 3) (instanceRef un4_sum_cry_28)) + (portRef (member feedback_inc_data 10)) + )) + (net (rename feedback_inc_data_26 "feedback_inc_data(26)") (joined + (portRef (member O 2) (instanceRef un4_sum_cry_28)) + (portRef (member feedback_inc_data 9)) + )) + (net (rename feedback_inc_data_27 "feedback_inc_data(27)") (joined + (portRef (member O 1) (instanceRef un4_sum_cry_28)) + (portRef (member feedback_inc_data 8)) + )) + (net (rename feedback_inc_data_28 "feedback_inc_data(28)") (joined + (portRef (member O 0) (instanceRef un4_sum_cry_28)) + (portRef (member feedback_inc_data 7)) + )) + (net (rename un4_sum_cryZ0Z_32 "un4_sum_cry_32") (joined + (portRef (member CO 0) (instanceRef un4_sum_cry_32)) + (portRef CI (instanceRef un4_sum_s_35)) + )) + (net (rename feedback_inc_data_29 "feedback_inc_data(29)") (joined + (portRef (member O 3) (instanceRef un4_sum_cry_32)) + (portRef (member feedback_inc_data 6)) + )) + (net (rename feedback_inc_data_30 "feedback_inc_data(30)") (joined + (portRef (member O 2) (instanceRef un4_sum_cry_32)) + (portRef (member feedback_inc_data 5)) + )) + (net (rename feedback_inc_data_31 "feedback_inc_data(31)") (joined + (portRef (member O 1) (instanceRef un4_sum_cry_32)) + (portRef (member feedback_inc_data 4)) + )) + (net (rename feedback_inc_data_32 "feedback_inc_data(32)") (joined + (portRef (member O 0) (instanceRef un4_sum_cry_32)) + (portRef (member feedback_inc_data 3)) + )) + (net (rename feedback_inc_data_33 "feedback_inc_data(33)") (joined + (portRef (member O 3) (instanceRef un4_sum_s_35)) + (portRef (member feedback_inc_data 2)) + )) + (net (rename feedback_inc_data_34 "feedback_inc_data(34)") (joined + (portRef (member O 2) (instanceRef un4_sum_s_35)) + (portRef (member feedback_inc_data 1)) + )) + (net (rename feedback_inc_data_35 "feedback_inc_data(35)") (joined + (portRef (member O 1) (instanceRef un4_sum_s_35)) + (portRef (member feedback_inc_data 0)) + )) + ) + (property orig_inst_of (string "DW01_inc")) + ) + ) + (cell DW01_inc_36_0 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(66:31)") 36) (direction INPUT)) + (port (array (rename feedback_inc_data "feedback_inc_data(35:1)") 35) (direction OUTPUT)) + ) + (contents + (instance un4_sum_axb_35 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_34 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_33 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_32 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_31 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_30 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_29 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_28 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_27 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_26 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_25 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_24 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_23 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_22 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_21 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_20 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_19 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_18 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_17 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_16 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_15 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_14 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_13 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_12 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_11 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_10 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_9 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_8 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_7 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_6 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_5 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_4 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_3 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_2 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_1 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_cry_4 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + (property xcn_c4chain_base (integer 1)) + ) + (instance un4_sum_cry_8 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un4_sum_cry_12 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un4_sum_cry_16 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un4_sum_cry_20 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un4_sum_cry_24 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un4_sum_cry_28 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un4_sum_cry_32 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un4_sum_s_35 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance GND (viewRef PRIM (cellRef GND (libraryRef UNILIB))) ) + (net (rename un1_psum0_signed_66 "un1_psum0_signed(66)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I0 (instanceRef un4_sum_axb_35)) + )) + (net (rename un4_sum_axbZ0Z_35 "un4_sum_axb_35") (joined + (portRef O (instanceRef un4_sum_axb_35)) + (portRef (member S 1) (instanceRef un4_sum_s_35)) + )) + (net (rename un1_psum0_signed_65 "un1_psum0_signed(65)") (joined + (portRef (member un1_psum0_signed 1)) + (portRef I0 (instanceRef un4_sum_axb_34)) + )) + (net (rename un4_sum_axbZ0Z_34 "un4_sum_axb_34") (joined + (portRef O (instanceRef un4_sum_axb_34)) + (portRef (member S 2) (instanceRef un4_sum_s_35)) + )) + (net (rename un1_psum0_signed_64 "un1_psum0_signed(64)") (joined + (portRef (member un1_psum0_signed 2)) + (portRef I0 (instanceRef un4_sum_axb_33)) + )) + (net (rename un4_sum_axbZ0Z_33 "un4_sum_axb_33") (joined + (portRef O (instanceRef un4_sum_axb_33)) + (portRef (member S 3) (instanceRef un4_sum_s_35)) + )) + (net (rename un1_psum0_signed_63 "un1_psum0_signed(63)") (joined + (portRef (member un1_psum0_signed 3)) + (portRef I0 (instanceRef un4_sum_axb_32)) + )) + (net (rename un4_sum_axbZ0Z_32 "un4_sum_axb_32") (joined + (portRef O (instanceRef un4_sum_axb_32)) + (portRef (member S 0) (instanceRef un4_sum_cry_32)) + )) + (net (rename un1_psum0_signed_62 "un1_psum0_signed(62)") (joined + (portRef (member un1_psum0_signed 4)) + (portRef I0 (instanceRef un4_sum_axb_31)) + )) + (net (rename un4_sum_axbZ0Z_31 "un4_sum_axb_31") (joined + (portRef O (instanceRef un4_sum_axb_31)) + (portRef (member S 1) (instanceRef un4_sum_cry_32)) + )) + (net (rename un1_psum0_signed_61 "un1_psum0_signed(61)") (joined + (portRef (member un1_psum0_signed 5)) + (portRef I0 (instanceRef un4_sum_axb_30)) + )) + (net (rename un4_sum_axbZ0Z_30 "un4_sum_axb_30") (joined + (portRef O (instanceRef un4_sum_axb_30)) + (portRef (member S 2) (instanceRef un4_sum_cry_32)) + )) + (net (rename un1_psum0_signed_60 "un1_psum0_signed(60)") (joined + (portRef (member un1_psum0_signed 6)) + (portRef I0 (instanceRef un4_sum_axb_29)) + )) + (net (rename un4_sum_axbZ0Z_29 "un4_sum_axb_29") (joined + (portRef O (instanceRef un4_sum_axb_29)) + (portRef (member S 3) (instanceRef un4_sum_cry_32)) + )) + (net (rename un1_psum0_signed_59 "un1_psum0_signed(59)") (joined + (portRef (member un1_psum0_signed 7)) + (portRef I0 (instanceRef un4_sum_axb_28)) + )) + (net (rename un4_sum_axbZ0Z_28 "un4_sum_axb_28") (joined + (portRef O (instanceRef un4_sum_axb_28)) + (portRef (member S 0) (instanceRef un4_sum_cry_28)) + )) + (net (rename un1_psum0_signed_58 "un1_psum0_signed(58)") (joined + (portRef (member un1_psum0_signed 8)) + (portRef I0 (instanceRef un4_sum_axb_27)) + )) + (net (rename un4_sum_axbZ0Z_27 "un4_sum_axb_27") (joined + (portRef O (instanceRef un4_sum_axb_27)) + (portRef (member S 1) (instanceRef un4_sum_cry_28)) + )) + (net (rename un1_psum0_signed_57 "un1_psum0_signed(57)") (joined + (portRef (member un1_psum0_signed 9)) + (portRef I0 (instanceRef un4_sum_axb_26)) + )) + (net (rename un4_sum_axbZ0Z_26 "un4_sum_axb_26") (joined + (portRef O (instanceRef un4_sum_axb_26)) + (portRef (member S 2) (instanceRef un4_sum_cry_28)) + )) + (net (rename un1_psum0_signed_56 "un1_psum0_signed(56)") (joined + (portRef (member un1_psum0_signed 10)) + (portRef I0 (instanceRef un4_sum_axb_25)) + )) + (net (rename un4_sum_axbZ0Z_25 "un4_sum_axb_25") (joined + (portRef O (instanceRef un4_sum_axb_25)) + (portRef (member S 3) (instanceRef un4_sum_cry_28)) + )) + (net (rename un1_psum0_signed_55 "un1_psum0_signed(55)") (joined + (portRef (member un1_psum0_signed 11)) + (portRef I0 (instanceRef un4_sum_axb_24)) + )) + (net (rename un4_sum_axbZ0Z_24 "un4_sum_axb_24") (joined + (portRef O (instanceRef un4_sum_axb_24)) + (portRef (member S 0) (instanceRef un4_sum_cry_24)) + )) + (net (rename un1_psum0_signed_54 "un1_psum0_signed(54)") (joined + (portRef (member un1_psum0_signed 12)) + (portRef I0 (instanceRef un4_sum_axb_23)) + )) + (net (rename un4_sum_axbZ0Z_23 "un4_sum_axb_23") (joined + (portRef O (instanceRef un4_sum_axb_23)) + (portRef (member S 1) (instanceRef un4_sum_cry_24)) + )) + (net (rename un1_psum0_signed_53 "un1_psum0_signed(53)") (joined + (portRef (member un1_psum0_signed 13)) + (portRef I0 (instanceRef un4_sum_axb_22)) + )) + (net (rename un4_sum_axbZ0Z_22 "un4_sum_axb_22") (joined + (portRef O (instanceRef un4_sum_axb_22)) + (portRef (member S 2) (instanceRef un4_sum_cry_24)) + )) + (net (rename un1_psum0_signed_52 "un1_psum0_signed(52)") (joined + (portRef (member un1_psum0_signed 14)) + (portRef I0 (instanceRef un4_sum_axb_21)) + )) + (net (rename un4_sum_axbZ0Z_21 "un4_sum_axb_21") (joined + (portRef O (instanceRef un4_sum_axb_21)) + (portRef (member S 3) (instanceRef un4_sum_cry_24)) + )) + (net (rename un1_psum0_signed_51 "un1_psum0_signed(51)") (joined + (portRef (member un1_psum0_signed 15)) + (portRef I0 (instanceRef un4_sum_axb_20)) + )) + (net (rename un4_sum_axbZ0Z_20 "un4_sum_axb_20") (joined + (portRef O (instanceRef un4_sum_axb_20)) + (portRef (member S 0) (instanceRef un4_sum_cry_20)) + )) + (net (rename un1_psum0_signed_50 "un1_psum0_signed(50)") (joined + (portRef (member un1_psum0_signed 16)) + (portRef I0 (instanceRef un4_sum_axb_19)) + )) + (net (rename un4_sum_axbZ0Z_19 "un4_sum_axb_19") (joined + (portRef O (instanceRef un4_sum_axb_19)) + (portRef (member S 1) (instanceRef un4_sum_cry_20)) + )) + (net (rename un1_psum0_signed_49 "un1_psum0_signed(49)") (joined + (portRef (member un1_psum0_signed 17)) + (portRef I0 (instanceRef un4_sum_axb_18)) + )) + (net (rename un4_sum_axbZ0Z_18 "un4_sum_axb_18") (joined + (portRef O (instanceRef un4_sum_axb_18)) + (portRef (member S 2) (instanceRef un4_sum_cry_20)) + )) + (net (rename un1_psum0_signed_48 "un1_psum0_signed(48)") (joined + (portRef (member un1_psum0_signed 18)) + (portRef I0 (instanceRef un4_sum_axb_17)) + )) + (net (rename un4_sum_axbZ0Z_17 "un4_sum_axb_17") (joined + (portRef O (instanceRef un4_sum_axb_17)) + (portRef (member S 3) (instanceRef un4_sum_cry_20)) + )) + (net (rename un1_psum0_signed_47 "un1_psum0_signed(47)") (joined + (portRef (member un1_psum0_signed 19)) + (portRef I0 (instanceRef un4_sum_axb_16)) + )) + (net (rename un4_sum_axbZ0Z_16 "un4_sum_axb_16") (joined + (portRef O (instanceRef un4_sum_axb_16)) + (portRef (member S 0) (instanceRef un4_sum_cry_16)) + )) + (net (rename un1_psum0_signed_46 "un1_psum0_signed(46)") (joined + (portRef (member un1_psum0_signed 20)) + (portRef I0 (instanceRef un4_sum_axb_15)) + )) + (net (rename un4_sum_axbZ0Z_15 "un4_sum_axb_15") (joined + (portRef O (instanceRef un4_sum_axb_15)) + (portRef (member S 1) (instanceRef un4_sum_cry_16)) + )) + (net (rename un1_psum0_signed_45 "un1_psum0_signed(45)") (joined + (portRef (member un1_psum0_signed 21)) + (portRef I0 (instanceRef un4_sum_axb_14)) + )) + (net (rename un4_sum_axbZ0Z_14 "un4_sum_axb_14") (joined + (portRef O (instanceRef un4_sum_axb_14)) + (portRef (member S 2) (instanceRef un4_sum_cry_16)) + )) + (net (rename un1_psum0_signed_44 "un1_psum0_signed(44)") (joined + (portRef (member un1_psum0_signed 22)) + (portRef I0 (instanceRef un4_sum_axb_13)) + )) + (net (rename un4_sum_axbZ0Z_13 "un4_sum_axb_13") (joined + (portRef O (instanceRef un4_sum_axb_13)) + (portRef (member S 3) (instanceRef un4_sum_cry_16)) + )) + (net (rename un1_psum0_signed_43 "un1_psum0_signed(43)") (joined + (portRef (member un1_psum0_signed 23)) + (portRef I0 (instanceRef un4_sum_axb_12)) + )) + (net (rename un4_sum_axbZ0Z_12 "un4_sum_axb_12") (joined + (portRef O (instanceRef un4_sum_axb_12)) + (portRef (member S 0) (instanceRef un4_sum_cry_12)) + )) + (net (rename un1_psum0_signed_42 "un1_psum0_signed(42)") (joined + (portRef (member un1_psum0_signed 24)) + (portRef I0 (instanceRef un4_sum_axb_11)) + )) + (net (rename un4_sum_axbZ0Z_11 "un4_sum_axb_11") (joined + (portRef O (instanceRef un4_sum_axb_11)) + (portRef (member S 1) (instanceRef un4_sum_cry_12)) + )) + (net (rename un1_psum0_signed_41 "un1_psum0_signed(41)") (joined + (portRef (member un1_psum0_signed 25)) + (portRef I0 (instanceRef un4_sum_axb_10)) + )) + (net (rename un4_sum_axbZ0Z_10 "un4_sum_axb_10") (joined + (portRef O (instanceRef un4_sum_axb_10)) + (portRef (member S 2) (instanceRef un4_sum_cry_12)) + )) + (net (rename un1_psum0_signed_40 "un1_psum0_signed(40)") (joined + (portRef (member un1_psum0_signed 26)) + (portRef I0 (instanceRef un4_sum_axb_9)) + )) + (net (rename un4_sum_axbZ0Z_9 "un4_sum_axb_9") (joined + (portRef O (instanceRef un4_sum_axb_9)) + (portRef (member S 3) (instanceRef un4_sum_cry_12)) + )) + (net (rename un1_psum0_signed_39 "un1_psum0_signed(39)") (joined + (portRef (member un1_psum0_signed 27)) + (portRef I0 (instanceRef un4_sum_axb_8)) + )) + (net (rename un4_sum_axbZ0Z_8 "un4_sum_axb_8") (joined + (portRef O (instanceRef un4_sum_axb_8)) + (portRef (member S 0) (instanceRef un4_sum_cry_8)) + )) + (net (rename un1_psum0_signed_38 "un1_psum0_signed(38)") (joined + (portRef (member un1_psum0_signed 28)) + (portRef I0 (instanceRef un4_sum_axb_7)) + )) + (net (rename un4_sum_axbZ0Z_7 "un4_sum_axb_7") (joined + (portRef O (instanceRef un4_sum_axb_7)) + (portRef (member S 1) (instanceRef un4_sum_cry_8)) + )) + (net (rename un1_psum0_signed_37 "un1_psum0_signed(37)") (joined + (portRef (member un1_psum0_signed 29)) + (portRef I0 (instanceRef un4_sum_axb_6)) + )) + (net (rename un4_sum_axbZ0Z_6 "un4_sum_axb_6") (joined + (portRef O (instanceRef un4_sum_axb_6)) + (portRef (member S 2) (instanceRef un4_sum_cry_8)) + )) + (net (rename un1_psum0_signed_36 "un1_psum0_signed(36)") (joined + (portRef (member un1_psum0_signed 30)) + (portRef I0 (instanceRef un4_sum_axb_5)) + )) + (net (rename un4_sum_axbZ0Z_5 "un4_sum_axb_5") (joined + (portRef O (instanceRef un4_sum_axb_5)) + (portRef (member S 3) (instanceRef un4_sum_cry_8)) + )) + (net (rename un1_psum0_signed_35 "un1_psum0_signed(35)") (joined + (portRef (member un1_psum0_signed 31)) + (portRef I0 (instanceRef un4_sum_axb_4)) + )) + (net (rename un4_sum_axbZ0Z_4 "un4_sum_axb_4") (joined + (portRef O (instanceRef un4_sum_axb_4)) + (portRef (member S 0) (instanceRef un4_sum_cry_4)) + )) + (net (rename un1_psum0_signed_34 "un1_psum0_signed(34)") (joined + (portRef (member un1_psum0_signed 32)) + (portRef I0 (instanceRef un4_sum_axb_3)) + )) + (net (rename un4_sum_axbZ0Z_3 "un4_sum_axb_3") (joined + (portRef O (instanceRef un4_sum_axb_3)) + (portRef (member S 1) (instanceRef un4_sum_cry_4)) + )) + (net (rename un1_psum0_signed_33 "un1_psum0_signed(33)") (joined + (portRef (member un1_psum0_signed 33)) + (portRef I0 (instanceRef un4_sum_axb_2)) + )) + (net (rename un4_sum_axbZ0Z_2 "un4_sum_axb_2") (joined + (portRef O (instanceRef un4_sum_axb_2)) + (portRef (member S 2) (instanceRef un4_sum_cry_4)) + )) + (net (rename un1_psum0_signed_32 "un1_psum0_signed(32)") (joined + (portRef (member un1_psum0_signed 34)) + (portRef I0 (instanceRef un4_sum_axb_1)) + )) + (net (rename un4_sum_axbZ0Z_1 "un4_sum_axb_1") (joined + (portRef O (instanceRef un4_sum_axb_1)) + (portRef (member S 3) (instanceRef un4_sum_cry_4)) + )) + (net (rename un4_sum_cryZ0Z_4 "un4_sum_cry_4") (joined + (portRef (member CO 0) (instanceRef un4_sum_cry_4)) + (portRef CI (instanceRef un4_sum_cry_8)) + )) + (net (rename feedback_inc_data_1 "feedback_inc_data(1)") (joined + (portRef (member O 3) (instanceRef un4_sum_cry_4)) + (portRef (member feedback_inc_data 34)) + )) + (net (rename feedback_inc_data_2 "feedback_inc_data(2)") (joined + (portRef (member O 2) (instanceRef un4_sum_cry_4)) + (portRef (member feedback_inc_data 33)) + )) + (net (rename feedback_inc_data_3 "feedback_inc_data(3)") (joined + (portRef (member O 1) (instanceRef un4_sum_cry_4)) + (portRef (member feedback_inc_data 32)) + )) + (net (rename feedback_inc_data_4 "feedback_inc_data(4)") (joined + (portRef (member O 0) (instanceRef un4_sum_cry_4)) + (portRef (member feedback_inc_data 31)) + )) + (net (rename GNDZ0 "GND") (joined + (portRef G (instanceRef GND)) + (portRef (member S 0) (instanceRef un4_sum_s_35)) + (portRef (member DI 0) (instanceRef un4_sum_s_35)) + (portRef (member DI 1) (instanceRef un4_sum_s_35)) + (portRef (member DI 2) (instanceRef un4_sum_s_35)) + (portRef (member DI 3) (instanceRef un4_sum_s_35)) + (portRef CYINIT (instanceRef un4_sum_s_35)) + (portRef (member DI 0) (instanceRef un4_sum_cry_32)) + (portRef (member DI 1) (instanceRef un4_sum_cry_32)) + (portRef (member DI 2) (instanceRef un4_sum_cry_32)) + (portRef (member DI 3) (instanceRef un4_sum_cry_32)) + (portRef CYINIT (instanceRef un4_sum_cry_32)) + (portRef (member DI 0) (instanceRef un4_sum_cry_28)) + (portRef (member DI 1) (instanceRef un4_sum_cry_28)) + (portRef (member DI 2) (instanceRef un4_sum_cry_28)) + (portRef (member DI 3) (instanceRef un4_sum_cry_28)) + (portRef CYINIT (instanceRef un4_sum_cry_28)) + (portRef (member DI 0) (instanceRef un4_sum_cry_24)) + (portRef (member DI 1) (instanceRef un4_sum_cry_24)) + (portRef (member DI 2) (instanceRef un4_sum_cry_24)) + (portRef (member DI 3) (instanceRef un4_sum_cry_24)) + (portRef CYINIT (instanceRef un4_sum_cry_24)) + (portRef (member DI 0) (instanceRef un4_sum_cry_20)) + (portRef (member DI 1) (instanceRef un4_sum_cry_20)) + (portRef (member DI 2) (instanceRef un4_sum_cry_20)) + (portRef (member DI 3) (instanceRef un4_sum_cry_20)) + (portRef CYINIT (instanceRef un4_sum_cry_20)) + (portRef (member DI 0) (instanceRef un4_sum_cry_16)) + (portRef (member DI 1) (instanceRef un4_sum_cry_16)) + (portRef (member DI 2) (instanceRef un4_sum_cry_16)) + (portRef (member DI 3) (instanceRef un4_sum_cry_16)) + (portRef CYINIT (instanceRef un4_sum_cry_16)) + (portRef (member DI 0) (instanceRef un4_sum_cry_12)) + (portRef (member DI 1) (instanceRef un4_sum_cry_12)) + (portRef (member DI 2) (instanceRef un4_sum_cry_12)) + (portRef (member DI 3) (instanceRef un4_sum_cry_12)) + (portRef CYINIT (instanceRef un4_sum_cry_12)) + (portRef (member DI 0) (instanceRef un4_sum_cry_8)) + (portRef (member DI 1) (instanceRef un4_sum_cry_8)) + (portRef (member DI 2) (instanceRef un4_sum_cry_8)) + (portRef (member DI 3) (instanceRef un4_sum_cry_8)) + (portRef CYINIT (instanceRef un4_sum_cry_8)) + (portRef (member DI 0) (instanceRef un4_sum_cry_4)) + (portRef (member DI 1) (instanceRef un4_sum_cry_4)) + (portRef (member DI 2) (instanceRef un4_sum_cry_4)) + (portRef (member DI 3) (instanceRef un4_sum_cry_4)) + (portRef CI (instanceRef un4_sum_cry_4)) + )) + (net (rename un1_psum0_signed_31 "un1_psum0_signed(31)") (joined + (portRef (member un1_psum0_signed 35)) + (portRef CYINIT (instanceRef un4_sum_cry_4)) + )) + (net (rename un4_sum_cryZ0Z_8 "un4_sum_cry_8") (joined + (portRef (member CO 0) (instanceRef un4_sum_cry_8)) + (portRef CI (instanceRef un4_sum_cry_12)) + )) + (net (rename feedback_inc_data_5 "feedback_inc_data(5)") (joined + (portRef (member O 3) (instanceRef un4_sum_cry_8)) + (portRef (member feedback_inc_data 30)) + )) + (net (rename feedback_inc_data_6 "feedback_inc_data(6)") (joined + (portRef (member O 2) (instanceRef un4_sum_cry_8)) + (portRef (member feedback_inc_data 29)) + )) + (net (rename feedback_inc_data_7 "feedback_inc_data(7)") (joined + (portRef (member O 1) (instanceRef un4_sum_cry_8)) + (portRef (member feedback_inc_data 28)) + )) + (net (rename feedback_inc_data_8 "feedback_inc_data(8)") (joined + (portRef (member O 0) (instanceRef un4_sum_cry_8)) + (portRef (member feedback_inc_data 27)) + )) + (net (rename un4_sum_cryZ0Z_12 "un4_sum_cry_12") (joined + (portRef (member CO 0) (instanceRef un4_sum_cry_12)) + (portRef CI (instanceRef un4_sum_cry_16)) + )) + (net (rename feedback_inc_data_9 "feedback_inc_data(9)") (joined + (portRef (member O 3) (instanceRef un4_sum_cry_12)) + (portRef (member feedback_inc_data 26)) + )) + (net (rename feedback_inc_data_10 "feedback_inc_data(10)") (joined + (portRef (member O 2) (instanceRef un4_sum_cry_12)) + (portRef (member feedback_inc_data 25)) + )) + (net (rename feedback_inc_data_11 "feedback_inc_data(11)") (joined + (portRef (member O 1) (instanceRef un4_sum_cry_12)) + (portRef (member feedback_inc_data 24)) + )) + (net (rename feedback_inc_data_12 "feedback_inc_data(12)") (joined + (portRef (member O 0) (instanceRef un4_sum_cry_12)) + (portRef (member feedback_inc_data 23)) + )) + (net (rename un4_sum_cryZ0Z_16 "un4_sum_cry_16") (joined + (portRef (member CO 0) (instanceRef un4_sum_cry_16)) + (portRef CI (instanceRef un4_sum_cry_20)) + )) + (net (rename feedback_inc_data_13 "feedback_inc_data(13)") (joined + (portRef (member O 3) (instanceRef un4_sum_cry_16)) + (portRef (member feedback_inc_data 22)) + )) + (net (rename feedback_inc_data_14 "feedback_inc_data(14)") (joined + (portRef (member O 2) (instanceRef un4_sum_cry_16)) + (portRef (member feedback_inc_data 21)) + )) + (net (rename feedback_inc_data_15 "feedback_inc_data(15)") (joined + (portRef (member O 1) (instanceRef un4_sum_cry_16)) + (portRef (member feedback_inc_data 20)) + )) + (net (rename feedback_inc_data_16 "feedback_inc_data(16)") (joined + (portRef (member O 0) (instanceRef un4_sum_cry_16)) + (portRef (member feedback_inc_data 19)) + )) + (net (rename un4_sum_cryZ0Z_20 "un4_sum_cry_20") (joined + (portRef (member CO 0) (instanceRef un4_sum_cry_20)) + (portRef CI (instanceRef un4_sum_cry_24)) + )) + (net (rename feedback_inc_data_17 "feedback_inc_data(17)") (joined + (portRef (member O 3) (instanceRef un4_sum_cry_20)) + (portRef (member feedback_inc_data 18)) + )) + (net (rename feedback_inc_data_18 "feedback_inc_data(18)") (joined + (portRef (member O 2) (instanceRef un4_sum_cry_20)) + (portRef (member feedback_inc_data 17)) + )) + (net (rename feedback_inc_data_19 "feedback_inc_data(19)") (joined + (portRef (member O 1) (instanceRef un4_sum_cry_20)) + (portRef (member feedback_inc_data 16)) + )) + (net (rename feedback_inc_data_20 "feedback_inc_data(20)") (joined + (portRef (member O 0) (instanceRef un4_sum_cry_20)) + (portRef (member feedback_inc_data 15)) + )) + (net (rename un4_sum_cryZ0Z_24 "un4_sum_cry_24") (joined + (portRef (member CO 0) (instanceRef un4_sum_cry_24)) + (portRef CI (instanceRef un4_sum_cry_28)) + )) + (net (rename feedback_inc_data_21 "feedback_inc_data(21)") (joined + (portRef (member O 3) (instanceRef un4_sum_cry_24)) + (portRef (member feedback_inc_data 14)) + )) + (net (rename feedback_inc_data_22 "feedback_inc_data(22)") (joined + (portRef (member O 2) (instanceRef un4_sum_cry_24)) + (portRef (member feedback_inc_data 13)) + )) + (net (rename feedback_inc_data_23 "feedback_inc_data(23)") (joined + (portRef (member O 1) (instanceRef un4_sum_cry_24)) + (portRef (member feedback_inc_data 12)) + )) + (net (rename feedback_inc_data_24 "feedback_inc_data(24)") (joined + (portRef (member O 0) (instanceRef un4_sum_cry_24)) + (portRef (member feedback_inc_data 11)) + )) + (net (rename un4_sum_cryZ0Z_28 "un4_sum_cry_28") (joined + (portRef (member CO 0) (instanceRef un4_sum_cry_28)) + (portRef CI (instanceRef un4_sum_cry_32)) + )) + (net (rename feedback_inc_data_25 "feedback_inc_data(25)") (joined + (portRef (member O 3) (instanceRef un4_sum_cry_28)) + (portRef (member feedback_inc_data 10)) + )) + (net (rename feedback_inc_data_26 "feedback_inc_data(26)") (joined + (portRef (member O 2) (instanceRef un4_sum_cry_28)) + (portRef (member feedback_inc_data 9)) + )) + (net (rename feedback_inc_data_27 "feedback_inc_data(27)") (joined + (portRef (member O 1) (instanceRef un4_sum_cry_28)) + (portRef (member feedback_inc_data 8)) + )) + (net (rename feedback_inc_data_28 "feedback_inc_data(28)") (joined + (portRef (member O 0) (instanceRef un4_sum_cry_28)) + (portRef (member feedback_inc_data 7)) + )) + (net (rename un4_sum_cryZ0Z_32 "un4_sum_cry_32") (joined + (portRef (member CO 0) (instanceRef un4_sum_cry_32)) + (portRef CI (instanceRef un4_sum_s_35)) + )) + (net (rename feedback_inc_data_29 "feedback_inc_data(29)") (joined + (portRef (member O 3) (instanceRef un4_sum_cry_32)) + (portRef (member feedback_inc_data 6)) + )) + (net (rename feedback_inc_data_30 "feedback_inc_data(30)") (joined + (portRef (member O 2) (instanceRef un4_sum_cry_32)) + (portRef (member feedback_inc_data 5)) + )) + (net (rename feedback_inc_data_31 "feedback_inc_data(31)") (joined + (portRef (member O 1) (instanceRef un4_sum_cry_32)) + (portRef (member feedback_inc_data 4)) + )) + (net (rename feedback_inc_data_32 "feedback_inc_data(32)") (joined + (portRef (member O 0) (instanceRef un4_sum_cry_32)) + (portRef (member feedback_inc_data 3)) + )) + (net (rename feedback_inc_data_33 "feedback_inc_data(33)") (joined + (portRef (member O 3) (instanceRef un4_sum_s_35)) + (portRef (member feedback_inc_data 2)) + )) + (net (rename feedback_inc_data_34 "feedback_inc_data(34)") (joined + (portRef (member O 2) (instanceRef un4_sum_s_35)) + (portRef (member feedback_inc_data 1)) + )) + (net (rename feedback_inc_data_35 "feedback_inc_data(35)") (joined + (portRef (member O 1) (instanceRef un4_sum_s_35)) + (portRef (member feedback_inc_data 0)) + )) + ) + (property orig_inst_of (string "DW01_inc")) + ) + ) + (cell DW01_inc_36 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(66:31)") 36) (direction INPUT)) + (port (array (rename feedback_inc_data "feedback_inc_data(35:1)") 35) (direction OUTPUT)) + ) + (contents + (instance un4_sum_axb_35 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_34 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_33 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_32 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_31 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_30 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_29 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_28 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_27 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_26 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_25 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_24 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_23 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_22 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_21 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_20 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_19 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_18 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_17 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_16 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_15 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_14 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_13 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_12 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_11 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_10 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_9 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_8 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_7 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_6 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_5 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_4 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_3 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_2 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_axb_1 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_sum_cry_4 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + (property xcn_c4chain_base (integer 1)) + ) + (instance un4_sum_cry_8 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un4_sum_cry_12 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un4_sum_cry_16 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un4_sum_cry_20 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un4_sum_cry_24 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un4_sum_cry_28 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un4_sum_cry_32 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un4_sum_s_35 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance GND (viewRef PRIM (cellRef GND (libraryRef UNILIB))) ) + (net (rename un1_psum0_signed_66 "un1_psum0_signed(66)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I0 (instanceRef un4_sum_axb_35)) + )) + (net (rename un4_sum_axbZ0Z_35 "un4_sum_axb_35") (joined + (portRef O (instanceRef un4_sum_axb_35)) + (portRef (member S 1) (instanceRef un4_sum_s_35)) + )) + (net (rename un1_psum0_signed_65 "un1_psum0_signed(65)") (joined + (portRef (member un1_psum0_signed 1)) + (portRef I0 (instanceRef un4_sum_axb_34)) + )) + (net (rename un4_sum_axbZ0Z_34 "un4_sum_axb_34") (joined + (portRef O (instanceRef un4_sum_axb_34)) + (portRef (member S 2) (instanceRef un4_sum_s_35)) + )) + (net (rename un1_psum0_signed_64 "un1_psum0_signed(64)") (joined + (portRef (member un1_psum0_signed 2)) + (portRef I0 (instanceRef un4_sum_axb_33)) + )) + (net (rename un4_sum_axbZ0Z_33 "un4_sum_axb_33") (joined + (portRef O (instanceRef un4_sum_axb_33)) + (portRef (member S 3) (instanceRef un4_sum_s_35)) + )) + (net (rename un1_psum0_signed_63 "un1_psum0_signed(63)") (joined + (portRef (member un1_psum0_signed 3)) + (portRef I0 (instanceRef un4_sum_axb_32)) + )) + (net (rename un4_sum_axbZ0Z_32 "un4_sum_axb_32") (joined + (portRef O (instanceRef un4_sum_axb_32)) + (portRef (member S 0) (instanceRef un4_sum_cry_32)) + )) + (net (rename un1_psum0_signed_62 "un1_psum0_signed(62)") (joined + (portRef (member un1_psum0_signed 4)) + (portRef I0 (instanceRef un4_sum_axb_31)) + )) + (net (rename un4_sum_axbZ0Z_31 "un4_sum_axb_31") (joined + (portRef O (instanceRef un4_sum_axb_31)) + (portRef (member S 1) (instanceRef un4_sum_cry_32)) + )) + (net (rename un1_psum0_signed_61 "un1_psum0_signed(61)") (joined + (portRef (member un1_psum0_signed 5)) + (portRef I0 (instanceRef un4_sum_axb_30)) + )) + (net (rename un4_sum_axbZ0Z_30 "un4_sum_axb_30") (joined + (portRef O (instanceRef un4_sum_axb_30)) + (portRef (member S 2) (instanceRef un4_sum_cry_32)) + )) + (net (rename un1_psum0_signed_60 "un1_psum0_signed(60)") (joined + (portRef (member un1_psum0_signed 6)) + (portRef I0 (instanceRef un4_sum_axb_29)) + )) + (net (rename un4_sum_axbZ0Z_29 "un4_sum_axb_29") (joined + (portRef O (instanceRef un4_sum_axb_29)) + (portRef (member S 3) (instanceRef un4_sum_cry_32)) + )) + (net (rename un1_psum0_signed_59 "un1_psum0_signed(59)") (joined + (portRef (member un1_psum0_signed 7)) + (portRef I0 (instanceRef un4_sum_axb_28)) + )) + (net (rename un4_sum_axbZ0Z_28 "un4_sum_axb_28") (joined + (portRef O (instanceRef un4_sum_axb_28)) + (portRef (member S 0) (instanceRef un4_sum_cry_28)) + )) + (net (rename un1_psum0_signed_58 "un1_psum0_signed(58)") (joined + (portRef (member un1_psum0_signed 8)) + (portRef I0 (instanceRef un4_sum_axb_27)) + )) + (net (rename un4_sum_axbZ0Z_27 "un4_sum_axb_27") (joined + (portRef O (instanceRef un4_sum_axb_27)) + (portRef (member S 1) (instanceRef un4_sum_cry_28)) + )) + (net (rename un1_psum0_signed_57 "un1_psum0_signed(57)") (joined + (portRef (member un1_psum0_signed 9)) + (portRef I0 (instanceRef un4_sum_axb_26)) + )) + (net (rename un4_sum_axbZ0Z_26 "un4_sum_axb_26") (joined + (portRef O (instanceRef un4_sum_axb_26)) + (portRef (member S 2) (instanceRef un4_sum_cry_28)) + )) + (net (rename un1_psum0_signed_56 "un1_psum0_signed(56)") (joined + (portRef (member un1_psum0_signed 10)) + (portRef I0 (instanceRef un4_sum_axb_25)) + )) + (net (rename un4_sum_axbZ0Z_25 "un4_sum_axb_25") (joined + (portRef O (instanceRef un4_sum_axb_25)) + (portRef (member S 3) (instanceRef un4_sum_cry_28)) + )) + (net (rename un1_psum0_signed_55 "un1_psum0_signed(55)") (joined + (portRef (member un1_psum0_signed 11)) + (portRef I0 (instanceRef un4_sum_axb_24)) + )) + (net (rename un4_sum_axbZ0Z_24 "un4_sum_axb_24") (joined + (portRef O (instanceRef un4_sum_axb_24)) + (portRef (member S 0) (instanceRef un4_sum_cry_24)) + )) + (net (rename un1_psum0_signed_54 "un1_psum0_signed(54)") (joined + (portRef (member un1_psum0_signed 12)) + (portRef I0 (instanceRef un4_sum_axb_23)) + )) + (net (rename un4_sum_axbZ0Z_23 "un4_sum_axb_23") (joined + (portRef O (instanceRef un4_sum_axb_23)) + (portRef (member S 1) (instanceRef un4_sum_cry_24)) + )) + (net (rename un1_psum0_signed_53 "un1_psum0_signed(53)") (joined + (portRef (member un1_psum0_signed 13)) + (portRef I0 (instanceRef un4_sum_axb_22)) + )) + (net (rename un4_sum_axbZ0Z_22 "un4_sum_axb_22") (joined + (portRef O (instanceRef un4_sum_axb_22)) + (portRef (member S 2) (instanceRef un4_sum_cry_24)) + )) + (net (rename un1_psum0_signed_52 "un1_psum0_signed(52)") (joined + (portRef (member un1_psum0_signed 14)) + (portRef I0 (instanceRef un4_sum_axb_21)) + )) + (net (rename un4_sum_axbZ0Z_21 "un4_sum_axb_21") (joined + (portRef O (instanceRef un4_sum_axb_21)) + (portRef (member S 3) (instanceRef un4_sum_cry_24)) + )) + (net (rename un1_psum0_signed_51 "un1_psum0_signed(51)") (joined + (portRef (member un1_psum0_signed 15)) + (portRef I0 (instanceRef un4_sum_axb_20)) + )) + (net (rename un4_sum_axbZ0Z_20 "un4_sum_axb_20") (joined + (portRef O (instanceRef un4_sum_axb_20)) + (portRef (member S 0) (instanceRef un4_sum_cry_20)) + )) + (net (rename un1_psum0_signed_50 "un1_psum0_signed(50)") (joined + (portRef (member un1_psum0_signed 16)) + (portRef I0 (instanceRef un4_sum_axb_19)) + )) + (net (rename un4_sum_axbZ0Z_19 "un4_sum_axb_19") (joined + (portRef O (instanceRef un4_sum_axb_19)) + (portRef (member S 1) (instanceRef un4_sum_cry_20)) + )) + (net (rename un1_psum0_signed_49 "un1_psum0_signed(49)") (joined + (portRef (member un1_psum0_signed 17)) + (portRef I0 (instanceRef un4_sum_axb_18)) + )) + (net (rename un4_sum_axbZ0Z_18 "un4_sum_axb_18") (joined + (portRef O (instanceRef un4_sum_axb_18)) + (portRef (member S 2) (instanceRef un4_sum_cry_20)) + )) + (net (rename un1_psum0_signed_48 "un1_psum0_signed(48)") (joined + (portRef (member un1_psum0_signed 18)) + (portRef I0 (instanceRef un4_sum_axb_17)) + )) + (net (rename un4_sum_axbZ0Z_17 "un4_sum_axb_17") (joined + (portRef O (instanceRef un4_sum_axb_17)) + (portRef (member S 3) (instanceRef un4_sum_cry_20)) + )) + (net (rename un1_psum0_signed_47 "un1_psum0_signed(47)") (joined + (portRef (member un1_psum0_signed 19)) + (portRef I0 (instanceRef un4_sum_axb_16)) + )) + (net (rename un4_sum_axbZ0Z_16 "un4_sum_axb_16") (joined + (portRef O (instanceRef un4_sum_axb_16)) + (portRef (member S 0) (instanceRef un4_sum_cry_16)) + )) + (net (rename un1_psum0_signed_46 "un1_psum0_signed(46)") (joined + (portRef (member un1_psum0_signed 20)) + (portRef I0 (instanceRef un4_sum_axb_15)) + )) + (net (rename un4_sum_axbZ0Z_15 "un4_sum_axb_15") (joined + (portRef O (instanceRef un4_sum_axb_15)) + (portRef (member S 1) (instanceRef un4_sum_cry_16)) + )) + (net (rename un1_psum0_signed_45 "un1_psum0_signed(45)") (joined + (portRef (member un1_psum0_signed 21)) + (portRef I0 (instanceRef un4_sum_axb_14)) + )) + (net (rename un4_sum_axbZ0Z_14 "un4_sum_axb_14") (joined + (portRef O (instanceRef un4_sum_axb_14)) + (portRef (member S 2) (instanceRef un4_sum_cry_16)) + )) + (net (rename un1_psum0_signed_44 "un1_psum0_signed(44)") (joined + (portRef (member un1_psum0_signed 22)) + (portRef I0 (instanceRef un4_sum_axb_13)) + )) + (net (rename un4_sum_axbZ0Z_13 "un4_sum_axb_13") (joined + (portRef O (instanceRef un4_sum_axb_13)) + (portRef (member S 3) (instanceRef un4_sum_cry_16)) + )) + (net (rename un1_psum0_signed_43 "un1_psum0_signed(43)") (joined + (portRef (member un1_psum0_signed 23)) + (portRef I0 (instanceRef un4_sum_axb_12)) + )) + (net (rename un4_sum_axbZ0Z_12 "un4_sum_axb_12") (joined + (portRef O (instanceRef un4_sum_axb_12)) + (portRef (member S 0) (instanceRef un4_sum_cry_12)) + )) + (net (rename un1_psum0_signed_42 "un1_psum0_signed(42)") (joined + (portRef (member un1_psum0_signed 24)) + (portRef I0 (instanceRef un4_sum_axb_11)) + )) + (net (rename un4_sum_axbZ0Z_11 "un4_sum_axb_11") (joined + (portRef O (instanceRef un4_sum_axb_11)) + (portRef (member S 1) (instanceRef un4_sum_cry_12)) + )) + (net (rename un1_psum0_signed_41 "un1_psum0_signed(41)") (joined + (portRef (member un1_psum0_signed 25)) + (portRef I0 (instanceRef un4_sum_axb_10)) + )) + (net (rename un4_sum_axbZ0Z_10 "un4_sum_axb_10") (joined + (portRef O (instanceRef un4_sum_axb_10)) + (portRef (member S 2) (instanceRef un4_sum_cry_12)) + )) + (net (rename un1_psum0_signed_40 "un1_psum0_signed(40)") (joined + (portRef (member un1_psum0_signed 26)) + (portRef I0 (instanceRef un4_sum_axb_9)) + )) + (net (rename un4_sum_axbZ0Z_9 "un4_sum_axb_9") (joined + (portRef O (instanceRef un4_sum_axb_9)) + (portRef (member S 3) (instanceRef un4_sum_cry_12)) + )) + (net (rename un1_psum0_signed_39 "un1_psum0_signed(39)") (joined + (portRef (member un1_psum0_signed 27)) + (portRef I0 (instanceRef un4_sum_axb_8)) + )) + (net (rename un4_sum_axbZ0Z_8 "un4_sum_axb_8") (joined + (portRef O (instanceRef un4_sum_axb_8)) + (portRef (member S 0) (instanceRef un4_sum_cry_8)) + )) + (net (rename un1_psum0_signed_38 "un1_psum0_signed(38)") (joined + (portRef (member un1_psum0_signed 28)) + (portRef I0 (instanceRef un4_sum_axb_7)) + )) + (net (rename un4_sum_axbZ0Z_7 "un4_sum_axb_7") (joined + (portRef O (instanceRef un4_sum_axb_7)) + (portRef (member S 1) (instanceRef un4_sum_cry_8)) + )) + (net (rename un1_psum0_signed_37 "un1_psum0_signed(37)") (joined + (portRef (member un1_psum0_signed 29)) + (portRef I0 (instanceRef un4_sum_axb_6)) + )) + (net (rename un4_sum_axbZ0Z_6 "un4_sum_axb_6") (joined + (portRef O (instanceRef un4_sum_axb_6)) + (portRef (member S 2) (instanceRef un4_sum_cry_8)) + )) + (net (rename un1_psum0_signed_36 "un1_psum0_signed(36)") (joined + (portRef (member un1_psum0_signed 30)) + (portRef I0 (instanceRef un4_sum_axb_5)) + )) + (net (rename un4_sum_axbZ0Z_5 "un4_sum_axb_5") (joined + (portRef O (instanceRef un4_sum_axb_5)) + (portRef (member S 3) (instanceRef un4_sum_cry_8)) + )) + (net (rename un1_psum0_signed_35 "un1_psum0_signed(35)") (joined + (portRef (member un1_psum0_signed 31)) + (portRef I0 (instanceRef un4_sum_axb_4)) + )) + (net (rename un4_sum_axbZ0Z_4 "un4_sum_axb_4") (joined + (portRef O (instanceRef un4_sum_axb_4)) + (portRef (member S 0) (instanceRef un4_sum_cry_4)) + )) + (net (rename un1_psum0_signed_34 "un1_psum0_signed(34)") (joined + (portRef (member un1_psum0_signed 32)) + (portRef I0 (instanceRef un4_sum_axb_3)) + )) + (net (rename un4_sum_axbZ0Z_3 "un4_sum_axb_3") (joined + (portRef O (instanceRef un4_sum_axb_3)) + (portRef (member S 1) (instanceRef un4_sum_cry_4)) + )) + (net (rename un1_psum0_signed_33 "un1_psum0_signed(33)") (joined + (portRef (member un1_psum0_signed 33)) + (portRef I0 (instanceRef un4_sum_axb_2)) + )) + (net (rename un4_sum_axbZ0Z_2 "un4_sum_axb_2") (joined + (portRef O (instanceRef un4_sum_axb_2)) + (portRef (member S 2) (instanceRef un4_sum_cry_4)) + )) + (net (rename un1_psum0_signed_32 "un1_psum0_signed(32)") (joined + (portRef (member un1_psum0_signed 34)) + (portRef I0 (instanceRef un4_sum_axb_1)) + )) + (net (rename un4_sum_axbZ0Z_1 "un4_sum_axb_1") (joined + (portRef O (instanceRef un4_sum_axb_1)) + (portRef (member S 3) (instanceRef un4_sum_cry_4)) + )) + (net (rename un4_sum_cryZ0Z_4 "un4_sum_cry_4") (joined + (portRef (member CO 0) (instanceRef un4_sum_cry_4)) + (portRef CI (instanceRef un4_sum_cry_8)) + )) + (net (rename feedback_inc_data_1 "feedback_inc_data(1)") (joined + (portRef (member O 3) (instanceRef un4_sum_cry_4)) + (portRef (member feedback_inc_data 34)) + )) + (net (rename feedback_inc_data_2 "feedback_inc_data(2)") (joined + (portRef (member O 2) (instanceRef un4_sum_cry_4)) + (portRef (member feedback_inc_data 33)) + )) + (net (rename feedback_inc_data_3 "feedback_inc_data(3)") (joined + (portRef (member O 1) (instanceRef un4_sum_cry_4)) + (portRef (member feedback_inc_data 32)) + )) + (net (rename feedback_inc_data_4 "feedback_inc_data(4)") (joined + (portRef (member O 0) (instanceRef un4_sum_cry_4)) + (portRef (member feedback_inc_data 31)) + )) + (net (rename GNDZ0 "GND") (joined + (portRef G (instanceRef GND)) + (portRef (member S 0) (instanceRef un4_sum_s_35)) + (portRef (member DI 0) (instanceRef un4_sum_s_35)) + (portRef (member DI 1) (instanceRef un4_sum_s_35)) + (portRef (member DI 2) (instanceRef un4_sum_s_35)) + (portRef (member DI 3) (instanceRef un4_sum_s_35)) + (portRef CYINIT (instanceRef un4_sum_s_35)) + (portRef (member DI 0) (instanceRef un4_sum_cry_32)) + (portRef (member DI 1) (instanceRef un4_sum_cry_32)) + (portRef (member DI 2) (instanceRef un4_sum_cry_32)) + (portRef (member DI 3) (instanceRef un4_sum_cry_32)) + (portRef CYINIT (instanceRef un4_sum_cry_32)) + (portRef (member DI 0) (instanceRef un4_sum_cry_28)) + (portRef (member DI 1) (instanceRef un4_sum_cry_28)) + (portRef (member DI 2) (instanceRef un4_sum_cry_28)) + (portRef (member DI 3) (instanceRef un4_sum_cry_28)) + (portRef CYINIT (instanceRef un4_sum_cry_28)) + (portRef (member DI 0) (instanceRef un4_sum_cry_24)) + (portRef (member DI 1) (instanceRef un4_sum_cry_24)) + (portRef (member DI 2) (instanceRef un4_sum_cry_24)) + (portRef (member DI 3) (instanceRef un4_sum_cry_24)) + (portRef CYINIT (instanceRef un4_sum_cry_24)) + (portRef (member DI 0) (instanceRef un4_sum_cry_20)) + (portRef (member DI 1) (instanceRef un4_sum_cry_20)) + (portRef (member DI 2) (instanceRef un4_sum_cry_20)) + (portRef (member DI 3) (instanceRef un4_sum_cry_20)) + (portRef CYINIT (instanceRef un4_sum_cry_20)) + (portRef (member DI 0) (instanceRef un4_sum_cry_16)) + (portRef (member DI 1) (instanceRef un4_sum_cry_16)) + (portRef (member DI 2) (instanceRef un4_sum_cry_16)) + (portRef (member DI 3) (instanceRef un4_sum_cry_16)) + (portRef CYINIT (instanceRef un4_sum_cry_16)) + (portRef (member DI 0) (instanceRef un4_sum_cry_12)) + (portRef (member DI 1) (instanceRef un4_sum_cry_12)) + (portRef (member DI 2) (instanceRef un4_sum_cry_12)) + (portRef (member DI 3) (instanceRef un4_sum_cry_12)) + (portRef CYINIT (instanceRef un4_sum_cry_12)) + (portRef (member DI 0) (instanceRef un4_sum_cry_8)) + (portRef (member DI 1) (instanceRef un4_sum_cry_8)) + (portRef (member DI 2) (instanceRef un4_sum_cry_8)) + (portRef (member DI 3) (instanceRef un4_sum_cry_8)) + (portRef CYINIT (instanceRef un4_sum_cry_8)) + (portRef (member DI 0) (instanceRef un4_sum_cry_4)) + (portRef (member DI 1) (instanceRef un4_sum_cry_4)) + (portRef (member DI 2) (instanceRef un4_sum_cry_4)) + (portRef (member DI 3) (instanceRef un4_sum_cry_4)) + (portRef CI (instanceRef un4_sum_cry_4)) + )) + (net (rename un1_psum0_signed_31 "un1_psum0_signed(31)") (joined + (portRef (member un1_psum0_signed 35)) + (portRef CYINIT (instanceRef un4_sum_cry_4)) + )) + (net (rename un4_sum_cryZ0Z_8 "un4_sum_cry_8") (joined + (portRef (member CO 0) (instanceRef un4_sum_cry_8)) + (portRef CI (instanceRef un4_sum_cry_12)) + )) + (net (rename feedback_inc_data_5 "feedback_inc_data(5)") (joined + (portRef (member O 3) (instanceRef un4_sum_cry_8)) + (portRef (member feedback_inc_data 30)) + )) + (net (rename feedback_inc_data_6 "feedback_inc_data(6)") (joined + (portRef (member O 2) (instanceRef un4_sum_cry_8)) + (portRef (member feedback_inc_data 29)) + )) + (net (rename feedback_inc_data_7 "feedback_inc_data(7)") (joined + (portRef (member O 1) (instanceRef un4_sum_cry_8)) + (portRef (member feedback_inc_data 28)) + )) + (net (rename feedback_inc_data_8 "feedback_inc_data(8)") (joined + (portRef (member O 0) (instanceRef un4_sum_cry_8)) + (portRef (member feedback_inc_data 27)) + )) + (net (rename un4_sum_cryZ0Z_12 "un4_sum_cry_12") (joined + (portRef (member CO 0) (instanceRef un4_sum_cry_12)) + (portRef CI (instanceRef un4_sum_cry_16)) + )) + (net (rename feedback_inc_data_9 "feedback_inc_data(9)") (joined + (portRef (member O 3) (instanceRef un4_sum_cry_12)) + (portRef (member feedback_inc_data 26)) + )) + (net (rename feedback_inc_data_10 "feedback_inc_data(10)") (joined + (portRef (member O 2) (instanceRef un4_sum_cry_12)) + (portRef (member feedback_inc_data 25)) + )) + (net (rename feedback_inc_data_11 "feedback_inc_data(11)") (joined + (portRef (member O 1) (instanceRef un4_sum_cry_12)) + (portRef (member feedback_inc_data 24)) + )) + (net (rename feedback_inc_data_12 "feedback_inc_data(12)") (joined + (portRef (member O 0) (instanceRef un4_sum_cry_12)) + (portRef (member feedback_inc_data 23)) + )) + (net (rename un4_sum_cryZ0Z_16 "un4_sum_cry_16") (joined + (portRef (member CO 0) (instanceRef un4_sum_cry_16)) + (portRef CI (instanceRef un4_sum_cry_20)) + )) + (net (rename feedback_inc_data_13 "feedback_inc_data(13)") (joined + (portRef (member O 3) (instanceRef un4_sum_cry_16)) + (portRef (member feedback_inc_data 22)) + )) + (net (rename feedback_inc_data_14 "feedback_inc_data(14)") (joined + (portRef (member O 2) (instanceRef un4_sum_cry_16)) + (portRef (member feedback_inc_data 21)) + )) + (net (rename feedback_inc_data_15 "feedback_inc_data(15)") (joined + (portRef (member O 1) (instanceRef un4_sum_cry_16)) + (portRef (member feedback_inc_data 20)) + )) + (net (rename feedback_inc_data_16 "feedback_inc_data(16)") (joined + (portRef (member O 0) (instanceRef un4_sum_cry_16)) + (portRef (member feedback_inc_data 19)) + )) + (net (rename un4_sum_cryZ0Z_20 "un4_sum_cry_20") (joined + (portRef (member CO 0) (instanceRef un4_sum_cry_20)) + (portRef CI (instanceRef un4_sum_cry_24)) + )) + (net (rename feedback_inc_data_17 "feedback_inc_data(17)") (joined + (portRef (member O 3) (instanceRef un4_sum_cry_20)) + (portRef (member feedback_inc_data 18)) + )) + (net (rename feedback_inc_data_18 "feedback_inc_data(18)") (joined + (portRef (member O 2) (instanceRef un4_sum_cry_20)) + (portRef (member feedback_inc_data 17)) + )) + (net (rename feedback_inc_data_19 "feedback_inc_data(19)") (joined + (portRef (member O 1) (instanceRef un4_sum_cry_20)) + (portRef (member feedback_inc_data 16)) + )) + (net (rename feedback_inc_data_20 "feedback_inc_data(20)") (joined + (portRef (member O 0) (instanceRef un4_sum_cry_20)) + (portRef (member feedback_inc_data 15)) + )) + (net (rename un4_sum_cryZ0Z_24 "un4_sum_cry_24") (joined + (portRef (member CO 0) (instanceRef un4_sum_cry_24)) + (portRef CI (instanceRef un4_sum_cry_28)) + )) + (net (rename feedback_inc_data_21 "feedback_inc_data(21)") (joined + (portRef (member O 3) (instanceRef un4_sum_cry_24)) + (portRef (member feedback_inc_data 14)) + )) + (net (rename feedback_inc_data_22 "feedback_inc_data(22)") (joined + (portRef (member O 2) (instanceRef un4_sum_cry_24)) + (portRef (member feedback_inc_data 13)) + )) + (net (rename feedback_inc_data_23 "feedback_inc_data(23)") (joined + (portRef (member O 1) (instanceRef un4_sum_cry_24)) + (portRef (member feedback_inc_data 12)) + )) + (net (rename feedback_inc_data_24 "feedback_inc_data(24)") (joined + (portRef (member O 0) (instanceRef un4_sum_cry_24)) + (portRef (member feedback_inc_data 11)) + )) + (net (rename un4_sum_cryZ0Z_28 "un4_sum_cry_28") (joined + (portRef (member CO 0) (instanceRef un4_sum_cry_28)) + (portRef CI (instanceRef un4_sum_cry_32)) + )) + (net (rename feedback_inc_data_25 "feedback_inc_data(25)") (joined + (portRef (member O 3) (instanceRef un4_sum_cry_28)) + (portRef (member feedback_inc_data 10)) + )) + (net (rename feedback_inc_data_26 "feedback_inc_data(26)") (joined + (portRef (member O 2) (instanceRef un4_sum_cry_28)) + (portRef (member feedback_inc_data 9)) + )) + (net (rename feedback_inc_data_27 "feedback_inc_data(27)") (joined + (portRef (member O 1) (instanceRef un4_sum_cry_28)) + (portRef (member feedback_inc_data 8)) + )) + (net (rename feedback_inc_data_28 "feedback_inc_data(28)") (joined + (portRef (member O 0) (instanceRef un4_sum_cry_28)) + (portRef (member feedback_inc_data 7)) + )) + (net (rename un4_sum_cryZ0Z_32 "un4_sum_cry_32") (joined + (portRef (member CO 0) (instanceRef un4_sum_cry_32)) + (portRef CI (instanceRef un4_sum_s_35)) + )) + (net (rename feedback_inc_data_29 "feedback_inc_data(29)") (joined + (portRef (member O 3) (instanceRef un4_sum_cry_32)) + (portRef (member feedback_inc_data 6)) + )) + (net (rename feedback_inc_data_30 "feedback_inc_data(30)") (joined + (portRef (member O 2) (instanceRef un4_sum_cry_32)) + (portRef (member feedback_inc_data 5)) + )) + (net (rename feedback_inc_data_31 "feedback_inc_data(31)") (joined + (portRef (member O 1) (instanceRef un4_sum_cry_32)) + (portRef (member feedback_inc_data 4)) + )) + (net (rename feedback_inc_data_32 "feedback_inc_data(32)") (joined + (portRef (member O 0) (instanceRef un4_sum_cry_32)) + (portRef (member feedback_inc_data 3)) + )) + (net (rename feedback_inc_data_33 "feedback_inc_data(33)") (joined + (portRef (member O 3) (instanceRef un4_sum_s_35)) + (portRef (member feedback_inc_data 2)) + )) + (net (rename feedback_inc_data_34 "feedback_inc_data(34)") (joined + (portRef (member O 2) (instanceRef un4_sum_s_35)) + (portRef (member feedback_inc_data 1)) + )) + (net (rename feedback_inc_data_35 "feedback_inc_data(35)") (joined + (portRef (member O 1) (instanceRef un4_sum_s_35)) + (portRef (member feedback_inc_data 0)) + )) + ) + (property orig_inst_of (string "DW01_inc")) + ) + ) + ) + (library gtech + (edifLevel 0) + (technology (numberDefinition )) + (cell GTECH_OR2_208 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_to_big (direction OUTPUT)) + (port Z_32_1 (direction INPUT)) + (port Z_32_0 (direction INPUT)) + (port g0_11_3 (direction INPUT)) + (port Z_33_2 (direction INPUT)) + ) + (contents + (instance g5 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h7FFF")) + ) + (instance g1_2 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hFFFE")) + ) + (instance g0_0 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFE54FA50FA50FA50")) + ) + (instance g2_9 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h8000000000000000")) + ) + (instance g2_4 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h8000")) + ) + (instance g2_10 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h8000000000000000")) + ) + (instance g2_14 (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h8000000000000000")) + ) + (net (rename un1_psum0_signed_68 "un1_psum0_signed(68)") (joined + (portRef (member un1_psum0_signed 2)) + (portRef I0 (instanceRef g1_2)) + (portRef I0 (instanceRef g5)) + )) + (net (rename un1_psum0_signed_69 "un1_psum0_signed(69)") (joined + (portRef (member un1_psum0_signed 1)) + (portRef I1 (instanceRef g1_2)) + (portRef I1 (instanceRef g5)) + )) + (net (rename un1_psum0_signed_66 "un1_psum0_signed(66)") (joined + (portRef (member un1_psum0_signed 4)) + (portRef I2 (instanceRef g1_2)) + (portRef I2 (instanceRef g5)) + )) + (net (rename un1_psum0_signed_67 "un1_psum0_signed(67)") (joined + (portRef (member un1_psum0_signed 3)) + (portRef I3 (instanceRef g1_2)) + (portRef I3 (instanceRef g5)) + )) + (net (rename gZ0Z5 "g5") (joined + (portRef O (instanceRef g5)) + (portRef I3 (instanceRef g0_0)) + )) + (net (rename g1Z0Z_2 "g1_2") (joined + (portRef O (instanceRef g1_2)) + (portRef I2 (instanceRef g0_0)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I0 (instanceRef g0_0)) + )) + (net (rename g2Z0Z_9 "g2_9") (joined + (portRef O (instanceRef g2_9)) + (portRef I1 (instanceRef g0_0)) + )) + (net (rename g2Z0Z_10 "g2_10") (joined + (portRef O (instanceRef g2_10)) + (portRef I4 (instanceRef g0_0)) + )) + (net (rename g2Z0Z_14 "g2_14") (joined + (portRef LO (instanceRef g2_14)) + (portRef I5 (instanceRef g0_0)) + )) + (net feedback_to_big (joined + (portRef O (instanceRef g0_0)) + (portRef feedback_to_big) + )) + (net (rename un1_psum0_signed_32 "un1_psum0_signed(32)") (joined + (portRef (member un1_psum0_signed 38)) + (portRef I0 (instanceRef g2_9)) + )) + (net (rename un1_psum0_signed_33 "un1_psum0_signed(33)") (joined + (portRef (member un1_psum0_signed 37)) + (portRef I1 (instanceRef g2_9)) + )) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I2 (instanceRef g2_9)) + )) + (net (rename g2Z0Z_4 "g2_4") (joined + (portRef O (instanceRef g2_4)) + (portRef I3 (instanceRef g2_9)) + )) + (net (rename un1_psum0_signed_48 "un1_psum0_signed(48)") (joined + (portRef (member un1_psum0_signed 22)) + (portRef I4 (instanceRef g2_9)) + )) + (net (rename z_32_1 "Z_32_1") (joined + (portRef Z_32_1) + (portRef I5 (instanceRef g2_9)) + )) + (net (rename un1_psum0_signed_36 "un1_psum0_signed(36)") (joined + (portRef (member un1_psum0_signed 34)) + (portRef I0 (instanceRef g2_4)) + )) + (net (rename un1_psum0_signed_34 "un1_psum0_signed(34)") (joined + (portRef (member un1_psum0_signed 36)) + (portRef I1 (instanceRef g2_4)) + )) + (net (rename un1_psum0_signed_35 "un1_psum0_signed(35)") (joined + (portRef (member un1_psum0_signed 35)) + (portRef I2 (instanceRef g2_4)) + )) + (net (rename un1_psum0_signed_31 "un1_psum0_signed(31)") (joined + (portRef (member un1_psum0_signed 39)) + (portRef I3 (instanceRef g2_4)) + )) + (net (rename un1_psum0_signed_50 "un1_psum0_signed(50)") (joined + (portRef (member un1_psum0_signed 20)) + (portRef I0 (instanceRef g2_10)) + )) + (net (rename un1_psum0_signed_49 "un1_psum0_signed(49)") (joined + (portRef (member un1_psum0_signed 21)) + (portRef I1 (instanceRef g2_10)) + )) + (net (rename un1_psum0_signed_64 "un1_psum0_signed(64)") (joined + (portRef (member un1_psum0_signed 6)) + (portRef I2 (instanceRef g2_10)) + )) + (net (rename un1_psum0_signed_59 "un1_psum0_signed(59)") (joined + (portRef (member un1_psum0_signed 11)) + (portRef I3 (instanceRef g2_10)) + )) + (net (rename un1_psum0_signed_57 "un1_psum0_signed(57)") (joined + (portRef (member un1_psum0_signed 13)) + (portRef I4 (instanceRef g2_10)) + )) + (net (rename un1_psum0_signed_63 "un1_psum0_signed(63)") (joined + (portRef (member un1_psum0_signed 7)) + (portRef I5 (instanceRef g2_10)) + )) + (net (rename un1_psum0_signed_37 "un1_psum0_signed(37)") (joined + (portRef (member un1_psum0_signed 33)) + (portRef I0 (instanceRef g2_14)) + )) + (net (rename un1_psum0_signed_38 "un1_psum0_signed(38)") (joined + (portRef (member un1_psum0_signed 32)) + (portRef I1 (instanceRef g2_14)) + )) + (net (rename un1_psum0_signed_65 "un1_psum0_signed(65)") (joined + (portRef (member un1_psum0_signed 5)) + (portRef I2 (instanceRef g2_14)) + )) + (net (rename z_32_0 "Z_32_0") (joined + (portRef Z_32_0) + (portRef I3 (instanceRef g2_14)) + )) + (net g0_11_3 (joined + (portRef g0_11_3) + (portRef I4 (instanceRef g2_14)) + )) + (net (rename z_33_2 "Z_33_2") (joined + (portRef Z_33_2) + (portRef I5 (instanceRef g2_14)) + )) + ) + (property orig_inst_of (string "GTECH_OR2")) + ) + ) + (cell GTECH_AND2_340 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:66)") 5) (direction INPUT)) + (port feedback_to_big_neg (direction OUTPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h4CCCCCCC")) + ) + (net (rename un1_psum0_signed_68 "un1_psum0_signed(68)") (joined + (portRef (member un1_psum0_signed 2)) + (portRef I0 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_66 "un1_psum0_signed(66)") (joined + (portRef (member un1_psum0_signed 4)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_67 "un1_psum0_signed(67)") (joined + (portRef (member un1_psum0_signed 3)) + (portRef I3 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_69 "un1_psum0_signed(69)") (joined + (portRef (member un1_psum0_signed 1)) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big_neg (joined + (portRef O (instanceRef Z)) + (portRef feedback_to_big_neg) + )) + ) + (property orig_inst_of (string "GTECH_AND2")) + ) + ) + (cell GTECH_MUX2_430 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(64:42)") 23) (direction INPUT)) + (port (array (rename feedback_inc_data "feedback_inc_data(33:11)") 23) (direction INPUT)) + (port rstn (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_153_i (direction OUTPUT)) + (port N_77_i (direction OUTPUT)) + (port N_75_i (direction OUTPUT)) + (port N_65_i (direction OUTPUT)) + (port N_61_i (direction OUTPUT)) + (port N_57_i (direction OUTPUT)) + (port N_53_i (direction OUTPUT)) + (port N_49_i (direction OUTPUT)) + (port N_45_i (direction OUTPUT)) + (port N_41_i (direction OUTPUT)) + ) + (contents + (instance N_153_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h080A0000080A080A")) + ) + (instance N_77_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h08000A0008080A0A")) + ) + (instance N_75_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h08000A0008080A0A")) + ) + (instance N_65_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h08000A0008080A0A")) + ) + (instance N_61_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h08000A0008080A0A")) + ) + (instance N_57_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h08000A0008080A0A")) + ) + (instance N_53_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h08000A0008080A0A")) + ) + (instance N_49_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h08000A0008080A0A")) + ) + (instance N_45_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h008000A0008800AA")) + ) + (instance N_41_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h080C0A0F")) + ) + (net rstn (joined + (portRef rstn) + (portRef I0 (instanceRef N_45_i)) + (portRef I0 (instanceRef N_49_i)) + (portRef I0 (instanceRef N_53_i)) + (portRef I0 (instanceRef N_57_i)) + (portRef I0 (instanceRef N_61_i)) + (portRef I0 (instanceRef N_65_i)) + (portRef I0 (instanceRef N_75_i)) + (portRef I0 (instanceRef N_77_i)) + (portRef I0 (instanceRef N_153_i)) + )) + (net (rename un1_psum0_signed_64 "un1_psum0_signed(64)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I1 (instanceRef N_153_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I2 (instanceRef N_41_i)) + (portRef I3 (instanceRef N_45_i)) + (portRef I2 (instanceRef N_49_i)) + (portRef I2 (instanceRef N_53_i)) + (portRef I2 (instanceRef N_57_i)) + (portRef I2 (instanceRef N_61_i)) + (portRef I2 (instanceRef N_65_i)) + (portRef I2 (instanceRef N_75_i)) + (portRef I2 (instanceRef N_77_i)) + (portRef I2 (instanceRef N_153_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I3 (instanceRef N_41_i)) + (portRef I4 (instanceRef N_45_i)) + (portRef I4 (instanceRef N_49_i)) + (portRef I4 (instanceRef N_53_i)) + (portRef I4 (instanceRef N_57_i)) + (portRef I4 (instanceRef N_61_i)) + (portRef I4 (instanceRef N_65_i)) + (portRef I4 (instanceRef N_75_i)) + (portRef I4 (instanceRef N_77_i)) + (portRef I3 (instanceRef N_153_i)) + )) + (net (rename feedback_inc_data_33 "feedback_inc_data(33)") (joined + (portRef (member feedback_inc_data 0)) + (portRef I4 (instanceRef N_153_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_41_i)) + (portRef I5 (instanceRef N_45_i)) + (portRef I5 (instanceRef N_49_i)) + (portRef I5 (instanceRef N_53_i)) + (portRef I5 (instanceRef N_57_i)) + (portRef I5 (instanceRef N_61_i)) + (portRef I5 (instanceRef N_65_i)) + (portRef I5 (instanceRef N_75_i)) + (portRef I5 (instanceRef N_77_i)) + (portRef I5 (instanceRef N_153_i)) + )) + (net (rename N_153_iZ0 "N_153_i") (joined + (portRef LO (instanceRef N_153_i)) + (portRef N_153_i) + )) + (net (rename un1_psum0_signed_63 "un1_psum0_signed(63)") (joined + (portRef (member un1_psum0_signed 1)) + (portRef I1 (instanceRef N_77_i)) + )) + (net (rename feedback_inc_data_32 "feedback_inc_data(32)") (joined + (portRef (member feedback_inc_data 1)) + (portRef I3 (instanceRef N_77_i)) + )) + (net (rename N_77_iZ0 "N_77_i") (joined + (portRef LO (instanceRef N_77_i)) + (portRef N_77_i) + )) + (net (rename un1_psum0_signed_61 "un1_psum0_signed(61)") (joined + (portRef (member un1_psum0_signed 3)) + (portRef I1 (instanceRef N_75_i)) + )) + (net (rename feedback_inc_data_30 "feedback_inc_data(30)") (joined + (portRef (member feedback_inc_data 3)) + (portRef I3 (instanceRef N_75_i)) + )) + (net (rename N_75_iZ0 "N_75_i") (joined + (portRef LO (instanceRef N_75_i)) + (portRef N_75_i) + )) + (net (rename un1_psum0_signed_58 "un1_psum0_signed(58)") (joined + (portRef (member un1_psum0_signed 6)) + (portRef I1 (instanceRef N_65_i)) + )) + (net (rename feedback_inc_data_27 "feedback_inc_data(27)") (joined + (portRef (member feedback_inc_data 6)) + (portRef I3 (instanceRef N_65_i)) + )) + (net (rename N_65_iZ0 "N_65_i") (joined + (portRef LO (instanceRef N_65_i)) + (portRef N_65_i) + )) + (net (rename un1_psum0_signed_57 "un1_psum0_signed(57)") (joined + (portRef (member un1_psum0_signed 7)) + (portRef I1 (instanceRef N_61_i)) + )) + (net (rename feedback_inc_data_26 "feedback_inc_data(26)") (joined + (portRef (member feedback_inc_data 7)) + (portRef I3 (instanceRef N_61_i)) + )) + (net (rename N_61_iZ0 "N_61_i") (joined + (portRef LO (instanceRef N_61_i)) + (portRef N_61_i) + )) + (net (rename un1_psum0_signed_56 "un1_psum0_signed(56)") (joined + (portRef (member un1_psum0_signed 8)) + (portRef I1 (instanceRef N_57_i)) + )) + (net (rename feedback_inc_data_25 "feedback_inc_data(25)") (joined + (portRef (member feedback_inc_data 8)) + (portRef I3 (instanceRef N_57_i)) + )) + (net (rename N_57_iZ0 "N_57_i") (joined + (portRef LO (instanceRef N_57_i)) + (portRef N_57_i) + )) + (net (rename un1_psum0_signed_55 "un1_psum0_signed(55)") (joined + (portRef (member un1_psum0_signed 9)) + (portRef I1 (instanceRef N_53_i)) + )) + (net (rename feedback_inc_data_24 "feedback_inc_data(24)") (joined + (portRef (member feedback_inc_data 9)) + (portRef I3 (instanceRef N_53_i)) + )) + (net (rename N_53_iZ0 "N_53_i") (joined + (portRef LO (instanceRef N_53_i)) + (portRef N_53_i) + )) + (net (rename un1_psum0_signed_54 "un1_psum0_signed(54)") (joined + (portRef (member un1_psum0_signed 10)) + (portRef I1 (instanceRef N_49_i)) + )) + (net (rename feedback_inc_data_23 "feedback_inc_data(23)") (joined + (portRef (member feedback_inc_data 10)) + (portRef I3 (instanceRef N_49_i)) + )) + (net (rename N_49_iZ0 "N_49_i") (joined + (portRef LO (instanceRef N_49_i)) + (portRef N_49_i) + )) + (net (rename un1_psum0_signed_42 "un1_psum0_signed(42)") (joined + (portRef (member un1_psum0_signed 22)) + (portRef I0 (instanceRef N_41_i)) + (portRef I1 (instanceRef N_45_i)) + )) + (net (rename feedback_inc_data_11 "feedback_inc_data(11)") (joined + (portRef (member feedback_inc_data 22)) + (portRef I1 (instanceRef N_41_i)) + (portRef I2 (instanceRef N_45_i)) + )) + (net (rename N_45_iZ0 "N_45_i") (joined + (portRef LO (instanceRef N_45_i)) + (portRef N_45_i) + )) + (net (rename N_41_iZ0 "N_41_i") (joined + (portRef O (instanceRef N_41_i)) + (portRef N_41_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_429 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_28_i (direction OUTPUT)) + ) + (contents + (instance N_28_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h20302233")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_28_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_28_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I2 (instanceRef N_28_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I3 (instanceRef N_28_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_28_i)) + )) + (net (rename N_28_iZ0 "N_28_i") (joined + (portRef O (instanceRef N_28_i)) + (portRef N_28_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_428 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_33_i (direction OUTPUT)) + ) + (contents + (instance N_33_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h20302233")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_33_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_33_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I2 (instanceRef N_33_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I3 (instanceRef N_33_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_33_i)) + )) + (net (rename N_33_iZ0 "N_33_i") (joined + (portRef O (instanceRef N_33_i)) + (portRef N_33_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_427 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_12_i (direction OUTPUT)) + ) + (contents + (instance N_12_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h20302233")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_12_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_12_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I2 (instanceRef N_12_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I3 (instanceRef N_12_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_12_i)) + )) + (net (rename N_12_iZ0 "N_12_i") (joined + (portRef O (instanceRef N_12_i)) + (portRef N_12_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_426 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_44_i (direction OUTPUT)) + ) + (contents + (instance N_44_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h080C0A0F")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_44_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I1 (instanceRef N_44_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I2 (instanceRef N_44_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I3 (instanceRef N_44_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_44_i)) + )) + (net (rename N_44_iZ0 "N_44_i") (joined + (portRef O (instanceRef N_44_i)) + (portRef N_44_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_425 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_40_i (direction OUTPUT)) + ) + (contents + (instance N_40_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h080C0A0F")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_40_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I1 (instanceRef N_40_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I2 (instanceRef N_40_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I3 (instanceRef N_40_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_40_i)) + )) + (net (rename N_40_iZ0 "N_40_i") (joined + (portRef O (instanceRef N_40_i)) + (portRef N_40_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_424 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h00FF6C66")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_31 "un1_psum0_signed(31)") (joined + (portRef (member un1_psum0_signed 39)) + (portRef I1 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I4 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_423 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000FFFFEC4CEE44")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_38 "un1_psum0_signed(38)") (joined + (portRef (member un1_psum0_signed 32)) + (portRef I1 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I2 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_422 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_48_i (direction OUTPUT)) + ) + (contents + (instance N_48_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h080C0A0F")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_48_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I1 (instanceRef N_48_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I2 (instanceRef N_48_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I3 (instanceRef N_48_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_48_i)) + )) + (net (rename N_48_iZ0 "N_48_i") (joined + (portRef O (instanceRef N_48_i)) + (portRef N_48_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_421 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000FFFFEC4CEE44")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_39 "un1_psum0_signed(39)") (joined + (portRef (member un1_psum0_signed 31)) + (portRef I1 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I2 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_420 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000FFFFE4CCE4E4")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_33 "un1_psum0_signed(33)") (joined + (portRef (member un1_psum0_signed 37)) + (portRef I1 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I2 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I3 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_419 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000FFFFE4CCE4E4")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_34 "un1_psum0_signed(34)") (joined + (portRef (member un1_psum0_signed 36)) + (portRef I1 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I2 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I3 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_418 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000FFFFE4CCE4E4")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_35 "un1_psum0_signed(35)") (joined + (portRef (member un1_psum0_signed 35)) + (portRef I1 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I2 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I3 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_417 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000FFFFF870FA50")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_41 "un1_psum0_signed(41)") (joined + (portRef (member un1_psum0_signed 29)) + (portRef I2 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_416 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_32_i (direction OUTPUT)) + ) + (contents + (instance N_32_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h080C0A0F")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_32_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I1 (instanceRef N_32_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I2 (instanceRef N_32_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I3 (instanceRef N_32_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_32_i)) + )) + (net (rename N_32_iZ0 "N_32_i") (joined + (portRef O (instanceRef N_32_i)) + (portRef N_32_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_415 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF00FFF8FA7050")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_53 "un1_psum0_signed(53)") (joined + (portRef (member un1_psum0_signed 17)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_414 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_16_i (direction OUTPUT)) + ) + (contents + (instance N_16_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h20302233")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_16_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_16_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I2 (instanceRef N_16_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I3 (instanceRef N_16_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_16_i)) + )) + (net (rename N_16_iZ0 "N_16_i") (joined + (portRef O (instanceRef N_16_i)) + (portRef N_16_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_413 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF00FFF8FA7050")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_43 "un1_psum0_signed(43)") (joined + (portRef (member un1_psum0_signed 27)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_412 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF00FFF8FA7050")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_50 "un1_psum0_signed(50)") (joined + (portRef (member un1_psum0_signed 20)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_411 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF00FFF8FA7050")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_44 "un1_psum0_signed(44)") (joined + (portRef (member un1_psum0_signed 26)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_410 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_24_i (direction OUTPUT)) + ) + (contents + (instance N_24_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h20302233")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_24_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_24_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I2 (instanceRef N_24_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I3 (instanceRef N_24_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_24_i)) + )) + (net (rename N_24_iZ0 "N_24_i") (joined + (portRef O (instanceRef N_24_i)) + (portRef N_24_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_409 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF00FFF8FA7050")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_45 "un1_psum0_signed(45)") (joined + (portRef (member un1_psum0_signed 25)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_408 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_20_i (direction OUTPUT)) + ) + (contents + (instance N_20_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h20302233")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_20_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_20_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I2 (instanceRef N_20_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I3 (instanceRef N_20_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_20_i)) + )) + (net (rename N_20_iZ0 "N_20_i") (joined + (portRef O (instanceRef N_20_i)) + (portRef N_20_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_407 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF00FFF8FA7050")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_46 "un1_psum0_signed(46)") (joined + (portRef (member un1_psum0_signed 24)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_406 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000FFFFF870FA50")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_40 "un1_psum0_signed(40)") (joined + (portRef (member un1_psum0_signed 30)) + (portRef I2 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_405 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_36_i (direction OUTPUT)) + ) + (contents + (instance N_36_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h080C0A0F")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_36_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I1 (instanceRef N_36_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I2 (instanceRef N_36_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I3 (instanceRef N_36_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_36_i)) + )) + (net (rename N_36_iZ0 "N_36_i") (joined + (portRef O (instanceRef N_36_i)) + (portRef N_36_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_404 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF00FFF8FA7050")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_59 "un1_psum0_signed(59)") (joined + (portRef (member un1_psum0_signed 11)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_403 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hF0F0FA70")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I2 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I4 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_402 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_21_i (direction OUTPUT)) + ) + (contents + (instance N_21_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h20302233")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_21_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_21_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I2 (instanceRef N_21_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I3 (instanceRef N_21_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_21_i)) + )) + (net (rename N_21_iZ0 "N_21_i") (joined + (portRef O (instanceRef N_21_i)) + (portRef N_21_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_401 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction OUTPUT)) + (port N_218 (direction OUTPUT)) + (port N_8_i (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port Z_32 (direction INPUT)) + ) + (contents + (instance Z_i_0_o3_1_RNI3N7N8 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h20302233")) + ) + (instance Z_i_0_o3_0_a0_1 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000000000001")) + ) + (instance Z_i_0_o3_0_a2 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h4000000000000000")) + ) + (instance Z_m2_0_a2_0 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h00000001")) + ) + (instance Z_i_0_o3_1 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hFFF4")) + ) + (instance g0_i_a4_0_4 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h8000000000000000")) + ) + (instance g0_i_a4_0_12 (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'h8000")) + ) + (instance Z_m2_0_a2_0_RNIRO4G7 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hA2AAAAAA80888888")) + ) + (instance g0_0_N_7L15 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h8000000000000000")) + ) + (instance g0_0_N_6L11 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h7FFFFFFF")) + ) + (instance g0_i_a4_0_13 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000080000000")) + ) + (instance g0_i_a4_0_13_N_4L5 (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'h7FFF")) + ) + (net (rename un1_psum0_signed_65 "un1_psum0_signed(65)") (joined + (portRef (member un1_psum0_signed 5)) + (portRef I0 (instanceRef Z_i_0_o3_1_RNI3N7N8)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef Z_i_0_o3_1_RNI3N7N8)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I2 (instanceRef Z_i_0_o3_1_RNI3N7N8)) + )) + (net (rename n_186 "N_186") (joined + (portRef O (instanceRef Z_i_0_o3_1)) + (portRef I3 (instanceRef Z_i_0_o3_1_RNI3N7N8)) + (portRef N_186) + )) + (net (rename n_218 "N_218") (joined + (portRef O (instanceRef Z_m2_0_a2_0_RNIRO4G7)) + (portRef I4 (instanceRef Z_i_0_o3_1_RNI3N7N8)) + (portRef N_218) + )) + (net (rename n_8_i "N_8_i") (joined + (portRef O (instanceRef Z_i_0_o3_1_RNI3N7N8)) + (portRef N_8_i) + )) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z_m2_0_a2_0_RNIRO4G7)) + (portRef I0 (instanceRef Z_i_0_o3_0_a2)) + (portRef I0 (instanceRef Z_i_0_o3_0_a0_1)) + )) + (net (rename un1_psum0_signed_66 "un1_psum0_signed(66)") (joined + (portRef (member un1_psum0_signed 4)) + (portRef I1 (instanceRef g0_0_N_7L15)) + (portRef I2 (instanceRef Z_m2_0_a2_0)) + (portRef I1 (instanceRef Z_i_0_o3_0_a2)) + (portRef I1 (instanceRef Z_i_0_o3_0_a0_1)) + )) + (net (rename un1_psum0_signed_67 "un1_psum0_signed(67)") (joined + (portRef (member un1_psum0_signed 3)) + (portRef I2 (instanceRef g0_0_N_7L15)) + (portRef I3 (instanceRef Z_m2_0_a2_0)) + (portRef I2 (instanceRef Z_i_0_o3_0_a2)) + (portRef I2 (instanceRef Z_i_0_o3_0_a0_1)) + )) + (net (rename un1_psum0_signed_68 "un1_psum0_signed(68)") (joined + (portRef (member un1_psum0_signed 2)) + (portRef I3 (instanceRef g0_0_N_7L15)) + (portRef I0 (instanceRef Z_m2_0_a2_0)) + (portRef I3 (instanceRef Z_i_0_o3_0_a2)) + (portRef I3 (instanceRef Z_i_0_o3_0_a0_1)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I4 (instanceRef g0_0_N_7L15)) + (portRef I1 (instanceRef Z_i_0_o3_1)) + (portRef I1 (instanceRef Z_m2_0_a2_0)) + (portRef I4 (instanceRef Z_i_0_o3_0_a2)) + (portRef I4 (instanceRef Z_i_0_o3_0_a0_1)) + )) + (net (rename un1_psum0_signed_69 "un1_psum0_signed(69)") (joined + (portRef (member un1_psum0_signed 1)) + (portRef I5 (instanceRef g0_0_N_7L15)) + (portRef I4 (instanceRef Z_m2_0_a2_0)) + (portRef I5 (instanceRef Z_i_0_o3_0_a2)) + (portRef I5 (instanceRef Z_i_0_o3_0_a0_1)) + )) + (net Z_i_0_o3_0_a1_1 (joined + (portRef O (instanceRef Z_i_0_o3_0_a0_1)) + (portRef I2 (instanceRef Z_i_0_o3_1)) + )) + (net Z_i_0_o3_0_a2_3 (joined + (portRef O (instanceRef Z_i_0_o3_0_a2)) + (portRef I3 (instanceRef Z_i_0_o3_1)) + )) + (net (rename Z_m2_0_a2Z0Z_0 "Z_m2_0_a2_0") (joined + (portRef O (instanceRef Z_m2_0_a2_0)) + (portRef I1 (instanceRef Z_m2_0_a2_0_RNIRO4G7)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I0 (instanceRef g0_0_N_7L15)) + (portRef I0 (instanceRef Z_i_0_o3_1)) + )) + (net (rename un1_psum0_signed_36 "un1_psum0_signed(36)") (joined + (portRef (member un1_psum0_signed 34)) + (portRef I0 (instanceRef g0_i_a4_0_4)) + )) + (net (rename un1_psum0_signed_32 "un1_psum0_signed(32)") (joined + (portRef (member un1_psum0_signed 38)) + (portRef I1 (instanceRef g0_i_a4_0_4)) + )) + (net (rename un1_psum0_signed_34 "un1_psum0_signed(34)") (joined + (portRef (member un1_psum0_signed 36)) + (portRef I2 (instanceRef g0_i_a4_0_4)) + )) + (net (rename un1_psum0_signed_35 "un1_psum0_signed(35)") (joined + (portRef (member un1_psum0_signed 35)) + (portRef I3 (instanceRef g0_i_a4_0_4)) + )) + (net (rename un1_psum0_signed_33 "un1_psum0_signed(33)") (joined + (portRef (member un1_psum0_signed 37)) + (portRef I4 (instanceRef g0_i_a4_0_4)) + )) + (net (rename un1_psum0_signed_31 "un1_psum0_signed(31)") (joined + (portRef (member un1_psum0_signed 39)) + (portRef I5 (instanceRef g0_i_a4_0_4)) + )) + (net (rename g0_i_a4_0Z0Z_4 "g0_i_a4_0_4") (joined + (portRef O (instanceRef g0_i_a4_0_4)) + (portRef I0 (instanceRef g0_0_N_6L11)) + )) + (net (rename un1_psum0_signed_58 "un1_psum0_signed(58)") (joined + (portRef (member un1_psum0_signed 12)) + (portRef I0 (instanceRef g0_i_a4_0_12)) + )) + (net (rename un1_psum0_signed_59 "un1_psum0_signed(59)") (joined + (portRef (member un1_psum0_signed 11)) + (portRef I1 (instanceRef g0_i_a4_0_12)) + )) + (net (rename un1_psum0_signed_60 "un1_psum0_signed(60)") (joined + (portRef (member un1_psum0_signed 10)) + (portRef I2 (instanceRef g0_i_a4_0_12)) + )) + (net (rename un1_psum0_signed_61 "un1_psum0_signed(61)") (joined + (portRef (member un1_psum0_signed 9)) + (portRef I3 (instanceRef g0_i_a4_0_12)) + )) + (net (rename g0_i_a4_0Z0Z_12 "g0_i_a4_0_12") (joined + (portRef LO (instanceRef g0_i_a4_0_12)) + (portRef I4 (instanceRef g0_0_N_6L11)) + )) + (net g0_0_N_6L11_1 (joined + (portRef O (instanceRef g0_0_N_6L11)) + (portRef I2 (instanceRef Z_m2_0_a2_0_RNIRO4G7)) + )) + (net (rename g0_i_a4_0Z0Z_13 "g0_i_a4_0_13") (joined + (portRef O (instanceRef g0_i_a4_0_13)) + (portRef I3 (instanceRef Z_m2_0_a2_0_RNIRO4G7)) + )) + (net (rename z_32 "Z_32") (joined + (portRef Z_32) + (portRef I4 (instanceRef Z_m2_0_a2_0_RNIRO4G7)) + )) + (net g0_0_N_7L15_1 (joined + (portRef O (instanceRef g0_0_N_7L15)) + (portRef I5 (instanceRef Z_m2_0_a2_0_RNIRO4G7)) + )) + (net (rename un1_psum0_signed_50 "un1_psum0_signed(50)") (joined + (portRef (member un1_psum0_signed 20)) + (portRef I1 (instanceRef g0_0_N_6L11)) + )) + (net (rename un1_psum0_signed_49 "un1_psum0_signed(49)") (joined + (portRef (member un1_psum0_signed 21)) + (portRef I2 (instanceRef g0_0_N_6L11)) + )) + (net (rename un1_psum0_signed_57 "un1_psum0_signed(57)") (joined + (portRef (member un1_psum0_signed 13)) + (portRef I3 (instanceRef g0_0_N_6L11)) + )) + (net (rename un1_psum0_signed_37 "un1_psum0_signed(37)") (joined + (portRef (member un1_psum0_signed 33)) + (portRef I0 (instanceRef g0_i_a4_0_13)) + )) + (net (rename un1_psum0_signed_38 "un1_psum0_signed(38)") (joined + (portRef (member un1_psum0_signed 32)) + (portRef I1 (instanceRef g0_i_a4_0_13)) + )) + (net (rename un1_psum0_signed_51 "un1_psum0_signed(51)") (joined + (portRef (member un1_psum0_signed 19)) + (portRef I2 (instanceRef g0_i_a4_0_13)) + )) + (net (rename un1_psum0_signed_53 "un1_psum0_signed(53)") (joined + (portRef (member un1_psum0_signed 17)) + (portRef I3 (instanceRef g0_i_a4_0_13)) + )) + (net (rename un1_psum0_signed_62 "un1_psum0_signed(62)") (joined + (portRef (member un1_psum0_signed 8)) + (portRef I4 (instanceRef g0_i_a4_0_13)) + )) + (net g0_i_a4_0_13_N_4L5_1 (joined + (portRef LO (instanceRef g0_i_a4_0_13_N_4L5)) + (portRef I5 (instanceRef g0_i_a4_0_13)) + )) + (net (rename un1_psum0_signed_52 "un1_psum0_signed(52)") (joined + (portRef (member un1_psum0_signed 18)) + (portRef I0 (instanceRef g0_i_a4_0_13_N_4L5)) + )) + (net (rename un1_psum0_signed_54 "un1_psum0_signed(54)") (joined + (portRef (member un1_psum0_signed 16)) + (portRef I1 (instanceRef g0_i_a4_0_13_N_4L5)) + )) + (net (rename un1_psum0_signed_55 "un1_psum0_signed(55)") (joined + (portRef (member un1_psum0_signed 15)) + (portRef I2 (instanceRef g0_i_a4_0_13_N_4L5)) + )) + (net (rename un1_psum0_signed_56 "un1_psum0_signed(56)") (joined + (portRef (member un1_psum0_signed 14)) + (portRef I3 (instanceRef g0_i_a4_0_13_N_4L5)) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_400 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_25_i (direction OUTPUT)) + ) + (contents + (instance N_25_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_25_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_25_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I2 (instanceRef N_25_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef N_25_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_25_i)) + )) + (net (rename N_25_iZ0 "N_25_i") (joined + (portRef O (instanceRef N_25_i)) + (portRef N_25_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_399 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_9_i (direction OUTPUT)) + ) + (contents + (instance N_9_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h20302233")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_9_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_9_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I2 (instanceRef N_9_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I3 (instanceRef N_9_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_9_i)) + )) + (net (rename N_9_iZ0 "N_9_i") (joined + (portRef O (instanceRef N_9_i)) + (portRef N_9_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_398 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_29_i (direction OUTPUT)) + ) + (contents + (instance N_29_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h20302233")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_29_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_29_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I2 (instanceRef N_29_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I3 (instanceRef N_29_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_29_i)) + )) + (net (rename N_29_iZ0 "N_29_i") (joined + (portRef O (instanceRef N_29_i)) + (portRef N_29_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_397 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_13_i (direction OUTPUT)) + ) + (contents + (instance N_13_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h20302233")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_13_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_13_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I2 (instanceRef N_13_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I3 (instanceRef N_13_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_13_i)) + )) + (net (rename N_13_iZ0 "N_13_i") (joined + (portRef O (instanceRef N_13_i)) + (portRef N_13_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_396 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_37_i (direction OUTPUT)) + ) + (contents + (instance N_37_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h20302233")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_37_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_37_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I2 (instanceRef N_37_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I3 (instanceRef N_37_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_37_i)) + )) + (net (rename N_37_iZ0 "N_37_i") (joined + (portRef O (instanceRef N_37_i)) + (portRef N_37_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_395 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_17_i (direction OUTPUT)) + ) + (contents + (instance N_17_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h20302233")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_17_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_17_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I2 (instanceRef N_17_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I3 (instanceRef N_17_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_17_i)) + )) + (net (rename N_17_iZ0 "N_17_i") (joined + (portRef O (instanceRef N_17_i)) + (portRef N_17_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_AND2_336 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(65:39)") 27) (direction INPUT)) + (port Z_32_0 (direction OUTPUT)) + (port Z_33_2 (direction OUTPUT)) + (port Z_32_1 (direction OUTPUT)) + (port Z_32 (direction OUTPUT)) + ) + (contents + (instance Z_32_0 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h8000000000000000")) + ) + (instance Z_33_2 (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h8000000000000000")) + ) + (instance Z_32_1 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) + ) + (instance Z_32_0_RNI49PK1 (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h8000000000000000")) + ) + (net (rename un1_psum0_signed_39 "un1_psum0_signed(39)") (joined + (portRef (member un1_psum0_signed 26)) + (portRef I0 (instanceRef Z_32_0)) + )) + (net (rename un1_psum0_signed_40 "un1_psum0_signed(40)") (joined + (portRef (member un1_psum0_signed 25)) + (portRef I1 (instanceRef Z_32_0)) + )) + (net (rename un1_psum0_signed_42 "un1_psum0_signed(42)") (joined + (portRef (member un1_psum0_signed 23)) + (portRef I2 (instanceRef Z_32_0)) + )) + (net (rename un1_psum0_signed_43 "un1_psum0_signed(43)") (joined + (portRef (member un1_psum0_signed 22)) + (portRef I3 (instanceRef Z_32_0)) + )) + (net (rename un1_psum0_signed_44 "un1_psum0_signed(44)") (joined + (portRef (member un1_psum0_signed 21)) + (portRef I4 (instanceRef Z_32_0)) + )) + (net (rename un1_psum0_signed_41 "un1_psum0_signed(41)") (joined + (portRef (member un1_psum0_signed 24)) + (portRef I5 (instanceRef Z_32_0)) + )) + (net (rename Z_32Z0Z_0 "Z_32_0") (joined + (portRef O (instanceRef Z_32_0)) + (portRef I5 (instanceRef Z_32_0_RNI49PK1)) + (portRef Z_32_0) + )) + (net (rename un1_psum0_signed_51 "un1_psum0_signed(51)") (joined + (portRef (member un1_psum0_signed 14)) + (portRef I0 (instanceRef Z_33_2)) + )) + (net (rename un1_psum0_signed_52 "un1_psum0_signed(52)") (joined + (portRef (member un1_psum0_signed 13)) + (portRef I1 (instanceRef Z_33_2)) + )) + (net (rename un1_psum0_signed_54 "un1_psum0_signed(54)") (joined + (portRef (member un1_psum0_signed 11)) + (portRef I2 (instanceRef Z_33_2)) + )) + (net (rename un1_psum0_signed_55 "un1_psum0_signed(55)") (joined + (portRef (member un1_psum0_signed 10)) + (portRef I3 (instanceRef Z_33_2)) + )) + (net (rename un1_psum0_signed_53 "un1_psum0_signed(53)") (joined + (portRef (member un1_psum0_signed 12)) + (portRef I4 (instanceRef Z_33_2)) + )) + (net (rename un1_psum0_signed_56 "un1_psum0_signed(56)") (joined + (portRef (member un1_psum0_signed 9)) + (portRef I5 (instanceRef Z_33_2)) + )) + (net (rename Z_33Z0Z_2 "Z_33_2") (joined + (portRef LO (instanceRef Z_33_2)) + (portRef Z_33_2) + )) + (net (rename un1_psum0_signed_46 "un1_psum0_signed(46)") (joined + (portRef (member un1_psum0_signed 19)) + (portRef I0 (instanceRef Z_32_1)) + )) + (net (rename un1_psum0_signed_47 "un1_psum0_signed(47)") (joined + (portRef (member un1_psum0_signed 18)) + (portRef I1 (instanceRef Z_32_1)) + )) + (net (rename un1_psum0_signed_45 "un1_psum0_signed(45)") (joined + (portRef (member un1_psum0_signed 20)) + (portRef I2 (instanceRef Z_32_1)) + )) + (net (rename Z_32Z0Z_1 "Z_32_1") (joined + (portRef O (instanceRef Z_32_1)) + (portRef I4 (instanceRef Z_32_0_RNI49PK1)) + (portRef Z_32_1) + )) + (net (rename un1_psum0_signed_48 "un1_psum0_signed(48)") (joined + (portRef (member un1_psum0_signed 17)) + (portRef I0 (instanceRef Z_32_0_RNI49PK1)) + )) + (net (rename un1_psum0_signed_64 "un1_psum0_signed(64)") (joined + (portRef (member un1_psum0_signed 1)) + (portRef I1 (instanceRef Z_32_0_RNI49PK1)) + )) + (net (rename un1_psum0_signed_65 "un1_psum0_signed(65)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I2 (instanceRef Z_32_0_RNI49PK1)) + )) + (net (rename un1_psum0_signed_63 "un1_psum0_signed(63)") (joined + (portRef (member un1_psum0_signed 2)) + (portRef I3 (instanceRef Z_32_0_RNI49PK1)) + )) + (net (rename z_32 "Z_32") (joined + (portRef LO (instanceRef Z_32_0_RNI49PK1)) + (portRef Z_32) + )) + ) + (property orig_inst_of (string "GTECH_AND2")) + ) + ) + (cell GTECH_AND2_304 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename feedback_data "feedback_data(28:2)") 27) (direction INPUT)) + (port (array (rename data_out_final_3 "data_out_final_3(22:0)") 23) (direction OUTPUT)) + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:21)") 50) (direction INPUT)) + (port (array (rename psum1_saved "psum1_saved(20:0)") 21) (direction INPUT)) + (port (array (rename feedback_inc_data "feedback_inc_data(35:1)") 35) (direction INPUT)) + (port B0_product_signed_0 (direction INPUT)) + (port rstn (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_6_i (direction OUTPUT)) + (port N_10_i (direction OUTPUT)) + (port N_14_i (direction OUTPUT)) + (port N_18_i (direction OUTPUT)) + (port N_22_i (direction OUTPUT)) + (port N_26_i (direction OUTPUT)) + (port N_30_i (direction OUTPUT)) + (port N_34_i (direction OUTPUT)) + (port N_38_i (direction OUTPUT)) + (port N_42_i (direction OUTPUT)) + (port N_46_i (direction OUTPUT)) + (port data_out_final_3_6_4 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction OUTPUT)) + (port feedback_to_big (direction INPUT)) + (port N_68_i (direction OUTPUT)) + (port data_out_final_3_39 (direction OUTPUT)) + ) + (contents + (instance N_6_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h080A0000080A080A")) + ) + (instance N_10_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h08000A0008080A0A")) + ) + (instance N_14_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h08000A0008080A0A")) + ) + (instance N_18_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h08000A0008080A0A")) + ) + (instance N_22_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h08000A0008080A0A")) + ) + (instance N_26_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h08000A0008080A0A")) + ) + (instance N_30_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h008000A0008800AA")) + ) + (instance N_34_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h008000A0008800AA")) + ) + (instance N_38_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h008000A0008800AA")) + ) + (instance N_42_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h008000A0008800AA")) + ) + (instance N_46_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h008000A0008800AA")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_9 "gO_6.FINAL_OUT_REGS.data_out_final_3[9]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_12 "gO_6.FINAL_OUT_REGS.data_out_final_3[12]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_19 "gO_6.FINAL_OUT_REGS.data_out_final_3[19]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_28 "gO_6.FINAL_OUT_REGS.data_out_final_3[28]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_22 "gO_6.FINAL_OUT_REGS.data_out_final_3[22]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_15 "gO_6.FINAL_OUT_REGS.data_out_final_3[15]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_14 "gO_6.FINAL_OUT_REGS.data_out_final_3[14]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_13 "gO_6.FINAL_OUT_REGS.data_out_final_3[13]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_10 "gO_6.FINAL_OUT_REGS.data_out_final_3[10]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_8 "gO_6.FINAL_OUT_REGS.data_out_final_3[8]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_7 "gO_6.FINAL_OUT_REGS.data_out_final_3[7]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_4 "gO_6.FINAL_OUT_REGS.data_out_final_3[4]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_3 "gO_6.FINAL_OUT_REGS.data_out_final_3[3]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_2 "gO_6.FINAL_OUT_REGS.data_out_final_3[2]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_0 "gO_6.FINAL_OUT_REGS.data_out_final_3[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0000AAAA28A02828")) + ) + (instance N_68_i (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance Z_i_0_o3_28 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFFFFE")) + ) + (instance Z_i_0_o3_28_3 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFDFFFFFFFE")) + ) + (instance Z_i_0_o3_28_3_1 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFFFFE")) + ) + (instance Z_i_0_o3_28_0 (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFFFFE")) + ) + (instance Z_i_0_o3_28_2 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFFFFE")) + ) + (instance Z_i_0_o3_28_3_4 (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hFFFFFFFE")) + ) + (instance Z_i_0_o3_28_1_2 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'hE")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_35 "gO_6.FINAL_OUT_REGS.data_out_final_3[35]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hAA00AA00AA882A00")) + ) + (net rstn (joined + (portRef rstn) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_35)) + (portRef I0 (instanceRef N_68_i)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_0)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_2)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_3)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_4)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_7)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_8)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_10)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_13)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_14)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_15)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_22)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_28)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_19)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_12)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_9)) + (portRef I0 (instanceRef N_46_i)) + (portRef I0 (instanceRef N_42_i)) + (portRef I0 (instanceRef N_38_i)) + (portRef I0 (instanceRef N_34_i)) + (portRef I0 (instanceRef N_30_i)) + (portRef I0 (instanceRef N_26_i)) + (portRef I0 (instanceRef N_22_i)) + (portRef I0 (instanceRef N_18_i)) + (portRef I0 (instanceRef N_14_i)) + (portRef I0 (instanceRef N_10_i)) + (portRef I0 (instanceRef N_6_i)) + )) + (net (rename un1_psum0_signed_65 "un1_psum0_signed(65)") (joined + (portRef (member un1_psum0_signed 5)) + (portRef I1 (instanceRef N_6_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I3 (instanceRef N_46_i)) + (portRef I3 (instanceRef N_42_i)) + (portRef I3 (instanceRef N_38_i)) + (portRef I3 (instanceRef N_34_i)) + (portRef I3 (instanceRef N_30_i)) + (portRef I2 (instanceRef N_26_i)) + (portRef I2 (instanceRef N_22_i)) + (portRef I2 (instanceRef N_18_i)) + (portRef I2 (instanceRef N_14_i)) + (portRef I2 (instanceRef N_10_i)) + (portRef I2 (instanceRef N_6_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I4 (instanceRef N_46_i)) + (portRef I4 (instanceRef N_42_i)) + (portRef I4 (instanceRef N_38_i)) + (portRef I4 (instanceRef N_34_i)) + (portRef I4 (instanceRef N_30_i)) + (portRef I4 (instanceRef N_26_i)) + (portRef I4 (instanceRef N_22_i)) + (portRef I4 (instanceRef N_18_i)) + (portRef I4 (instanceRef N_14_i)) + (portRef I4 (instanceRef N_10_i)) + (portRef I3 (instanceRef N_6_i)) + )) + (net (rename feedback_inc_data_34 "feedback_inc_data(34)") (joined + (portRef (member feedback_inc_data 1)) + (portRef I4 (instanceRef N_6_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I5 (instanceRef N_46_i)) + (portRef I5 (instanceRef N_42_i)) + (portRef I5 (instanceRef N_38_i)) + (portRef I5 (instanceRef N_34_i)) + (portRef I5 (instanceRef N_30_i)) + (portRef I5 (instanceRef N_26_i)) + (portRef I5 (instanceRef N_22_i)) + (portRef I5 (instanceRef N_18_i)) + (portRef I5 (instanceRef N_14_i)) + (portRef I5 (instanceRef N_10_i)) + (portRef I5 (instanceRef N_6_i)) + )) + (net (rename N_6_iZ0 "N_6_i") (joined + (portRef LO (instanceRef N_6_i)) + (portRef N_6_i) + )) + (net (rename un1_psum0_signed_62 "un1_psum0_signed(62)") (joined + (portRef (member un1_psum0_signed 8)) + (portRef I1 (instanceRef N_10_i)) + )) + (net (rename feedback_inc_data_31 "feedback_inc_data(31)") (joined + (portRef (member feedback_inc_data 4)) + (portRef I3 (instanceRef N_10_i)) + )) + (net (rename N_10_iZ0 "N_10_i") (joined + (portRef LO (instanceRef N_10_i)) + (portRef N_10_i) + )) + (net (rename un1_psum0_signed_60 "un1_psum0_signed(60)") (joined + (portRef (member un1_psum0_signed 10)) + (portRef I1 (instanceRef N_14_i)) + )) + (net (rename feedback_inc_data_29 "feedback_inc_data(29)") (joined + (portRef (member feedback_inc_data 6)) + (portRef I3 (instanceRef N_14_i)) + )) + (net (rename N_14_iZ0 "N_14_i") (joined + (portRef LO (instanceRef N_14_i)) + (portRef N_14_i) + )) + (net (rename un1_psum0_signed_52 "un1_psum0_signed(52)") (joined + (portRef (member un1_psum0_signed 18)) + (portRef I1 (instanceRef N_18_i)) + )) + (net (rename feedback_inc_data_21 "feedback_inc_data(21)") (joined + (portRef (member feedback_inc_data 14)) + (portRef I3 (instanceRef N_18_i)) + )) + (net (rename N_18_iZ0 "N_18_i") (joined + (portRef LO (instanceRef N_18_i)) + (portRef N_18_i) + )) + (net (rename un1_psum0_signed_51 "un1_psum0_signed(51)") (joined + (portRef (member un1_psum0_signed 19)) + (portRef I1 (instanceRef N_22_i)) + )) + (net (rename feedback_inc_data_20 "feedback_inc_data(20)") (joined + (portRef (member feedback_inc_data 15)) + (portRef I3 (instanceRef N_22_i)) + )) + (net (rename N_22_iZ0 "N_22_i") (joined + (portRef LO (instanceRef N_22_i)) + (portRef N_22_i) + )) + (net (rename un1_psum0_signed_49 "un1_psum0_signed(49)") (joined + (portRef (member un1_psum0_signed 21)) + (portRef I1 (instanceRef N_26_i)) + )) + (net (rename feedback_inc_data_18 "feedback_inc_data(18)") (joined + (portRef (member feedback_inc_data 17)) + (portRef I3 (instanceRef N_26_i)) + )) + (net (rename N_26_iZ0 "N_26_i") (joined + (portRef LO (instanceRef N_26_i)) + (portRef N_26_i) + )) + (net (rename un1_psum0_signed_48 "un1_psum0_signed(48)") (joined + (portRef (member un1_psum0_signed 22)) + (portRef I1 (instanceRef N_30_i)) + )) + (net (rename feedback_inc_data_17 "feedback_inc_data(17)") (joined + (portRef (member feedback_inc_data 18)) + (portRef I2 (instanceRef N_30_i)) + )) + (net (rename N_30_iZ0 "N_30_i") (joined + (portRef LO (instanceRef N_30_i)) + (portRef N_30_i) + )) + (net (rename un1_psum0_signed_47 "un1_psum0_signed(47)") (joined + (portRef (member un1_psum0_signed 23)) + (portRef I1 (instanceRef N_34_i)) + )) + (net (rename feedback_inc_data_16 "feedback_inc_data(16)") (joined + (portRef (member feedback_inc_data 19)) + (portRef I2 (instanceRef N_34_i)) + )) + (net (rename N_34_iZ0 "N_34_i") (joined + (portRef LO (instanceRef N_34_i)) + (portRef N_34_i) + )) + (net (rename un1_psum0_signed_37 "un1_psum0_signed(37)") (joined + (portRef (member un1_psum0_signed 33)) + (portRef I1 (instanceRef N_38_i)) + )) + (net (rename feedback_inc_data_6 "feedback_inc_data(6)") (joined + (portRef (member feedback_inc_data 29)) + (portRef I2 (instanceRef N_38_i)) + )) + (net (rename N_38_iZ0 "N_38_i") (joined + (portRef LO (instanceRef N_38_i)) + (portRef N_38_i) + )) + (net (rename un1_psum0_signed_36 "un1_psum0_signed(36)") (joined + (portRef (member un1_psum0_signed 34)) + (portRef I1 (instanceRef N_42_i)) + )) + (net (rename feedback_inc_data_5 "feedback_inc_data(5)") (joined + (portRef (member feedback_inc_data 30)) + (portRef I2 (instanceRef N_42_i)) + )) + (net (rename N_42_iZ0 "N_42_i") (joined + (portRef LO (instanceRef N_42_i)) + (portRef N_42_i) + )) + (net (rename un1_psum0_signed_32 "un1_psum0_signed(32)") (joined + (portRef (member un1_psum0_signed 38)) + (portRef I1 (instanceRef N_46_i)) + )) + (net (rename feedback_inc_data_1 "feedback_inc_data(1)") (joined + (portRef (member feedback_inc_data 34)) + (portRef I2 (instanceRef N_46_i)) + )) + (net (rename N_46_iZ0 "N_46_i") (joined + (portRef LO (instanceRef N_46_i)) + (portRef N_46_i) + )) + (net (rename feedback_data_9 "feedback_data(9)") (joined + (portRef (member feedback_data 19)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_9)) + )) + (net (rename data_out_final_3_9 "data_out_final_3(9)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_9)) + (portRef (member data_out_final_3 13)) + )) + (net (rename feedback_data_12 "feedback_data(12)") (joined + (portRef (member feedback_data 16)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_12)) + )) + (net (rename data_out_final_3_12 "data_out_final_3(12)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_12)) + (portRef (member data_out_final_3 10)) + )) + (net (rename feedback_data_19 "feedback_data(19)") (joined + (portRef (member feedback_data 9)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_19)) + )) + (net (rename data_out_final_3_19 "data_out_final_3(19)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_19)) + (portRef (member data_out_final_3 3)) + )) + (net (rename feedback_data_28 "feedback_data(28)") (joined + (portRef (member feedback_data 0)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_28)) + )) + (net data_out_final_3_6_4 (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_28)) + (portRef data_out_final_3_6_4) + )) + (net (rename feedback_data_22 "feedback_data(22)") (joined + (portRef (member feedback_data 6)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_22)) + )) + (net (rename data_out_final_3_22 "data_out_final_3(22)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_22)) + (portRef (member data_out_final_3 0)) + )) + (net (rename feedback_data_15 "feedback_data(15)") (joined + (portRef (member feedback_data 13)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_15)) + )) + (net (rename data_out_final_3_15 "data_out_final_3(15)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_15)) + (portRef (member data_out_final_3 7)) + )) + (net (rename feedback_data_14 "feedback_data(14)") (joined + (portRef (member feedback_data 14)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_14)) + )) + (net (rename data_out_final_3_14 "data_out_final_3(14)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_14)) + (portRef (member data_out_final_3 8)) + )) + (net (rename feedback_data_13 "feedback_data(13)") (joined + (portRef (member feedback_data 15)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_13)) + )) + (net (rename data_out_final_3_13 "data_out_final_3(13)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_13)) + (portRef (member data_out_final_3 9)) + )) + (net (rename feedback_data_10 "feedback_data(10)") (joined + (portRef (member feedback_data 18)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_10)) + )) + (net (rename data_out_final_3_10 "data_out_final_3(10)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_10)) + (portRef (member data_out_final_3 12)) + )) + (net (rename feedback_data_8 "feedback_data(8)") (joined + (portRef (member feedback_data 20)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_8)) + )) + (net (rename data_out_final_3_8 "data_out_final_3(8)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_8)) + (portRef (member data_out_final_3 14)) + )) + (net (rename feedback_data_7 "feedback_data(7)") (joined + (portRef (member feedback_data 21)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_7)) + )) + (net (rename data_out_final_3_7 "data_out_final_3(7)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_7)) + (portRef (member data_out_final_3 15)) + )) + (net (rename feedback_data_4 "feedback_data(4)") (joined + (portRef (member feedback_data 24)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_4)) + )) + (net (rename data_out_final_3_4 "data_out_final_3(4)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_4)) + (portRef (member data_out_final_3 18)) + )) + (net (rename feedback_data_3 "feedback_data(3)") (joined + (portRef (member feedback_data 25)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_3)) + )) + (net (rename data_out_final_3_3 "data_out_final_3(3)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_3)) + (portRef (member data_out_final_3 19)) + )) + (net (rename feedback_data_2 "feedback_data(2)") (joined + (portRef (member feedback_data 26)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_2)) + )) + (net (rename data_out_final_3_2 "data_out_final_3(2)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_2)) + (portRef (member data_out_final_3 20)) + )) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_35)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_0)) + )) + (net (rename un1_psum0_signed_31 "un1_psum0_signed(31)") (joined + (portRef (member un1_psum0_signed 39)) + (portRef I2 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_0)) + )) + (net (rename Z_i_0_o3Z0Z_28 "Z_i_0_o3_28") (joined + (portRef O (instanceRef Z_i_0_o3_28)) + (portRef I2 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_35)) + (portRef I3 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_0)) + (portRef Z_i_0_o3_28) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_35)) + (portRef I4 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_0)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_35)) + (portRef I1 (instanceRef N_68_i)) + (portRef I5 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_0)) + )) + (net (rename data_out_final_3_0 "data_out_final_3(0)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_0)) + (portRef (member data_out_final_3 22)) + )) + (net (rename N_68_iZ0 "N_68_i") (joined + (portRef LO (instanceRef N_68_i)) + (portRef N_68_i) + )) + (net (rename Z_i_0_o3_28Z0Z_3 "Z_i_0_o3_28_3") (joined + (portRef O (instanceRef Z_i_0_o3_28_3)) + (portRef I0 (instanceRef Z_i_0_o3_28)) + )) + (net (rename un1_psum0_signed_21 "un1_psum0_signed(21)") (joined + (portRef (member un1_psum0_signed 49)) + (portRef I1 (instanceRef Z_i_0_o3_28)) + )) + (net (rename un1_psum0_signed_22 "un1_psum0_signed(22)") (joined + (portRef (member un1_psum0_signed 48)) + (portRef I2 (instanceRef Z_i_0_o3_28)) + )) + (net (rename un1_psum0_signed_23 "un1_psum0_signed(23)") (joined + (portRef (member un1_psum0_signed 47)) + (portRef I3 (instanceRef Z_i_0_o3_28)) + )) + (net (rename un1_psum0_signed_24 "un1_psum0_signed(24)") (joined + (portRef (member un1_psum0_signed 46)) + (portRef I4 (instanceRef Z_i_0_o3_28)) + )) + (net (rename Z_i_0_o3_28_3Z0Z_4 "Z_i_0_o3_28_3_4") (joined + (portRef LO (instanceRef Z_i_0_o3_28_3_4)) + (portRef I5 (instanceRef Z_i_0_o3_28)) + )) + (net (rename psum1_saved_20 "psum1_saved(20)") (joined + (portRef (member psum1_saved 0)) + (portRef I0 (instanceRef Z_i_0_o3_28_3)) + )) + (net (rename psum1_saved_0 "psum1_saved(0)") (joined + (portRef (member psum1_saved 20)) + (portRef I1 (instanceRef Z_i_0_o3_28_3)) + )) + (net (rename psum1_saved_1 "psum1_saved(1)") (joined + (portRef (member psum1_saved 19)) + (portRef I2 (instanceRef Z_i_0_o3_28_3)) + )) + (net (rename Z_i_0_o3_28Z0Z_2 "Z_i_0_o3_28_2") (joined + (portRef O (instanceRef Z_i_0_o3_28_2)) + (portRef I3 (instanceRef Z_i_0_o3_28_3)) + )) + (net (rename Z_i_0_o3_28_3Z0Z_1 "Z_i_0_o3_28_3_1") (joined + (portRef O (instanceRef Z_i_0_o3_28_3_1)) + (portRef I4 (instanceRef Z_i_0_o3_28_3)) + )) + (net (rename b0_product_signed_0 "B0_product_signed_0") (joined + (portRef B0_product_signed_0) + (portRef I5 (instanceRef Z_i_0_o3_28_3)) + )) + (net (rename psum1_saved_8 "psum1_saved(8)") (joined + (portRef (member psum1_saved 12)) + (portRef I0 (instanceRef Z_i_0_o3_28_3_1)) + )) + (net (rename psum1_saved_9 "psum1_saved(9)") (joined + (portRef (member psum1_saved 11)) + (portRef I1 (instanceRef Z_i_0_o3_28_3_1)) + )) + (net (rename psum1_saved_10 "psum1_saved(10)") (joined + (portRef (member psum1_saved 10)) + (portRef I2 (instanceRef Z_i_0_o3_28_3_1)) + )) + (net (rename psum1_saved_11 "psum1_saved(11)") (joined + (portRef (member psum1_saved 9)) + (portRef I3 (instanceRef Z_i_0_o3_28_3_1)) + )) + (net (rename Z_i_0_o3_28_1Z0Z_2 "Z_i_0_o3_28_1_2") (joined + (portRef O (instanceRef Z_i_0_o3_28_1_2)) + (portRef I4 (instanceRef Z_i_0_o3_28_3_1)) + )) + (net (rename Z_i_0_o3_28Z0Z_0 "Z_i_0_o3_28_0") (joined + (portRef LO (instanceRef Z_i_0_o3_28_0)) + (portRef I5 (instanceRef Z_i_0_o3_28_3_1)) + )) + (net (rename psum1_saved_14 "psum1_saved(14)") (joined + (portRef (member psum1_saved 6)) + (portRef I0 (instanceRef Z_i_0_o3_28_0)) + )) + (net (rename psum1_saved_15 "psum1_saved(15)") (joined + (portRef (member psum1_saved 5)) + (portRef I1 (instanceRef Z_i_0_o3_28_0)) + )) + (net (rename psum1_saved_18 "psum1_saved(18)") (joined + (portRef (member psum1_saved 2)) + (portRef I2 (instanceRef Z_i_0_o3_28_0)) + )) + (net (rename psum1_saved_19 "psum1_saved(19)") (joined + (portRef (member psum1_saved 1)) + (portRef I3 (instanceRef Z_i_0_o3_28_0)) + )) + (net (rename psum1_saved_16 "psum1_saved(16)") (joined + (portRef (member psum1_saved 4)) + (portRef I4 (instanceRef Z_i_0_o3_28_0)) + )) + (net (rename psum1_saved_17 "psum1_saved(17)") (joined + (portRef (member psum1_saved 3)) + (portRef I5 (instanceRef Z_i_0_o3_28_0)) + )) + (net (rename psum1_saved_2 "psum1_saved(2)") (joined + (portRef (member psum1_saved 18)) + (portRef I0 (instanceRef Z_i_0_o3_28_2)) + )) + (net (rename psum1_saved_3 "psum1_saved(3)") (joined + (portRef (member psum1_saved 17)) + (portRef I1 (instanceRef Z_i_0_o3_28_2)) + )) + (net (rename psum1_saved_4 "psum1_saved(4)") (joined + (portRef (member psum1_saved 16)) + (portRef I2 (instanceRef Z_i_0_o3_28_2)) + )) + (net (rename psum1_saved_5 "psum1_saved(5)") (joined + (portRef (member psum1_saved 15)) + (portRef I3 (instanceRef Z_i_0_o3_28_2)) + )) + (net (rename psum1_saved_6 "psum1_saved(6)") (joined + (portRef (member psum1_saved 14)) + (portRef I4 (instanceRef Z_i_0_o3_28_2)) + )) + (net (rename psum1_saved_7 "psum1_saved(7)") (joined + (portRef (member psum1_saved 13)) + (portRef I5 (instanceRef Z_i_0_o3_28_2)) + )) + (net (rename un1_psum0_signed_26 "un1_psum0_signed(26)") (joined + (portRef (member un1_psum0_signed 44)) + (portRef I0 (instanceRef Z_i_0_o3_28_3_4)) + )) + (net (rename un1_psum0_signed_27 "un1_psum0_signed(27)") (joined + (portRef (member un1_psum0_signed 43)) + (portRef I1 (instanceRef Z_i_0_o3_28_3_4)) + )) + (net (rename un1_psum0_signed_25 "un1_psum0_signed(25)") (joined + (portRef (member un1_psum0_signed 45)) + (portRef I2 (instanceRef Z_i_0_o3_28_3_4)) + )) + (net (rename un1_psum0_signed_28 "un1_psum0_signed(28)") (joined + (portRef (member un1_psum0_signed 42)) + (portRef I3 (instanceRef Z_i_0_o3_28_3_4)) + )) + (net (rename un1_psum0_signed_29 "un1_psum0_signed(29)") (joined + (portRef (member un1_psum0_signed 41)) + (portRef I4 (instanceRef Z_i_0_o3_28_3_4)) + )) + (net (rename psum1_saved_12 "psum1_saved(12)") (joined + (portRef (member psum1_saved 8)) + (portRef I0 (instanceRef Z_i_0_o3_28_1_2)) + )) + (net (rename psum1_saved_13 "psum1_saved(13)") (joined + (portRef (member psum1_saved 7)) + (portRef I1 (instanceRef Z_i_0_o3_28_1_2)) + )) + (net (rename feedback_inc_data_35 "feedback_inc_data(35)") (joined + (portRef (member feedback_inc_data 0)) + (portRef I4 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_35)) + )) + (net data_out_final_3_39 (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_35)) + (portRef data_out_final_3_39) + )) + ) + (property orig_inst_of (string "GTECH_AND2")) + ) + ) + (cell GTECH_AND2_299 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port IIRin_re_0 (direction INPUT)) + (port gated_in_data_0 (direction OUTPUT)) + (port rstn (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (net rstn (joined + (portRef rstn) + (portRef I0 (instanceRef Z)) + )) + (net (rename iirin_re_0 "IIRin_re_0") (joined + (portRef IIRin_re_0) + (portRef I1 (instanceRef Z)) + )) + (net gated_in_data_0 (joined + (portRef O (instanceRef Z)) + (portRef gated_in_data_0) + )) + ) + (property orig_inst_of (string "GTECH_AND2")) + ) + ) + (cell GTECH_AND2_298 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port IIRin_re_0 (direction INPUT)) + (port gated_in_data_0 (direction OUTPUT)) + (port rstn (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (net rstn (joined + (portRef rstn) + (portRef I0 (instanceRef Z)) + )) + (net (rename iirin_re_0 "IIRin_re_0") (joined + (portRef IIRin_re_0) + (portRef I1 (instanceRef Z)) + )) + (net gated_in_data_0 (joined + (portRef O (instanceRef Z)) + (portRef gated_in_data_0) + )) + ) + (property orig_inst_of (string "GTECH_AND2")) + ) + ) + (cell GTECH_AND2_297 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port IIRin_re_0 (direction INPUT)) + (port gated_in_data_0 (direction OUTPUT)) + (port rstn (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (net rstn (joined + (portRef rstn) + (portRef I0 (instanceRef Z)) + )) + (net (rename iirin_re_0 "IIRin_re_0") (joined + (portRef IIRin_re_0) + (portRef I1 (instanceRef Z)) + )) + (net gated_in_data_0 (joined + (portRef O (instanceRef Z)) + (portRef gated_in_data_0) + )) + ) + (property orig_inst_of (string "GTECH_AND2")) + ) + ) + (cell GTECH_AND2_296 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port IIRin_re_0 (direction INPUT)) + (port gated_in_data_0 (direction OUTPUT)) + (port rstn (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (net rstn (joined + (portRef rstn) + (portRef I0 (instanceRef Z)) + )) + (net (rename iirin_re_0 "IIRin_re_0") (joined + (portRef IIRin_re_0) + (portRef I1 (instanceRef Z)) + )) + (net gated_in_data_0 (joined + (portRef O (instanceRef Z)) + (portRef gated_in_data_0) + )) + ) + (property orig_inst_of (string "GTECH_AND2")) + ) + ) + (cell GTECH_AND2_295 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port IIRin_re_0 (direction INPUT)) + (port gated_in_data_0 (direction OUTPUT)) + (port rstn (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (net rstn (joined + (portRef rstn) + (portRef I0 (instanceRef Z)) + )) + (net (rename iirin_re_0 "IIRin_re_0") (joined + (portRef IIRin_re_0) + (portRef I1 (instanceRef Z)) + )) + (net gated_in_data_0 (joined + (portRef O (instanceRef Z)) + (portRef gated_in_data_0) + )) + ) + (property orig_inst_of (string "GTECH_AND2")) + ) + ) + (cell GTECH_AND2_294 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port IIRin_re_0 (direction INPUT)) + (port gated_in_data_0 (direction OUTPUT)) + (port rstn (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (net rstn (joined + (portRef rstn) + (portRef I0 (instanceRef Z)) + )) + (net (rename iirin_re_0 "IIRin_re_0") (joined + (portRef IIRin_re_0) + (portRef I1 (instanceRef Z)) + )) + (net gated_in_data_0 (joined + (portRef O (instanceRef Z)) + (portRef gated_in_data_0) + )) + ) + (property orig_inst_of (string "GTECH_AND2")) + ) + ) + (cell GTECH_AND2_293 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port IIRin_re_0 (direction INPUT)) + (port gated_in_data_0 (direction OUTPUT)) + (port rstn (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (net rstn (joined + (portRef rstn) + (portRef I0 (instanceRef Z)) + )) + (net (rename iirin_re_0 "IIRin_re_0") (joined + (portRef IIRin_re_0) + (portRef I1 (instanceRef Z)) + )) + (net gated_in_data_0 (joined + (portRef O (instanceRef Z)) + (portRef gated_in_data_0) + )) + ) + (property orig_inst_of (string "GTECH_AND2")) + ) + ) + (cell GTECH_AND2_292 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port IIRin_re_0 (direction INPUT)) + (port gated_in_data_0 (direction OUTPUT)) + (port rstn (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (net rstn (joined + (portRef rstn) + (portRef I0 (instanceRef Z)) + )) + (net (rename iirin_re_0 "IIRin_re_0") (joined + (portRef IIRin_re_0) + (portRef I1 (instanceRef Z)) + )) + (net gated_in_data_0 (joined + (portRef O (instanceRef Z)) + (portRef gated_in_data_0) + )) + ) + (property orig_inst_of (string "GTECH_AND2")) + ) + ) + (cell GTECH_AND2_291 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port IIRin_re_0 (direction INPUT)) + (port gated_in_data_0 (direction OUTPUT)) + (port rstn (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (net rstn (joined + (portRef rstn) + (portRef I0 (instanceRef Z)) + )) + (net (rename iirin_re_0 "IIRin_re_0") (joined + (portRef IIRin_re_0) + (portRef I1 (instanceRef Z)) + )) + (net gated_in_data_0 (joined + (portRef O (instanceRef Z)) + (portRef gated_in_data_0) + )) + ) + (property orig_inst_of (string "GTECH_AND2")) + ) + ) + (cell GTECH_AND2_290 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port IIRin_re_0 (direction INPUT)) + (port gated_in_data_0 (direction OUTPUT)) + (port rstn (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (net rstn (joined + (portRef rstn) + (portRef I0 (instanceRef Z)) + )) + (net (rename iirin_re_0 "IIRin_re_0") (joined + (portRef IIRin_re_0) + (portRef I1 (instanceRef Z)) + )) + (net gated_in_data_0 (joined + (portRef O (instanceRef Z)) + (portRef gated_in_data_0) + )) + ) + (property orig_inst_of (string "GTECH_AND2")) + ) + ) + (cell GTECH_AND2_289 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port IIRin_re_0 (direction INPUT)) + (port gated_in_data_0 (direction OUTPUT)) + (port rstn (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (net rstn (joined + (portRef rstn) + (portRef I0 (instanceRef Z)) + )) + (net (rename iirin_re_0 "IIRin_re_0") (joined + (portRef IIRin_re_0) + (portRef I1 (instanceRef Z)) + )) + (net gated_in_data_0 (joined + (portRef O (instanceRef Z)) + (portRef gated_in_data_0) + )) + ) + (property orig_inst_of (string "GTECH_AND2")) + ) + ) + (cell GTECH_AND2_288 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port IIRin_re_0 (direction INPUT)) + (port gated_in_data_0 (direction OUTPUT)) + (port rstn (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (net rstn (joined + (portRef rstn) + (portRef I0 (instanceRef Z)) + )) + (net (rename iirin_re_0 "IIRin_re_0") (joined + (portRef IIRin_re_0) + (portRef I1 (instanceRef Z)) + )) + (net gated_in_data_0 (joined + (portRef O (instanceRef Z)) + (portRef gated_in_data_0) + )) + ) + (property orig_inst_of (string "GTECH_AND2")) + ) + ) + (cell GTECH_AND2_287 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port IIRin_re_0 (direction INPUT)) + (port gated_in_data_0 (direction OUTPUT)) + (port rstn (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (net rstn (joined + (portRef rstn) + (portRef I0 (instanceRef Z)) + )) + (net (rename iirin_re_0 "IIRin_re_0") (joined + (portRef IIRin_re_0) + (portRef I1 (instanceRef Z)) + )) + (net gated_in_data_0 (joined + (portRef O (instanceRef Z)) + (portRef gated_in_data_0) + )) + ) + (property orig_inst_of (string "GTECH_AND2")) + ) + ) + (cell GTECH_AND2_286 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port IIRin_re_0 (direction INPUT)) + (port gated_in_data_0 (direction OUTPUT)) + (port rstn (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (net rstn (joined + (portRef rstn) + (portRef I0 (instanceRef Z)) + )) + (net (rename iirin_re_0 "IIRin_re_0") (joined + (portRef IIRin_re_0) + (portRef I1 (instanceRef Z)) + )) + (net gated_in_data_0 (joined + (portRef O (instanceRef Z)) + (portRef gated_in_data_0) + )) + ) + (property orig_inst_of (string "GTECH_AND2")) + ) + ) + (cell GTECH_AND2_285 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port IIRin_re_0 (direction INPUT)) + (port gated_in_data_0 (direction OUTPUT)) + (port rstn (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (net rstn (joined + (portRef rstn) + (portRef I0 (instanceRef Z)) + )) + (net (rename iirin_re_0 "IIRin_re_0") (joined + (portRef IIRin_re_0) + (portRef I1 (instanceRef Z)) + )) + (net gated_in_data_0 (joined + (portRef O (instanceRef Z)) + (portRef gated_in_data_0) + )) + ) + (property orig_inst_of (string "GTECH_AND2")) + ) + ) + (cell GTECH_AND2_284 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port IIRin_re_0 (direction INPUT)) + (port gated_in_data_0 (direction OUTPUT)) + (port rstn (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (net rstn (joined + (portRef rstn) + (portRef I0 (instanceRef Z)) + )) + (net (rename iirin_re_0 "IIRin_re_0") (joined + (portRef IIRin_re_0) + (portRef I1 (instanceRef Z)) + )) + (net gated_in_data_0 (joined + (portRef O (instanceRef Z)) + (portRef gated_in_data_0) + )) + ) + (property orig_inst_of (string "GTECH_AND2")) + ) + ) + (cell GTECH_OR2_173 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_to_big (direction OUTPUT)) + (port Z_32_1 (direction INPUT)) + (port g0_11_2 (direction INPUT)) + (port Z_32_0 (direction INPUT)) + (port Z_33_2 (direction INPUT)) + ) + (contents + (instance g5 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h7FFF")) + ) + (instance g1_2 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hFFFE")) + ) + (instance g0_0 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFE54FA50FA50FA50")) + ) + (instance g2_9 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h8000000000000000")) + ) + (instance g2_4 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h8000")) + ) + (instance g2_10 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h8000000000000000")) + ) + (instance g2_14 (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h8000000000000000")) + ) + (net (rename un1_psum0_signed_68 "un1_psum0_signed(68)") (joined + (portRef (member un1_psum0_signed 2)) + (portRef I0 (instanceRef g1_2)) + (portRef I0 (instanceRef g5)) + )) + (net (rename un1_psum0_signed_66 "un1_psum0_signed(66)") (joined + (portRef (member un1_psum0_signed 4)) + (portRef I1 (instanceRef g1_2)) + (portRef I1 (instanceRef g5)) + )) + (net (rename un1_psum0_signed_67 "un1_psum0_signed(67)") (joined + (portRef (member un1_psum0_signed 3)) + (portRef I2 (instanceRef g1_2)) + (portRef I2 (instanceRef g5)) + )) + (net (rename un1_psum0_signed_69 "un1_psum0_signed(69)") (joined + (portRef (member un1_psum0_signed 1)) + (portRef I3 (instanceRef g1_2)) + (portRef I3 (instanceRef g5)) + )) + (net (rename gZ0Z5 "g5") (joined + (portRef O (instanceRef g5)) + (portRef I3 (instanceRef g0_0)) + )) + (net (rename g1Z0Z_2 "g1_2") (joined + (portRef O (instanceRef g1_2)) + (portRef I2 (instanceRef g0_0)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I0 (instanceRef g0_0)) + )) + (net (rename g2Z0Z_10 "g2_10") (joined + (portRef O (instanceRef g2_10)) + (portRef I1 (instanceRef g0_0)) + )) + (net (rename g2Z0Z_9 "g2_9") (joined + (portRef O (instanceRef g2_9)) + (portRef I4 (instanceRef g0_0)) + )) + (net (rename g2Z0Z_14 "g2_14") (joined + (portRef LO (instanceRef g2_14)) + (portRef I5 (instanceRef g0_0)) + )) + (net feedback_to_big (joined + (portRef O (instanceRef g0_0)) + (portRef feedback_to_big) + )) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef g2_9)) + )) + (net (rename un1_psum0_signed_32 "un1_psum0_signed(32)") (joined + (portRef (member un1_psum0_signed 38)) + (portRef I1 (instanceRef g2_9)) + )) + (net (rename un1_psum0_signed_33 "un1_psum0_signed(33)") (joined + (portRef (member un1_psum0_signed 37)) + (portRef I2 (instanceRef g2_9)) + )) + (net (rename g2Z0Z_4 "g2_4") (joined + (portRef O (instanceRef g2_4)) + (portRef I3 (instanceRef g2_9)) + )) + (net (rename un1_psum0_signed_48 "un1_psum0_signed(48)") (joined + (portRef (member un1_psum0_signed 22)) + (portRef I4 (instanceRef g2_9)) + )) + (net (rename z_32_1 "Z_32_1") (joined + (portRef Z_32_1) + (portRef I5 (instanceRef g2_9)) + )) + (net (rename un1_psum0_signed_36 "un1_psum0_signed(36)") (joined + (portRef (member un1_psum0_signed 34)) + (portRef I0 (instanceRef g2_4)) + )) + (net (rename un1_psum0_signed_34 "un1_psum0_signed(34)") (joined + (portRef (member un1_psum0_signed 36)) + (portRef I1 (instanceRef g2_4)) + )) + (net (rename un1_psum0_signed_31 "un1_psum0_signed(31)") (joined + (portRef (member un1_psum0_signed 39)) + (portRef I2 (instanceRef g2_4)) + )) + (net (rename un1_psum0_signed_35 "un1_psum0_signed(35)") (joined + (portRef (member un1_psum0_signed 35)) + (portRef I3 (instanceRef g2_4)) + )) + (net (rename un1_psum0_signed_50 "un1_psum0_signed(50)") (joined + (portRef (member un1_psum0_signed 20)) + (portRef I0 (instanceRef g2_10)) + )) + (net (rename un1_psum0_signed_49 "un1_psum0_signed(49)") (joined + (portRef (member un1_psum0_signed 21)) + (portRef I1 (instanceRef g2_10)) + )) + (net (rename un1_psum0_signed_59 "un1_psum0_signed(59)") (joined + (portRef (member un1_psum0_signed 11)) + (portRef I2 (instanceRef g2_10)) + )) + (net (rename un1_psum0_signed_57 "un1_psum0_signed(57)") (joined + (portRef (member un1_psum0_signed 13)) + (portRef I3 (instanceRef g2_10)) + )) + (net (rename un1_psum0_signed_63 "un1_psum0_signed(63)") (joined + (portRef (member un1_psum0_signed 7)) + (portRef I4 (instanceRef g2_10)) + )) + (net (rename un1_psum0_signed_64 "un1_psum0_signed(64)") (joined + (portRef (member un1_psum0_signed 6)) + (portRef I5 (instanceRef g2_10)) + )) + (net (rename un1_psum0_signed_37 "un1_psum0_signed(37)") (joined + (portRef (member un1_psum0_signed 33)) + (portRef I0 (instanceRef g2_14)) + )) + (net (rename un1_psum0_signed_38 "un1_psum0_signed(38)") (joined + (portRef (member un1_psum0_signed 32)) + (portRef I1 (instanceRef g2_14)) + )) + (net (rename un1_psum0_signed_65 "un1_psum0_signed(65)") (joined + (portRef (member un1_psum0_signed 5)) + (portRef I2 (instanceRef g2_14)) + )) + (net g0_11_2 (joined + (portRef g0_11_2) + (portRef I3 (instanceRef g2_14)) + )) + (net (rename z_32_0 "Z_32_0") (joined + (portRef Z_32_0) + (portRef I4 (instanceRef g2_14)) + )) + (net (rename z_33_2 "Z_33_2") (joined + (portRef Z_33_2) + (portRef I5 (instanceRef g2_14)) + )) + ) + (property orig_inst_of (string "GTECH_OR2")) + ) + ) + (cell GTECH_AND2_283 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:66)") 5) (direction INPUT)) + (port N_213 (direction OUTPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h7F00FF00")) + ) + (net (rename un1_psum0_signed_66 "un1_psum0_signed(66)") (joined + (portRef (member un1_psum0_signed 4)) + (portRef I0 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_67 "un1_psum0_signed(67)") (joined + (portRef (member un1_psum0_signed 3)) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_68 "un1_psum0_signed(68)") (joined + (portRef (member un1_psum0_signed 2)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_69 "un1_psum0_signed(69)") (joined + (portRef (member un1_psum0_signed 1)) + (portRef I4 (instanceRef Z)) + )) + (net (rename n_213 "N_213") (joined + (portRef O (instanceRef Z)) + (portRef N_213) + )) + ) + (property orig_inst_of (string "GTECH_AND2")) + ) + ) + (cell GTECH_MUX2_358 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(64:42)") 23) (direction INPUT)) + (port (array (rename feedback_inc_data "feedback_inc_data(33:11)") 23) (direction INPUT)) + (port rstn (direction INPUT)) + (port N_213 (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_153_i (direction OUTPUT)) + (port N_77_i (direction OUTPUT)) + (port N_75_i (direction OUTPUT)) + (port N_65_i (direction OUTPUT)) + (port N_61_i (direction OUTPUT)) + (port N_57_i (direction OUTPUT)) + (port N_53_i (direction OUTPUT)) + (port N_49_i (direction OUTPUT)) + (port N_45_i (direction OUTPUT)) + (port N_41_i (direction OUTPUT)) + ) + (contents + (instance N_153_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h080A0000080A080A")) + ) + (instance N_77_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h080A0000080A080A")) + ) + (instance N_75_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h080A0000080A080A")) + ) + (instance N_65_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h08000A0008080A0A")) + ) + (instance N_61_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h080A0000080A080A")) + ) + (instance N_57_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h08000A0008080A0A")) + ) + (instance N_53_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h08000A0008080A0A")) + ) + (instance N_49_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h08000A0008080A0A")) + ) + (instance N_45_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h008000A0008800AA")) + ) + (instance N_41_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h080C0A0F")) + ) + (net rstn (joined + (portRef rstn) + (portRef I0 (instanceRef N_45_i)) + (portRef I0 (instanceRef N_49_i)) + (portRef I0 (instanceRef N_53_i)) + (portRef I0 (instanceRef N_57_i)) + (portRef I0 (instanceRef N_61_i)) + (portRef I0 (instanceRef N_65_i)) + (portRef I0 (instanceRef N_75_i)) + (portRef I0 (instanceRef N_77_i)) + (portRef I0 (instanceRef N_153_i)) + )) + (net (rename un1_psum0_signed_64 "un1_psum0_signed(64)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I1 (instanceRef N_153_i)) + )) + (net (rename n_213 "N_213") (joined + (portRef N_213) + (portRef I2 (instanceRef N_41_i)) + (portRef I3 (instanceRef N_45_i)) + (portRef I2 (instanceRef N_49_i)) + (portRef I2 (instanceRef N_53_i)) + (portRef I2 (instanceRef N_57_i)) + (portRef I2 (instanceRef N_61_i)) + (portRef I2 (instanceRef N_65_i)) + (portRef I2 (instanceRef N_75_i)) + (portRef I2 (instanceRef N_77_i)) + (portRef I2 (instanceRef N_153_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I3 (instanceRef N_41_i)) + (portRef I4 (instanceRef N_45_i)) + (portRef I4 (instanceRef N_49_i)) + (portRef I4 (instanceRef N_53_i)) + (portRef I4 (instanceRef N_57_i)) + (portRef I3 (instanceRef N_61_i)) + (portRef I4 (instanceRef N_65_i)) + (portRef I3 (instanceRef N_75_i)) + (portRef I3 (instanceRef N_77_i)) + (portRef I3 (instanceRef N_153_i)) + )) + (net (rename feedback_inc_data_33 "feedback_inc_data(33)") (joined + (portRef (member feedback_inc_data 0)) + (portRef I4 (instanceRef N_153_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_41_i)) + (portRef I5 (instanceRef N_45_i)) + (portRef I5 (instanceRef N_49_i)) + (portRef I5 (instanceRef N_53_i)) + (portRef I5 (instanceRef N_57_i)) + (portRef I5 (instanceRef N_61_i)) + (portRef I5 (instanceRef N_65_i)) + (portRef I5 (instanceRef N_75_i)) + (portRef I5 (instanceRef N_77_i)) + (portRef I5 (instanceRef N_153_i)) + )) + (net (rename N_153_iZ0 "N_153_i") (joined + (portRef LO (instanceRef N_153_i)) + (portRef N_153_i) + )) + (net (rename un1_psum0_signed_63 "un1_psum0_signed(63)") (joined + (portRef (member un1_psum0_signed 1)) + (portRef I1 (instanceRef N_77_i)) + )) + (net (rename feedback_inc_data_32 "feedback_inc_data(32)") (joined + (portRef (member feedback_inc_data 1)) + (portRef I4 (instanceRef N_77_i)) + )) + (net (rename N_77_iZ0 "N_77_i") (joined + (portRef LO (instanceRef N_77_i)) + (portRef N_77_i) + )) + (net (rename un1_psum0_signed_61 "un1_psum0_signed(61)") (joined + (portRef (member un1_psum0_signed 3)) + (portRef I1 (instanceRef N_75_i)) + )) + (net (rename feedback_inc_data_30 "feedback_inc_data(30)") (joined + (portRef (member feedback_inc_data 3)) + (portRef I4 (instanceRef N_75_i)) + )) + (net (rename N_75_iZ0 "N_75_i") (joined + (portRef LO (instanceRef N_75_i)) + (portRef N_75_i) + )) + (net (rename un1_psum0_signed_58 "un1_psum0_signed(58)") (joined + (portRef (member un1_psum0_signed 6)) + (portRef I1 (instanceRef N_65_i)) + )) + (net (rename feedback_inc_data_27 "feedback_inc_data(27)") (joined + (portRef (member feedback_inc_data 6)) + (portRef I3 (instanceRef N_65_i)) + )) + (net (rename N_65_iZ0 "N_65_i") (joined + (portRef LO (instanceRef N_65_i)) + (portRef N_65_i) + )) + (net (rename un1_psum0_signed_57 "un1_psum0_signed(57)") (joined + (portRef (member un1_psum0_signed 7)) + (portRef I1 (instanceRef N_61_i)) + )) + (net (rename feedback_inc_data_26 "feedback_inc_data(26)") (joined + (portRef (member feedback_inc_data 7)) + (portRef I4 (instanceRef N_61_i)) + )) + (net (rename N_61_iZ0 "N_61_i") (joined + (portRef LO (instanceRef N_61_i)) + (portRef N_61_i) + )) + (net (rename un1_psum0_signed_56 "un1_psum0_signed(56)") (joined + (portRef (member un1_psum0_signed 8)) + (portRef I1 (instanceRef N_57_i)) + )) + (net (rename feedback_inc_data_25 "feedback_inc_data(25)") (joined + (portRef (member feedback_inc_data 8)) + (portRef I3 (instanceRef N_57_i)) + )) + (net (rename N_57_iZ0 "N_57_i") (joined + (portRef LO (instanceRef N_57_i)) + (portRef N_57_i) + )) + (net (rename un1_psum0_signed_55 "un1_psum0_signed(55)") (joined + (portRef (member un1_psum0_signed 9)) + (portRef I1 (instanceRef N_53_i)) + )) + (net (rename feedback_inc_data_24 "feedback_inc_data(24)") (joined + (portRef (member feedback_inc_data 9)) + (portRef I3 (instanceRef N_53_i)) + )) + (net (rename N_53_iZ0 "N_53_i") (joined + (portRef LO (instanceRef N_53_i)) + (portRef N_53_i) + )) + (net (rename un1_psum0_signed_54 "un1_psum0_signed(54)") (joined + (portRef (member un1_psum0_signed 10)) + (portRef I1 (instanceRef N_49_i)) + )) + (net (rename feedback_inc_data_23 "feedback_inc_data(23)") (joined + (portRef (member feedback_inc_data 10)) + (portRef I3 (instanceRef N_49_i)) + )) + (net (rename N_49_iZ0 "N_49_i") (joined + (portRef LO (instanceRef N_49_i)) + (portRef N_49_i) + )) + (net (rename un1_psum0_signed_42 "un1_psum0_signed(42)") (joined + (portRef (member un1_psum0_signed 22)) + (portRef I0 (instanceRef N_41_i)) + (portRef I1 (instanceRef N_45_i)) + )) + (net (rename feedback_inc_data_11 "feedback_inc_data(11)") (joined + (portRef (member feedback_inc_data 22)) + (portRef I1 (instanceRef N_41_i)) + (portRef I2 (instanceRef N_45_i)) + )) + (net (rename N_45_iZ0 "N_45_i") (joined + (portRef LO (instanceRef N_45_i)) + (portRef N_45_i) + )) + (net (rename N_41_iZ0 "N_41_i") (joined + (portRef O (instanceRef N_41_i)) + (portRef N_41_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_357 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port N_213 (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_28_i (direction OUTPUT)) + ) + (contents + (instance N_28_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h20302233")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_28_i)) + )) + (net (rename n_213 "N_213") (joined + (portRef N_213) + (portRef I1 (instanceRef N_28_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I2 (instanceRef N_28_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I3 (instanceRef N_28_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_28_i)) + )) + (net (rename N_28_iZ0 "N_28_i") (joined + (portRef O (instanceRef N_28_i)) + (portRef N_28_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_356 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port N_213 (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_33_i (direction OUTPUT)) + ) + (contents + (instance N_33_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h20302233")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_33_i)) + )) + (net (rename n_213 "N_213") (joined + (portRef N_213) + (portRef I1 (instanceRef N_33_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I2 (instanceRef N_33_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I3 (instanceRef N_33_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_33_i)) + )) + (net (rename N_33_iZ0 "N_33_i") (joined + (portRef O (instanceRef N_33_i)) + (portRef N_33_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_355 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port N_213 (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_12_i (direction OUTPUT)) + ) + (contents + (instance N_12_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_12_i)) + )) + (net (rename n_213 "N_213") (joined + (portRef N_213) + (portRef I1 (instanceRef N_12_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I2 (instanceRef N_12_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef N_12_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_12_i)) + )) + (net (rename N_12_iZ0 "N_12_i") (joined + (portRef O (instanceRef N_12_i)) + (portRef N_12_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_354 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port N_213 (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_44_i (direction OUTPUT)) + ) + (contents + (instance N_44_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h080C0A0F")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_44_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I1 (instanceRef N_44_i)) + )) + (net (rename n_213 "N_213") (joined + (portRef N_213) + (portRef I2 (instanceRef N_44_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I3 (instanceRef N_44_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_44_i)) + )) + (net (rename N_44_iZ0 "N_44_i") (joined + (portRef O (instanceRef N_44_i)) + (portRef N_44_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_353 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port N_213 (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_40_i (direction OUTPUT)) + ) + (contents + (instance N_40_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h080C0A0F")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_40_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I1 (instanceRef N_40_i)) + )) + (net (rename n_213 "N_213") (joined + (portRef N_213) + (portRef I2 (instanceRef N_40_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I3 (instanceRef N_40_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_40_i)) + )) + (net (rename N_40_iZ0 "N_40_i") (joined + (portRef O (instanceRef N_40_i)) + (portRef N_40_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_352 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h00FF6C66")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_31 "un1_psum0_signed(31)") (joined + (portRef (member un1_psum0_signed 39)) + (portRef I1 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I4 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_351 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000FFFFF870FA50")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_38 "un1_psum0_signed(38)") (joined + (portRef (member un1_psum0_signed 32)) + (portRef I2 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_350 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port N_213 (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_48_i (direction OUTPUT)) + ) + (contents + (instance N_48_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h080C0A0F")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_48_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I1 (instanceRef N_48_i)) + )) + (net (rename n_213 "N_213") (joined + (portRef N_213) + (portRef I2 (instanceRef N_48_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I3 (instanceRef N_48_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_48_i)) + )) + (net (rename N_48_iZ0 "N_48_i") (joined + (portRef O (instanceRef N_48_i)) + (portRef N_48_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_349 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000FFFFF870FA50")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_39 "un1_psum0_signed(39)") (joined + (portRef (member un1_psum0_signed 31)) + (portRef I2 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_348 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000FFFFE4CCE4E4")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_33 "un1_psum0_signed(33)") (joined + (portRef (member un1_psum0_signed 37)) + (portRef I1 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I2 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I3 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_347 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000FFFFE4CCE4E4")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_34 "un1_psum0_signed(34)") (joined + (portRef (member un1_psum0_signed 36)) + (portRef I1 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I2 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I3 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_346 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000FFFFE4CCE4E4")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_35 "un1_psum0_signed(35)") (joined + (portRef (member un1_psum0_signed 35)) + (portRef I1 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I2 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I3 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_345 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF00FFF8FA7050")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_41 "un1_psum0_signed(41)") (joined + (portRef (member un1_psum0_signed 29)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_344 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port N_213 (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_32_i (direction OUTPUT)) + ) + (contents + (instance N_32_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h20302233")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_32_i)) + )) + (net (rename n_213 "N_213") (joined + (portRef N_213) + (portRef I1 (instanceRef N_32_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I2 (instanceRef N_32_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I3 (instanceRef N_32_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_32_i)) + )) + (net (rename N_32_iZ0 "N_32_i") (joined + (portRef O (instanceRef N_32_i)) + (portRef N_32_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_343 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF00FFF8FA7050")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_53 "un1_psum0_signed(53)") (joined + (portRef (member un1_psum0_signed 17)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_342 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port N_213 (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_16_i (direction OUTPUT)) + ) + (contents + (instance N_16_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_16_i)) + )) + (net (rename n_213 "N_213") (joined + (portRef N_213) + (portRef I1 (instanceRef N_16_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I2 (instanceRef N_16_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef N_16_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_16_i)) + )) + (net (rename N_16_iZ0 "N_16_i") (joined + (portRef O (instanceRef N_16_i)) + (portRef N_16_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_341 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF00FFF8FA7050")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_43 "un1_psum0_signed(43)") (joined + (portRef (member un1_psum0_signed 27)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_340 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF00FFF8FA7050")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_50 "un1_psum0_signed(50)") (joined + (portRef (member un1_psum0_signed 20)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_339 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF00FFF8FA7050")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_44 "un1_psum0_signed(44)") (joined + (portRef (member un1_psum0_signed 26)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_338 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port N_213 (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_24_i (direction OUTPUT)) + ) + (contents + (instance N_24_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h20302233")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_24_i)) + )) + (net (rename n_213 "N_213") (joined + (portRef N_213) + (portRef I1 (instanceRef N_24_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I2 (instanceRef N_24_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I3 (instanceRef N_24_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_24_i)) + )) + (net (rename N_24_iZ0 "N_24_i") (joined + (portRef O (instanceRef N_24_i)) + (portRef N_24_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_337 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF00FFF8FA7050")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_45 "un1_psum0_signed(45)") (joined + (portRef (member un1_psum0_signed 25)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_336 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port N_213 (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_20_i (direction OUTPUT)) + ) + (contents + (instance N_20_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h20302233")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_20_i)) + )) + (net (rename n_213 "N_213") (joined + (portRef N_213) + (portRef I1 (instanceRef N_20_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I2 (instanceRef N_20_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I3 (instanceRef N_20_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_20_i)) + )) + (net (rename N_20_iZ0 "N_20_i") (joined + (portRef O (instanceRef N_20_i)) + (portRef N_20_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_335 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF00FFF8FA7050")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_46 "un1_psum0_signed(46)") (joined + (portRef (member un1_psum0_signed 24)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_334 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF00FFF8FA7050")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_40 "un1_psum0_signed(40)") (joined + (portRef (member un1_psum0_signed 30)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_333 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port N_213 (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_36_i (direction OUTPUT)) + ) + (contents + (instance N_36_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h080C0A0F")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_36_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I1 (instanceRef N_36_i)) + )) + (net (rename n_213 "N_213") (joined + (portRef N_213) + (portRef I2 (instanceRef N_36_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I3 (instanceRef N_36_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_36_i)) + )) + (net (rename N_36_iZ0 "N_36_i") (joined + (portRef O (instanceRef N_36_i)) + (portRef N_36_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_332 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF00FFF8FA7050")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_59 "un1_psum0_signed(59)") (joined + (portRef (member un1_psum0_signed 11)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_331 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hF0F0FA70")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I2 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I4 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_330 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port N_213 (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_21_i (direction OUTPUT)) + ) + (contents + (instance N_21_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h20302233")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_21_i)) + )) + (net (rename n_213 "N_213") (joined + (portRef N_213) + (portRef I1 (instanceRef N_21_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I2 (instanceRef N_21_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I3 (instanceRef N_21_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_21_i)) + )) + (net (rename N_21_iZ0 "N_21_i") (joined + (portRef O (instanceRef N_21_i)) + (portRef N_21_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_329 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port N_213 (direction INPUT)) + (port N_186 (direction OUTPUT)) + (port N_218 (direction OUTPUT)) + (port N_8_i (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port Z_32 (direction INPUT)) + ) + (contents + (instance Z_i_0_o3_1_RNIBT8H8 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (instance Z_i_0_o3_0_a0_1 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000000000001")) + ) + (instance Z_i_0_o3_0_a2 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h4000000000000000")) + ) + (instance Z_m2_0_a2_0 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h00000001")) + ) + (instance Z_i_0_o3_1 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hFFF4")) + ) + (instance g0_i_a4_0_4 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h8000000000000000")) + ) + (instance g0_i_a4_0_12 (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'h8000")) + ) + (instance Z_m2_0_a2_0_RNIV9OT7 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hAAA022A0AAA0AAA0")) + ) + (instance g0_0_N_7L15 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h8000000000000000")) + ) + (instance g0_0_N_6L11 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h7FFFFFFF")) + ) + (instance g0_i_a4_0_13 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000080000000")) + ) + (instance g0_i_a4_0_13_N_4L5 (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'h7FFF")) + ) + (net (rename un1_psum0_signed_65 "un1_psum0_signed(65)") (joined + (portRef (member un1_psum0_signed 5)) + (portRef I0 (instanceRef Z_i_0_o3_1_RNIBT8H8)) + )) + (net (rename n_213 "N_213") (joined + (portRef N_213) + (portRef I1 (instanceRef Z_i_0_o3_1_RNIBT8H8)) + )) + (net (rename n_186 "N_186") (joined + (portRef O (instanceRef Z_i_0_o3_1)) + (portRef I2 (instanceRef Z_i_0_o3_1_RNIBT8H8)) + (portRef N_186) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef Z_i_0_o3_1_RNIBT8H8)) + )) + (net (rename n_218 "N_218") (joined + (portRef O (instanceRef Z_m2_0_a2_0_RNIV9OT7)) + (portRef I4 (instanceRef Z_i_0_o3_1_RNIBT8H8)) + (portRef N_218) + )) + (net (rename n_8_i "N_8_i") (joined + (portRef O (instanceRef Z_i_0_o3_1_RNIBT8H8)) + (portRef N_8_i) + )) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z_m2_0_a2_0_RNIV9OT7)) + (portRef I0 (instanceRef Z_i_0_o3_0_a2)) + (portRef I0 (instanceRef Z_i_0_o3_0_a0_1)) + )) + (net (rename un1_psum0_signed_66 "un1_psum0_signed(66)") (joined + (portRef (member un1_psum0_signed 4)) + (portRef I1 (instanceRef g0_0_N_7L15)) + (portRef I0 (instanceRef Z_m2_0_a2_0)) + (portRef I1 (instanceRef Z_i_0_o3_0_a2)) + (portRef I1 (instanceRef Z_i_0_o3_0_a0_1)) + )) + (net (rename un1_psum0_signed_67 "un1_psum0_signed(67)") (joined + (portRef (member un1_psum0_signed 3)) + (portRef I2 (instanceRef g0_0_N_7L15)) + (portRef I1 (instanceRef Z_m2_0_a2_0)) + (portRef I2 (instanceRef Z_i_0_o3_0_a2)) + (portRef I2 (instanceRef Z_i_0_o3_0_a0_1)) + )) + (net (rename un1_psum0_signed_68 "un1_psum0_signed(68)") (joined + (portRef (member un1_psum0_signed 2)) + (portRef I3 (instanceRef g0_0_N_7L15)) + (portRef I2 (instanceRef Z_m2_0_a2_0)) + (portRef I3 (instanceRef Z_i_0_o3_0_a2)) + (portRef I3 (instanceRef Z_i_0_o3_0_a0_1)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I4 (instanceRef g0_0_N_7L15)) + (portRef I1 (instanceRef Z_i_0_o3_1)) + (portRef I3 (instanceRef Z_m2_0_a2_0)) + (portRef I4 (instanceRef Z_i_0_o3_0_a2)) + (portRef I4 (instanceRef Z_i_0_o3_0_a0_1)) + )) + (net (rename un1_psum0_signed_69 "un1_psum0_signed(69)") (joined + (portRef (member un1_psum0_signed 1)) + (portRef I5 (instanceRef g0_0_N_7L15)) + (portRef I4 (instanceRef Z_m2_0_a2_0)) + (portRef I5 (instanceRef Z_i_0_o3_0_a2)) + (portRef I5 (instanceRef Z_i_0_o3_0_a0_1)) + )) + (net Z_i_0_o3_0_a1_1 (joined + (portRef O (instanceRef Z_i_0_o3_0_a0_1)) + (portRef I2 (instanceRef Z_i_0_o3_1)) + )) + (net Z_i_0_o3_0_a2_0 (joined + (portRef O (instanceRef Z_i_0_o3_0_a2)) + (portRef I3 (instanceRef Z_i_0_o3_1)) + )) + (net (rename Z_m2_0_a2Z0Z_0 "Z_m2_0_a2_0") (joined + (portRef O (instanceRef Z_m2_0_a2_0)) + (portRef I3 (instanceRef Z_m2_0_a2_0_RNIV9OT7)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I0 (instanceRef g0_0_N_7L15)) + (portRef I0 (instanceRef Z_i_0_o3_1)) + )) + (net (rename un1_psum0_signed_36 "un1_psum0_signed(36)") (joined + (portRef (member un1_psum0_signed 34)) + (portRef I0 (instanceRef g0_i_a4_0_4)) + )) + (net (rename un1_psum0_signed_32 "un1_psum0_signed(32)") (joined + (portRef (member un1_psum0_signed 38)) + (portRef I1 (instanceRef g0_i_a4_0_4)) + )) + (net (rename un1_psum0_signed_34 "un1_psum0_signed(34)") (joined + (portRef (member un1_psum0_signed 36)) + (portRef I2 (instanceRef g0_i_a4_0_4)) + )) + (net (rename un1_psum0_signed_35 "un1_psum0_signed(35)") (joined + (portRef (member un1_psum0_signed 35)) + (portRef I3 (instanceRef g0_i_a4_0_4)) + )) + (net (rename un1_psum0_signed_31 "un1_psum0_signed(31)") (joined + (portRef (member un1_psum0_signed 39)) + (portRef I4 (instanceRef g0_i_a4_0_4)) + )) + (net (rename un1_psum0_signed_33 "un1_psum0_signed(33)") (joined + (portRef (member un1_psum0_signed 37)) + (portRef I5 (instanceRef g0_i_a4_0_4)) + )) + (net (rename g0_i_a4_0Z0Z_4 "g0_i_a4_0_4") (joined + (portRef O (instanceRef g0_i_a4_0_4)) + (portRef I0 (instanceRef g0_0_N_6L11)) + )) + (net (rename un1_psum0_signed_58 "un1_psum0_signed(58)") (joined + (portRef (member un1_psum0_signed 12)) + (portRef I0 (instanceRef g0_i_a4_0_12)) + )) + (net (rename un1_psum0_signed_59 "un1_psum0_signed(59)") (joined + (portRef (member un1_psum0_signed 11)) + (portRef I1 (instanceRef g0_i_a4_0_12)) + )) + (net (rename un1_psum0_signed_60 "un1_psum0_signed(60)") (joined + (portRef (member un1_psum0_signed 10)) + (portRef I2 (instanceRef g0_i_a4_0_12)) + )) + (net (rename un1_psum0_signed_61 "un1_psum0_signed(61)") (joined + (portRef (member un1_psum0_signed 9)) + (portRef I3 (instanceRef g0_i_a4_0_12)) + )) + (net (rename g0_i_a4_0Z0Z_12 "g0_i_a4_0_12") (joined + (portRef LO (instanceRef g0_i_a4_0_12)) + (portRef I4 (instanceRef g0_0_N_6L11)) + )) + (net (rename g0_i_a4_0Z0Z_13 "g0_i_a4_0_13") (joined + (portRef O (instanceRef g0_i_a4_0_13)) + (portRef I1 (instanceRef Z_m2_0_a2_0_RNIV9OT7)) + )) + (net (rename g0_0_N_7LZ0Z15 "g0_0_N_7L15") (joined + (portRef O (instanceRef g0_0_N_7L15)) + (portRef I2 (instanceRef Z_m2_0_a2_0_RNIV9OT7)) + )) + (net (rename g0_0_N_6LZ0Z11 "g0_0_N_6L11") (joined + (portRef O (instanceRef g0_0_N_6L11)) + (portRef I4 (instanceRef Z_m2_0_a2_0_RNIV9OT7)) + )) + (net (rename z_32 "Z_32") (joined + (portRef Z_32) + (portRef I5 (instanceRef Z_m2_0_a2_0_RNIV9OT7)) + )) + (net (rename un1_psum0_signed_50 "un1_psum0_signed(50)") (joined + (portRef (member un1_psum0_signed 20)) + (portRef I1 (instanceRef g0_0_N_6L11)) + )) + (net (rename un1_psum0_signed_49 "un1_psum0_signed(49)") (joined + (portRef (member un1_psum0_signed 21)) + (portRef I2 (instanceRef g0_0_N_6L11)) + )) + (net (rename un1_psum0_signed_57 "un1_psum0_signed(57)") (joined + (portRef (member un1_psum0_signed 13)) + (portRef I3 (instanceRef g0_0_N_6L11)) + )) + (net (rename un1_psum0_signed_37 "un1_psum0_signed(37)") (joined + (portRef (member un1_psum0_signed 33)) + (portRef I0 (instanceRef g0_i_a4_0_13)) + )) + (net (rename un1_psum0_signed_38 "un1_psum0_signed(38)") (joined + (portRef (member un1_psum0_signed 32)) + (portRef I1 (instanceRef g0_i_a4_0_13)) + )) + (net (rename un1_psum0_signed_51 "un1_psum0_signed(51)") (joined + (portRef (member un1_psum0_signed 19)) + (portRef I2 (instanceRef g0_i_a4_0_13)) + )) + (net (rename un1_psum0_signed_53 "un1_psum0_signed(53)") (joined + (portRef (member un1_psum0_signed 17)) + (portRef I3 (instanceRef g0_i_a4_0_13)) + )) + (net (rename un1_psum0_signed_62 "un1_psum0_signed(62)") (joined + (portRef (member un1_psum0_signed 8)) + (portRef I4 (instanceRef g0_i_a4_0_13)) + )) + (net g0_i_a4_0_13_N_4L5_3 (joined + (portRef LO (instanceRef g0_i_a4_0_13_N_4L5)) + (portRef I5 (instanceRef g0_i_a4_0_13)) + )) + (net (rename un1_psum0_signed_52 "un1_psum0_signed(52)") (joined + (portRef (member un1_psum0_signed 18)) + (portRef I0 (instanceRef g0_i_a4_0_13_N_4L5)) + )) + (net (rename un1_psum0_signed_54 "un1_psum0_signed(54)") (joined + (portRef (member un1_psum0_signed 16)) + (portRef I1 (instanceRef g0_i_a4_0_13_N_4L5)) + )) + (net (rename un1_psum0_signed_55 "un1_psum0_signed(55)") (joined + (portRef (member un1_psum0_signed 15)) + (portRef I2 (instanceRef g0_i_a4_0_13_N_4L5)) + )) + (net (rename un1_psum0_signed_56 "un1_psum0_signed(56)") (joined + (portRef (member un1_psum0_signed 14)) + (portRef I3 (instanceRef g0_i_a4_0_13_N_4L5)) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_328 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port N_213 (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_25_i (direction OUTPUT)) + ) + (contents + (instance N_25_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_25_i)) + )) + (net (rename n_213 "N_213") (joined + (portRef N_213) + (portRef I1 (instanceRef N_25_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I2 (instanceRef N_25_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef N_25_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_25_i)) + )) + (net (rename N_25_iZ0 "N_25_i") (joined + (portRef O (instanceRef N_25_i)) + (portRef N_25_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_327 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port N_213 (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_9_i (direction OUTPUT)) + ) + (contents + (instance N_9_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_9_i)) + )) + (net (rename n_213 "N_213") (joined + (portRef N_213) + (portRef I1 (instanceRef N_9_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I2 (instanceRef N_9_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef N_9_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_9_i)) + )) + (net (rename N_9_iZ0 "N_9_i") (joined + (portRef O (instanceRef N_9_i)) + (portRef N_9_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_326 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port N_213 (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_29_i (direction OUTPUT)) + ) + (contents + (instance N_29_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h20302233")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_29_i)) + )) + (net (rename n_213 "N_213") (joined + (portRef N_213) + (portRef I1 (instanceRef N_29_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I2 (instanceRef N_29_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I3 (instanceRef N_29_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_29_i)) + )) + (net (rename N_29_iZ0 "N_29_i") (joined + (portRef O (instanceRef N_29_i)) + (portRef N_29_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_325 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port N_213 (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_13_i (direction OUTPUT)) + ) + (contents + (instance N_13_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_13_i)) + )) + (net (rename n_213 "N_213") (joined + (portRef N_213) + (portRef I1 (instanceRef N_13_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I2 (instanceRef N_13_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef N_13_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_13_i)) + )) + (net (rename N_13_iZ0 "N_13_i") (joined + (portRef O (instanceRef N_13_i)) + (portRef N_13_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_324 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port N_213 (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_37_i (direction OUTPUT)) + ) + (contents + (instance N_37_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h20302233")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_37_i)) + )) + (net (rename n_213 "N_213") (joined + (portRef N_213) + (portRef I1 (instanceRef N_37_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I2 (instanceRef N_37_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I3 (instanceRef N_37_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_37_i)) + )) + (net (rename N_37_iZ0 "N_37_i") (joined + (portRef O (instanceRef N_37_i)) + (portRef N_37_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_323 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port N_213 (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_17_i (direction OUTPUT)) + ) + (contents + (instance N_17_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_17_i)) + )) + (net (rename n_213 "N_213") (joined + (portRef N_213) + (portRef I1 (instanceRef N_17_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I2 (instanceRef N_17_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef N_17_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_17_i)) + )) + (net (rename N_17_iZ0 "N_17_i") (joined + (portRef O (instanceRef N_17_i)) + (portRef N_17_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_AND2_279 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(65:39)") 27) (direction INPUT)) + (port Z_32_0 (direction OUTPUT)) + (port Z_33_2 (direction OUTPUT)) + (port Z_32_1 (direction OUTPUT)) + (port Z_32 (direction OUTPUT)) + ) + (contents + (instance Z_32_0 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h8000000000000000")) + ) + (instance Z_33_2 (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h8000000000000000")) + ) + (instance Z_32_1 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) + ) + (instance Z_32_0_RNIA9EM1 (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h8000000000000000")) + ) + (net (rename un1_psum0_signed_39 "un1_psum0_signed(39)") (joined + (portRef (member un1_psum0_signed 26)) + (portRef I0 (instanceRef Z_32_0)) + )) + (net (rename un1_psum0_signed_40 "un1_psum0_signed(40)") (joined + (portRef (member un1_psum0_signed 25)) + (portRef I1 (instanceRef Z_32_0)) + )) + (net (rename un1_psum0_signed_42 "un1_psum0_signed(42)") (joined + (portRef (member un1_psum0_signed 23)) + (portRef I2 (instanceRef Z_32_0)) + )) + (net (rename un1_psum0_signed_43 "un1_psum0_signed(43)") (joined + (portRef (member un1_psum0_signed 22)) + (portRef I3 (instanceRef Z_32_0)) + )) + (net (rename un1_psum0_signed_41 "un1_psum0_signed(41)") (joined + (portRef (member un1_psum0_signed 24)) + (portRef I4 (instanceRef Z_32_0)) + )) + (net (rename un1_psum0_signed_44 "un1_psum0_signed(44)") (joined + (portRef (member un1_psum0_signed 21)) + (portRef I5 (instanceRef Z_32_0)) + )) + (net (rename Z_32Z0Z_0 "Z_32_0") (joined + (portRef O (instanceRef Z_32_0)) + (portRef I5 (instanceRef Z_32_0_RNIA9EM1)) + (portRef Z_32_0) + )) + (net (rename un1_psum0_signed_51 "un1_psum0_signed(51)") (joined + (portRef (member un1_psum0_signed 14)) + (portRef I0 (instanceRef Z_33_2)) + )) + (net (rename un1_psum0_signed_52 "un1_psum0_signed(52)") (joined + (portRef (member un1_psum0_signed 13)) + (portRef I1 (instanceRef Z_33_2)) + )) + (net (rename un1_psum0_signed_54 "un1_psum0_signed(54)") (joined + (portRef (member un1_psum0_signed 11)) + (portRef I2 (instanceRef Z_33_2)) + )) + (net (rename un1_psum0_signed_55 "un1_psum0_signed(55)") (joined + (portRef (member un1_psum0_signed 10)) + (portRef I3 (instanceRef Z_33_2)) + )) + (net (rename un1_psum0_signed_56 "un1_psum0_signed(56)") (joined + (portRef (member un1_psum0_signed 9)) + (portRef I4 (instanceRef Z_33_2)) + )) + (net (rename un1_psum0_signed_53 "un1_psum0_signed(53)") (joined + (portRef (member un1_psum0_signed 12)) + (portRef I5 (instanceRef Z_33_2)) + )) + (net (rename Z_33Z0Z_2 "Z_33_2") (joined + (portRef LO (instanceRef Z_33_2)) + (portRef Z_33_2) + )) + (net (rename un1_psum0_signed_46 "un1_psum0_signed(46)") (joined + (portRef (member un1_psum0_signed 19)) + (portRef I0 (instanceRef Z_32_1)) + )) + (net (rename un1_psum0_signed_47 "un1_psum0_signed(47)") (joined + (portRef (member un1_psum0_signed 18)) + (portRef I1 (instanceRef Z_32_1)) + )) + (net (rename un1_psum0_signed_45 "un1_psum0_signed(45)") (joined + (portRef (member un1_psum0_signed 20)) + (portRef I2 (instanceRef Z_32_1)) + )) + (net (rename Z_32Z0Z_1 "Z_32_1") (joined + (portRef O (instanceRef Z_32_1)) + (portRef I4 (instanceRef Z_32_0_RNIA9EM1)) + (portRef Z_32_1) + )) + (net (rename un1_psum0_signed_48 "un1_psum0_signed(48)") (joined + (portRef (member un1_psum0_signed 17)) + (portRef I0 (instanceRef Z_32_0_RNIA9EM1)) + )) + (net (rename un1_psum0_signed_63 "un1_psum0_signed(63)") (joined + (portRef (member un1_psum0_signed 2)) + (portRef I1 (instanceRef Z_32_0_RNIA9EM1)) + )) + (net (rename un1_psum0_signed_64 "un1_psum0_signed(64)") (joined + (portRef (member un1_psum0_signed 1)) + (portRef I2 (instanceRef Z_32_0_RNIA9EM1)) + )) + (net (rename un1_psum0_signed_65 "un1_psum0_signed(65)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z_32_0_RNIA9EM1)) + )) + (net (rename z_32 "Z_32") (joined + (portRef LO (instanceRef Z_32_0_RNIA9EM1)) + (portRef Z_32) + )) + ) + (property orig_inst_of (string "GTECH_AND2")) + ) + ) + (cell GTECH_AND2_247 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename feedback_data "feedback_data(28:2)") 27) (direction INPUT)) + (port (array (rename data_out_final_3 "data_out_final_3(22:0)") 23) (direction OUTPUT)) + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:21)") 50) (direction INPUT)) + (port (array (rename psum1_saved "psum1_saved(20:0)") 21) (direction INPUT)) + (port (array (rename feedback_inc_data "feedback_inc_data(35:1)") 35) (direction INPUT)) + (port B0_product_signed_0 (direction INPUT)) + (port rstn (direction INPUT)) + (port N_213 (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_6_i (direction OUTPUT)) + (port N_10_i (direction OUTPUT)) + (port N_14_i (direction OUTPUT)) + (port N_18_i (direction OUTPUT)) + (port N_22_i (direction OUTPUT)) + (port N_26_i (direction OUTPUT)) + (port N_30_i (direction OUTPUT)) + (port N_34_i (direction OUTPUT)) + (port N_38_i (direction OUTPUT)) + (port N_42_i (direction OUTPUT)) + (port N_46_i (direction OUTPUT)) + (port data_out_final_3_6_3 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction OUTPUT)) + (port feedback_to_big (direction INPUT)) + (port N_68_i (direction OUTPUT)) + (port data_out_final_3_38 (direction OUTPUT)) + ) + (contents + (instance N_6_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h080A0000080A080A")) + ) + (instance N_10_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h08000A0008080A0A")) + ) + (instance N_14_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h080A0000080A080A")) + ) + (instance N_18_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h08000A0008080A0A")) + ) + (instance N_22_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h08000A0008080A0A")) + ) + (instance N_26_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h08000A0008080A0A")) + ) + (instance N_30_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h08000A0008080A0A")) + ) + (instance N_34_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h008000A0008800AA")) + ) + (instance N_38_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h008000A0008800AA")) + ) + (instance N_42_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h008000A0008800AA")) + ) + (instance N_46_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h008000A0008800AA")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_7 "gO_6.FINAL_OUT_REGS.data_out_final_3[7]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_12 "gO_6.FINAL_OUT_REGS.data_out_final_3[12]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_13 "gO_6.FINAL_OUT_REGS.data_out_final_3[13]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_14 "gO_6.FINAL_OUT_REGS.data_out_final_3[14]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_19 "gO_6.FINAL_OUT_REGS.data_out_final_3[19]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_22 "gO_6.FINAL_OUT_REGS.data_out_final_3[22]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_28 "gO_6.FINAL_OUT_REGS.data_out_final_3[28]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_15 "gO_6.FINAL_OUT_REGS.data_out_final_3[15]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_10 "gO_6.FINAL_OUT_REGS.data_out_final_3[10]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_9 "gO_6.FINAL_OUT_REGS.data_out_final_3[9]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_8 "gO_6.FINAL_OUT_REGS.data_out_final_3[8]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_4 "gO_6.FINAL_OUT_REGS.data_out_final_3[4]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_3 "gO_6.FINAL_OUT_REGS.data_out_final_3[3]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_2 "gO_6.FINAL_OUT_REGS.data_out_final_3[2]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_0 "gO_6.FINAL_OUT_REGS.data_out_final_3[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0000AAAA28A02828")) + ) + (instance N_68_i (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance Z_i_0_o3_28 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFFFFE")) + ) + (instance Z_i_0_o3_28_3 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFEFFFFFFFFE")) + ) + (instance Z_i_0_o3_28_3_1 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFFFFE")) + ) + (instance Z_i_0_o3_28_0 (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFFFFE")) + ) + (instance Z_i_0_o3_28_2 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFFFFE")) + ) + (instance Z_i_0_o3_28_3_4 (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hFFFFFFFE")) + ) + (instance Z_i_0_o3_28_1_2 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'hE")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_35 "gO_6.FINAL_OUT_REGS.data_out_final_3[35]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hAA00AA00AA882A00")) + ) + (net rstn (joined + (portRef rstn) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_35)) + (portRef I0 (instanceRef N_68_i)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_0)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_2)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_3)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_4)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_8)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_9)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_10)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_15)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_28)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_22)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_19)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_14)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_13)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_12)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_7)) + (portRef I0 (instanceRef N_46_i)) + (portRef I0 (instanceRef N_42_i)) + (portRef I0 (instanceRef N_38_i)) + (portRef I0 (instanceRef N_34_i)) + (portRef I0 (instanceRef N_30_i)) + (portRef I0 (instanceRef N_26_i)) + (portRef I0 (instanceRef N_22_i)) + (portRef I0 (instanceRef N_18_i)) + (portRef I0 (instanceRef N_14_i)) + (portRef I0 (instanceRef N_10_i)) + (portRef I0 (instanceRef N_6_i)) + )) + (net (rename un1_psum0_signed_65 "un1_psum0_signed(65)") (joined + (portRef (member un1_psum0_signed 5)) + (portRef I1 (instanceRef N_6_i)) + )) + (net (rename n_213 "N_213") (joined + (portRef N_213) + (portRef I3 (instanceRef N_46_i)) + (portRef I3 (instanceRef N_42_i)) + (portRef I3 (instanceRef N_38_i)) + (portRef I3 (instanceRef N_34_i)) + (portRef I2 (instanceRef N_30_i)) + (portRef I2 (instanceRef N_26_i)) + (portRef I2 (instanceRef N_22_i)) + (portRef I2 (instanceRef N_18_i)) + (portRef I2 (instanceRef N_14_i)) + (portRef I2 (instanceRef N_10_i)) + (portRef I2 (instanceRef N_6_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I4 (instanceRef N_46_i)) + (portRef I4 (instanceRef N_42_i)) + (portRef I4 (instanceRef N_38_i)) + (portRef I4 (instanceRef N_34_i)) + (portRef I4 (instanceRef N_30_i)) + (portRef I4 (instanceRef N_26_i)) + (portRef I4 (instanceRef N_22_i)) + (portRef I4 (instanceRef N_18_i)) + (portRef I3 (instanceRef N_14_i)) + (portRef I4 (instanceRef N_10_i)) + (portRef I3 (instanceRef N_6_i)) + )) + (net (rename feedback_inc_data_34 "feedback_inc_data(34)") (joined + (portRef (member feedback_inc_data 1)) + (portRef I4 (instanceRef N_6_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I5 (instanceRef N_46_i)) + (portRef I5 (instanceRef N_42_i)) + (portRef I5 (instanceRef N_38_i)) + (portRef I5 (instanceRef N_34_i)) + (portRef I5 (instanceRef N_30_i)) + (portRef I5 (instanceRef N_26_i)) + (portRef I5 (instanceRef N_22_i)) + (portRef I5 (instanceRef N_18_i)) + (portRef I5 (instanceRef N_14_i)) + (portRef I5 (instanceRef N_10_i)) + (portRef I5 (instanceRef N_6_i)) + )) + (net (rename N_6_iZ0 "N_6_i") (joined + (portRef LO (instanceRef N_6_i)) + (portRef N_6_i) + )) + (net (rename un1_psum0_signed_62 "un1_psum0_signed(62)") (joined + (portRef (member un1_psum0_signed 8)) + (portRef I1 (instanceRef N_10_i)) + )) + (net (rename feedback_inc_data_31 "feedback_inc_data(31)") (joined + (portRef (member feedback_inc_data 4)) + (portRef I3 (instanceRef N_10_i)) + )) + (net (rename N_10_iZ0 "N_10_i") (joined + (portRef LO (instanceRef N_10_i)) + (portRef N_10_i) + )) + (net (rename un1_psum0_signed_60 "un1_psum0_signed(60)") (joined + (portRef (member un1_psum0_signed 10)) + (portRef I1 (instanceRef N_14_i)) + )) + (net (rename feedback_inc_data_29 "feedback_inc_data(29)") (joined + (portRef (member feedback_inc_data 6)) + (portRef I4 (instanceRef N_14_i)) + )) + (net (rename N_14_iZ0 "N_14_i") (joined + (portRef LO (instanceRef N_14_i)) + (portRef N_14_i) + )) + (net (rename un1_psum0_signed_52 "un1_psum0_signed(52)") (joined + (portRef (member un1_psum0_signed 18)) + (portRef I1 (instanceRef N_18_i)) + )) + (net (rename feedback_inc_data_21 "feedback_inc_data(21)") (joined + (portRef (member feedback_inc_data 14)) + (portRef I3 (instanceRef N_18_i)) + )) + (net (rename N_18_iZ0 "N_18_i") (joined + (portRef LO (instanceRef N_18_i)) + (portRef N_18_i) + )) + (net (rename un1_psum0_signed_51 "un1_psum0_signed(51)") (joined + (portRef (member un1_psum0_signed 19)) + (portRef I1 (instanceRef N_22_i)) + )) + (net (rename feedback_inc_data_20 "feedback_inc_data(20)") (joined + (portRef (member feedback_inc_data 15)) + (portRef I3 (instanceRef N_22_i)) + )) + (net (rename N_22_iZ0 "N_22_i") (joined + (portRef LO (instanceRef N_22_i)) + (portRef N_22_i) + )) + (net (rename un1_psum0_signed_49 "un1_psum0_signed(49)") (joined + (portRef (member un1_psum0_signed 21)) + (portRef I1 (instanceRef N_26_i)) + )) + (net (rename feedback_inc_data_18 "feedback_inc_data(18)") (joined + (portRef (member feedback_inc_data 17)) + (portRef I3 (instanceRef N_26_i)) + )) + (net (rename N_26_iZ0 "N_26_i") (joined + (portRef LO (instanceRef N_26_i)) + (portRef N_26_i) + )) + (net (rename un1_psum0_signed_48 "un1_psum0_signed(48)") (joined + (portRef (member un1_psum0_signed 22)) + (portRef I1 (instanceRef N_30_i)) + )) + (net (rename feedback_inc_data_17 "feedback_inc_data(17)") (joined + (portRef (member feedback_inc_data 18)) + (portRef I3 (instanceRef N_30_i)) + )) + (net (rename N_30_iZ0 "N_30_i") (joined + (portRef LO (instanceRef N_30_i)) + (portRef N_30_i) + )) + (net (rename un1_psum0_signed_47 "un1_psum0_signed(47)") (joined + (portRef (member un1_psum0_signed 23)) + (portRef I1 (instanceRef N_34_i)) + )) + (net (rename feedback_inc_data_16 "feedback_inc_data(16)") (joined + (portRef (member feedback_inc_data 19)) + (portRef I2 (instanceRef N_34_i)) + )) + (net (rename N_34_iZ0 "N_34_i") (joined + (portRef LO (instanceRef N_34_i)) + (portRef N_34_i) + )) + (net (rename un1_psum0_signed_37 "un1_psum0_signed(37)") (joined + (portRef (member un1_psum0_signed 33)) + (portRef I1 (instanceRef N_38_i)) + )) + (net (rename feedback_inc_data_6 "feedback_inc_data(6)") (joined + (portRef (member feedback_inc_data 29)) + (portRef I2 (instanceRef N_38_i)) + )) + (net (rename N_38_iZ0 "N_38_i") (joined + (portRef LO (instanceRef N_38_i)) + (portRef N_38_i) + )) + (net (rename un1_psum0_signed_36 "un1_psum0_signed(36)") (joined + (portRef (member un1_psum0_signed 34)) + (portRef I1 (instanceRef N_42_i)) + )) + (net (rename feedback_inc_data_5 "feedback_inc_data(5)") (joined + (portRef (member feedback_inc_data 30)) + (portRef I2 (instanceRef N_42_i)) + )) + (net (rename N_42_iZ0 "N_42_i") (joined + (portRef LO (instanceRef N_42_i)) + (portRef N_42_i) + )) + (net (rename un1_psum0_signed_32 "un1_psum0_signed(32)") (joined + (portRef (member un1_psum0_signed 38)) + (portRef I1 (instanceRef N_46_i)) + )) + (net (rename feedback_inc_data_1 "feedback_inc_data(1)") (joined + (portRef (member feedback_inc_data 34)) + (portRef I2 (instanceRef N_46_i)) + )) + (net (rename N_46_iZ0 "N_46_i") (joined + (portRef LO (instanceRef N_46_i)) + (portRef N_46_i) + )) + (net (rename feedback_data_7 "feedback_data(7)") (joined + (portRef (member feedback_data 21)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_7)) + )) + (net (rename data_out_final_3_7 "data_out_final_3(7)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_7)) + (portRef (member data_out_final_3 15)) + )) + (net (rename feedback_data_12 "feedback_data(12)") (joined + (portRef (member feedback_data 16)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_12)) + )) + (net (rename data_out_final_3_12 "data_out_final_3(12)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_12)) + (portRef (member data_out_final_3 10)) + )) + (net (rename feedback_data_13 "feedback_data(13)") (joined + (portRef (member feedback_data 15)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_13)) + )) + (net (rename data_out_final_3_13 "data_out_final_3(13)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_13)) + (portRef (member data_out_final_3 9)) + )) + (net (rename feedback_data_14 "feedback_data(14)") (joined + (portRef (member feedback_data 14)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_14)) + )) + (net (rename data_out_final_3_14 "data_out_final_3(14)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_14)) + (portRef (member data_out_final_3 8)) + )) + (net (rename feedback_data_19 "feedback_data(19)") (joined + (portRef (member feedback_data 9)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_19)) + )) + (net (rename data_out_final_3_19 "data_out_final_3(19)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_19)) + (portRef (member data_out_final_3 3)) + )) + (net (rename feedback_data_22 "feedback_data(22)") (joined + (portRef (member feedback_data 6)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_22)) + )) + (net (rename data_out_final_3_22 "data_out_final_3(22)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_22)) + (portRef (member data_out_final_3 0)) + )) + (net (rename feedback_data_28 "feedback_data(28)") (joined + (portRef (member feedback_data 0)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_28)) + )) + (net data_out_final_3_6_3 (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_28)) + (portRef data_out_final_3_6_3) + )) + (net (rename feedback_data_15 "feedback_data(15)") (joined + (portRef (member feedback_data 13)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_15)) + )) + (net (rename data_out_final_3_15 "data_out_final_3(15)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_15)) + (portRef (member data_out_final_3 7)) + )) + (net (rename feedback_data_10 "feedback_data(10)") (joined + (portRef (member feedback_data 18)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_10)) + )) + (net (rename data_out_final_3_10 "data_out_final_3(10)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_10)) + (portRef (member data_out_final_3 12)) + )) + (net (rename feedback_data_9 "feedback_data(9)") (joined + (portRef (member feedback_data 19)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_9)) + )) + (net (rename data_out_final_3_9 "data_out_final_3(9)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_9)) + (portRef (member data_out_final_3 13)) + )) + (net (rename feedback_data_8 "feedback_data(8)") (joined + (portRef (member feedback_data 20)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_8)) + )) + (net (rename data_out_final_3_8 "data_out_final_3(8)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_8)) + (portRef (member data_out_final_3 14)) + )) + (net (rename feedback_data_4 "feedback_data(4)") (joined + (portRef (member feedback_data 24)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_4)) + )) + (net (rename data_out_final_3_4 "data_out_final_3(4)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_4)) + (portRef (member data_out_final_3 18)) + )) + (net (rename feedback_data_3 "feedback_data(3)") (joined + (portRef (member feedback_data 25)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_3)) + )) + (net (rename data_out_final_3_3 "data_out_final_3(3)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_3)) + (portRef (member data_out_final_3 19)) + )) + (net (rename feedback_data_2 "feedback_data(2)") (joined + (portRef (member feedback_data 26)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_2)) + )) + (net (rename data_out_final_3_2 "data_out_final_3(2)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_2)) + (portRef (member data_out_final_3 20)) + )) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_35)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_0)) + )) + (net (rename un1_psum0_signed_31 "un1_psum0_signed(31)") (joined + (portRef (member un1_psum0_signed 39)) + (portRef I2 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_0)) + )) + (net (rename Z_i_0_o3Z0Z_28 "Z_i_0_o3_28") (joined + (portRef O (instanceRef Z_i_0_o3_28)) + (portRef I2 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_35)) + (portRef I3 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_0)) + (portRef Z_i_0_o3_28) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_35)) + (portRef I4 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_0)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_35)) + (portRef I1 (instanceRef N_68_i)) + (portRef I5 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_0)) + )) + (net (rename data_out_final_3_0 "data_out_final_3(0)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_0)) + (portRef (member data_out_final_3 22)) + )) + (net (rename N_68_iZ0 "N_68_i") (joined + (portRef LO (instanceRef N_68_i)) + (portRef N_68_i) + )) + (net (rename un1_psum0_signed_21 "un1_psum0_signed(21)") (joined + (portRef (member un1_psum0_signed 49)) + (portRef I0 (instanceRef Z_i_0_o3_28)) + )) + (net (rename Z_i_0_o3_28Z0Z_3 "Z_i_0_o3_28_3") (joined + (portRef O (instanceRef Z_i_0_o3_28_3)) + (portRef I1 (instanceRef Z_i_0_o3_28)) + )) + (net (rename un1_psum0_signed_22 "un1_psum0_signed(22)") (joined + (portRef (member un1_psum0_signed 48)) + (portRef I2 (instanceRef Z_i_0_o3_28)) + )) + (net (rename un1_psum0_signed_23 "un1_psum0_signed(23)") (joined + (portRef (member un1_psum0_signed 47)) + (portRef I3 (instanceRef Z_i_0_o3_28)) + )) + (net (rename un1_psum0_signed_24 "un1_psum0_signed(24)") (joined + (portRef (member un1_psum0_signed 46)) + (portRef I4 (instanceRef Z_i_0_o3_28)) + )) + (net (rename Z_i_0_o3_28_3Z0Z_4 "Z_i_0_o3_28_3_4") (joined + (portRef LO (instanceRef Z_i_0_o3_28_3_4)) + (portRef I5 (instanceRef Z_i_0_o3_28)) + )) + (net (rename psum1_saved_5 "psum1_saved(5)") (joined + (portRef (member psum1_saved 15)) + (portRef I0 (instanceRef Z_i_0_o3_28_3)) + )) + (net (rename psum1_saved_6 "psum1_saved(6)") (joined + (portRef (member psum1_saved 14)) + (portRef I1 (instanceRef Z_i_0_o3_28_3)) + )) + (net (rename psum1_saved_20 "psum1_saved(20)") (joined + (portRef (member psum1_saved 0)) + (portRef I2 (instanceRef Z_i_0_o3_28_3)) + )) + (net (rename Z_i_0_o3_28Z0Z_2 "Z_i_0_o3_28_2") (joined + (portRef O (instanceRef Z_i_0_o3_28_2)) + (portRef I3 (instanceRef Z_i_0_o3_28_3)) + )) + (net (rename Z_i_0_o3_28_3Z0Z_1 "Z_i_0_o3_28_3_1") (joined + (portRef O (instanceRef Z_i_0_o3_28_3_1)) + (portRef I4 (instanceRef Z_i_0_o3_28_3)) + )) + (net (rename b0_product_signed_0 "B0_product_signed_0") (joined + (portRef B0_product_signed_0) + (portRef I5 (instanceRef Z_i_0_o3_28_3)) + )) + (net (rename psum1_saved_16 "psum1_saved(16)") (joined + (portRef (member psum1_saved 4)) + (portRef I0 (instanceRef Z_i_0_o3_28_3_1)) + )) + (net (rename psum1_saved_17 "psum1_saved(17)") (joined + (portRef (member psum1_saved 3)) + (portRef I1 (instanceRef Z_i_0_o3_28_3_1)) + )) + (net (rename psum1_saved_14 "psum1_saved(14)") (joined + (portRef (member psum1_saved 6)) + (portRef I2 (instanceRef Z_i_0_o3_28_3_1)) + )) + (net (rename psum1_saved_15 "psum1_saved(15)") (joined + (portRef (member psum1_saved 5)) + (portRef I3 (instanceRef Z_i_0_o3_28_3_1)) + )) + (net (rename Z_i_0_o3_28_1Z0Z_2 "Z_i_0_o3_28_1_2") (joined + (portRef O (instanceRef Z_i_0_o3_28_1_2)) + (portRef I4 (instanceRef Z_i_0_o3_28_3_1)) + )) + (net (rename Z_i_0_o3_28Z0Z_0 "Z_i_0_o3_28_0") (joined + (portRef LO (instanceRef Z_i_0_o3_28_0)) + (portRef I5 (instanceRef Z_i_0_o3_28_3_1)) + )) + (net (rename psum1_saved_0 "psum1_saved(0)") (joined + (portRef (member psum1_saved 20)) + (portRef I0 (instanceRef Z_i_0_o3_28_0)) + )) + (net (rename psum1_saved_7 "psum1_saved(7)") (joined + (portRef (member psum1_saved 13)) + (portRef I1 (instanceRef Z_i_0_o3_28_0)) + )) + (net (rename psum1_saved_8 "psum1_saved(8)") (joined + (portRef (member psum1_saved 12)) + (portRef I2 (instanceRef Z_i_0_o3_28_0)) + )) + (net (rename psum1_saved_9 "psum1_saved(9)") (joined + (portRef (member psum1_saved 11)) + (portRef I3 (instanceRef Z_i_0_o3_28_0)) + )) + (net (rename psum1_saved_10 "psum1_saved(10)") (joined + (portRef (member psum1_saved 10)) + (portRef I4 (instanceRef Z_i_0_o3_28_0)) + )) + (net (rename psum1_saved_11 "psum1_saved(11)") (joined + (portRef (member psum1_saved 9)) + (portRef I5 (instanceRef Z_i_0_o3_28_0)) + )) + (net (rename psum1_saved_18 "psum1_saved(18)") (joined + (portRef (member psum1_saved 2)) + (portRef I0 (instanceRef Z_i_0_o3_28_2)) + )) + (net (rename psum1_saved_19 "psum1_saved(19)") (joined + (portRef (member psum1_saved 1)) + (portRef I1 (instanceRef Z_i_0_o3_28_2)) + )) + (net (rename psum1_saved_1 "psum1_saved(1)") (joined + (portRef (member psum1_saved 19)) + (portRef I2 (instanceRef Z_i_0_o3_28_2)) + )) + (net (rename psum1_saved_2 "psum1_saved(2)") (joined + (portRef (member psum1_saved 18)) + (portRef I3 (instanceRef Z_i_0_o3_28_2)) + )) + (net (rename psum1_saved_3 "psum1_saved(3)") (joined + (portRef (member psum1_saved 17)) + (portRef I4 (instanceRef Z_i_0_o3_28_2)) + )) + (net (rename psum1_saved_4 "psum1_saved(4)") (joined + (portRef (member psum1_saved 16)) + (portRef I5 (instanceRef Z_i_0_o3_28_2)) + )) + (net (rename un1_psum0_signed_26 "un1_psum0_signed(26)") (joined + (portRef (member un1_psum0_signed 44)) + (portRef I0 (instanceRef Z_i_0_o3_28_3_4)) + )) + (net (rename un1_psum0_signed_27 "un1_psum0_signed(27)") (joined + (portRef (member un1_psum0_signed 43)) + (portRef I1 (instanceRef Z_i_0_o3_28_3_4)) + )) + (net (rename un1_psum0_signed_25 "un1_psum0_signed(25)") (joined + (portRef (member un1_psum0_signed 45)) + (portRef I2 (instanceRef Z_i_0_o3_28_3_4)) + )) + (net (rename un1_psum0_signed_28 "un1_psum0_signed(28)") (joined + (portRef (member un1_psum0_signed 42)) + (portRef I3 (instanceRef Z_i_0_o3_28_3_4)) + )) + (net (rename un1_psum0_signed_29 "un1_psum0_signed(29)") (joined + (portRef (member un1_psum0_signed 41)) + (portRef I4 (instanceRef Z_i_0_o3_28_3_4)) + )) + (net (rename psum1_saved_12 "psum1_saved(12)") (joined + (portRef (member psum1_saved 8)) + (portRef I0 (instanceRef Z_i_0_o3_28_1_2)) + )) + (net (rename psum1_saved_13 "psum1_saved(13)") (joined + (portRef (member psum1_saved 7)) + (portRef I1 (instanceRef Z_i_0_o3_28_1_2)) + )) + (net (rename feedback_inc_data_35 "feedback_inc_data(35)") (joined + (portRef (member feedback_inc_data 0)) + (portRef I4 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_35)) + )) + (net data_out_final_3_38 (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_35)) + (portRef data_out_final_3_38) + )) + ) + (property orig_inst_of (string "GTECH_AND2")) + ) + ) + (cell GTECH_OR2_138 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_to_big (direction OUTPUT)) + (port Z_32_1 (direction INPUT)) + (port Z_32_0 (direction INPUT)) + (port g0_11_1 (direction INPUT)) + (port Z_33_2 (direction INPUT)) + ) + (contents + (instance g5 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h7FFF")) + ) + (instance g1_2 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hFFFE")) + ) + (instance g0_0 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFEA5540FFAA5500")) + ) + (instance g2_9 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h8000000000000000")) + ) + (instance g2_4 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h8000")) + ) + (instance g2_10 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h8000000000000000")) + ) + (instance g2_14 (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h8000000000000000")) + ) + (net (rename un1_psum0_signed_66 "un1_psum0_signed(66)") (joined + (portRef (member un1_psum0_signed 4)) + (portRef I0 (instanceRef g1_2)) + (portRef I0 (instanceRef g5)) + )) + (net (rename un1_psum0_signed_67 "un1_psum0_signed(67)") (joined + (portRef (member un1_psum0_signed 3)) + (portRef I1 (instanceRef g1_2)) + (portRef I1 (instanceRef g5)) + )) + (net (rename un1_psum0_signed_68 "un1_psum0_signed(68)") (joined + (portRef (member un1_psum0_signed 2)) + (portRef I2 (instanceRef g1_2)) + (portRef I2 (instanceRef g5)) + )) + (net (rename un1_psum0_signed_69 "un1_psum0_signed(69)") (joined + (portRef (member un1_psum0_signed 1)) + (portRef I3 (instanceRef g1_2)) + (portRef I3 (instanceRef g5)) + )) + (net (rename gZ0Z5 "g5") (joined + (portRef O (instanceRef g5)) + (portRef I4 (instanceRef g0_0)) + )) + (net (rename g1Z0Z_2 "g1_2") (joined + (portRef O (instanceRef g1_2)) + (portRef I3 (instanceRef g0_0)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I0 (instanceRef g0_0)) + )) + (net (rename g2Z0Z_9 "g2_9") (joined + (portRef O (instanceRef g2_9)) + (portRef I1 (instanceRef g0_0)) + )) + (net (rename g2Z0Z_10 "g2_10") (joined + (portRef O (instanceRef g2_10)) + (portRef I2 (instanceRef g0_0)) + )) + (net (rename g2Z0Z_14 "g2_14") (joined + (portRef LO (instanceRef g2_14)) + (portRef I5 (instanceRef g0_0)) + )) + (net feedback_to_big (joined + (portRef O (instanceRef g0_0)) + (portRef feedback_to_big) + )) + (net (rename un1_psum0_signed_32 "un1_psum0_signed(32)") (joined + (portRef (member un1_psum0_signed 38)) + (portRef I0 (instanceRef g2_9)) + )) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I1 (instanceRef g2_9)) + )) + (net (rename un1_psum0_signed_33 "un1_psum0_signed(33)") (joined + (portRef (member un1_psum0_signed 37)) + (portRef I2 (instanceRef g2_9)) + )) + (net (rename g2Z0Z_4 "g2_4") (joined + (portRef O (instanceRef g2_4)) + (portRef I3 (instanceRef g2_9)) + )) + (net (rename un1_psum0_signed_48 "un1_psum0_signed(48)") (joined + (portRef (member un1_psum0_signed 22)) + (portRef I4 (instanceRef g2_9)) + )) + (net (rename z_32_1 "Z_32_1") (joined + (portRef Z_32_1) + (portRef I5 (instanceRef g2_9)) + )) + (net (rename un1_psum0_signed_34 "un1_psum0_signed(34)") (joined + (portRef (member un1_psum0_signed 36)) + (portRef I0 (instanceRef g2_4)) + )) + (net (rename un1_psum0_signed_35 "un1_psum0_signed(35)") (joined + (portRef (member un1_psum0_signed 35)) + (portRef I1 (instanceRef g2_4)) + )) + (net (rename un1_psum0_signed_31 "un1_psum0_signed(31)") (joined + (portRef (member un1_psum0_signed 39)) + (portRef I2 (instanceRef g2_4)) + )) + (net (rename un1_psum0_signed_36 "un1_psum0_signed(36)") (joined + (portRef (member un1_psum0_signed 34)) + (portRef I3 (instanceRef g2_4)) + )) + (net (rename un1_psum0_signed_50 "un1_psum0_signed(50)") (joined + (portRef (member un1_psum0_signed 20)) + (portRef I0 (instanceRef g2_10)) + )) + (net (rename un1_psum0_signed_49 "un1_psum0_signed(49)") (joined + (portRef (member un1_psum0_signed 21)) + (portRef I1 (instanceRef g2_10)) + )) + (net (rename un1_psum0_signed_59 "un1_psum0_signed(59)") (joined + (portRef (member un1_psum0_signed 11)) + (portRef I2 (instanceRef g2_10)) + )) + (net (rename un1_psum0_signed_57 "un1_psum0_signed(57)") (joined + (portRef (member un1_psum0_signed 13)) + (portRef I3 (instanceRef g2_10)) + )) + (net (rename un1_psum0_signed_63 "un1_psum0_signed(63)") (joined + (portRef (member un1_psum0_signed 7)) + (portRef I4 (instanceRef g2_10)) + )) + (net (rename un1_psum0_signed_64 "un1_psum0_signed(64)") (joined + (portRef (member un1_psum0_signed 6)) + (portRef I5 (instanceRef g2_10)) + )) + (net (rename un1_psum0_signed_37 "un1_psum0_signed(37)") (joined + (portRef (member un1_psum0_signed 33)) + (portRef I0 (instanceRef g2_14)) + )) + (net (rename un1_psum0_signed_38 "un1_psum0_signed(38)") (joined + (portRef (member un1_psum0_signed 32)) + (portRef I1 (instanceRef g2_14)) + )) + (net (rename un1_psum0_signed_65 "un1_psum0_signed(65)") (joined + (portRef (member un1_psum0_signed 5)) + (portRef I2 (instanceRef g2_14)) + )) + (net (rename z_32_0 "Z_32_0") (joined + (portRef Z_32_0) + (portRef I3 (instanceRef g2_14)) + )) + (net g0_11_1 (joined + (portRef g0_11_1) + (portRef I4 (instanceRef g2_14)) + )) + (net (rename z_33_2 "Z_33_2") (joined + (portRef Z_33_2) + (portRef I5 (instanceRef g2_14)) + )) + ) + (property orig_inst_of (string "GTECH_OR2")) + ) + ) + (cell GTECH_AND2_226 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:66)") 5) (direction INPUT)) + (port feedback_to_big_neg (direction OUTPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h7F00FF00")) + ) + (net (rename un1_psum0_signed_66 "un1_psum0_signed(66)") (joined + (portRef (member un1_psum0_signed 4)) + (portRef I0 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_67 "un1_psum0_signed(67)") (joined + (portRef (member un1_psum0_signed 3)) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_68 "un1_psum0_signed(68)") (joined + (portRef (member un1_psum0_signed 2)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_69 "un1_psum0_signed(69)") (joined + (portRef (member un1_psum0_signed 1)) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big_neg (joined + (portRef O (instanceRef Z)) + (portRef feedback_to_big_neg) + )) + ) + (property orig_inst_of (string "GTECH_AND2")) + ) + ) + (cell GTECH_MUX2_286 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(64:42)") 23) (direction INPUT)) + (port (array (rename feedback_inc_data "feedback_inc_data(33:11)") 23) (direction INPUT)) + (port rstn (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_153_i (direction OUTPUT)) + (port N_77_i (direction OUTPUT)) + (port N_75_i (direction OUTPUT)) + (port N_65_i (direction OUTPUT)) + (port N_61_i (direction OUTPUT)) + (port N_57_i (direction OUTPUT)) + (port N_53_i (direction OUTPUT)) + (port N_49_i (direction OUTPUT)) + (port N_45_i (direction OUTPUT)) + (port N_41_i (direction OUTPUT)) + ) + (contents + (instance N_153_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h080A0000080A080A")) + ) + (instance N_77_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h080A0000080A080A")) + ) + (instance N_75_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h080A0000080A080A")) + ) + (instance N_65_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h080A0000080A080A")) + ) + (instance N_61_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h080A0000080A080A")) + ) + (instance N_57_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h080A0000080A080A")) + ) + (instance N_53_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h08000A0008080A0A")) + ) + (instance N_49_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h08000A0008080A0A")) + ) + (instance N_45_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h008000A0008800AA")) + ) + (instance N_41_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h080C0A0F")) + ) + (net rstn (joined + (portRef rstn) + (portRef I0 (instanceRef N_45_i)) + (portRef I0 (instanceRef N_49_i)) + (portRef I0 (instanceRef N_53_i)) + (portRef I0 (instanceRef N_57_i)) + (portRef I0 (instanceRef N_61_i)) + (portRef I0 (instanceRef N_65_i)) + (portRef I0 (instanceRef N_75_i)) + (portRef I0 (instanceRef N_77_i)) + (portRef I0 (instanceRef N_153_i)) + )) + (net (rename un1_psum0_signed_64 "un1_psum0_signed(64)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I1 (instanceRef N_153_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I2 (instanceRef N_41_i)) + (portRef I3 (instanceRef N_45_i)) + (portRef I2 (instanceRef N_49_i)) + (portRef I2 (instanceRef N_53_i)) + (portRef I2 (instanceRef N_57_i)) + (portRef I2 (instanceRef N_61_i)) + (portRef I2 (instanceRef N_65_i)) + (portRef I2 (instanceRef N_75_i)) + (portRef I2 (instanceRef N_77_i)) + (portRef I2 (instanceRef N_153_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I3 (instanceRef N_41_i)) + (portRef I4 (instanceRef N_45_i)) + (portRef I4 (instanceRef N_49_i)) + (portRef I4 (instanceRef N_53_i)) + (portRef I3 (instanceRef N_57_i)) + (portRef I3 (instanceRef N_61_i)) + (portRef I3 (instanceRef N_65_i)) + (portRef I3 (instanceRef N_75_i)) + (portRef I3 (instanceRef N_77_i)) + (portRef I3 (instanceRef N_153_i)) + )) + (net (rename feedback_inc_data_33 "feedback_inc_data(33)") (joined + (portRef (member feedback_inc_data 0)) + (portRef I4 (instanceRef N_153_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_41_i)) + (portRef I5 (instanceRef N_45_i)) + (portRef I5 (instanceRef N_49_i)) + (portRef I5 (instanceRef N_53_i)) + (portRef I5 (instanceRef N_57_i)) + (portRef I5 (instanceRef N_61_i)) + (portRef I5 (instanceRef N_65_i)) + (portRef I5 (instanceRef N_75_i)) + (portRef I5 (instanceRef N_77_i)) + (portRef I5 (instanceRef N_153_i)) + )) + (net (rename N_153_iZ0 "N_153_i") (joined + (portRef LO (instanceRef N_153_i)) + (portRef N_153_i) + )) + (net (rename un1_psum0_signed_63 "un1_psum0_signed(63)") (joined + (portRef (member un1_psum0_signed 1)) + (portRef I1 (instanceRef N_77_i)) + )) + (net (rename feedback_inc_data_32 "feedback_inc_data(32)") (joined + (portRef (member feedback_inc_data 1)) + (portRef I4 (instanceRef N_77_i)) + )) + (net (rename N_77_iZ0 "N_77_i") (joined + (portRef LO (instanceRef N_77_i)) + (portRef N_77_i) + )) + (net (rename un1_psum0_signed_61 "un1_psum0_signed(61)") (joined + (portRef (member un1_psum0_signed 3)) + (portRef I1 (instanceRef N_75_i)) + )) + (net (rename feedback_inc_data_30 "feedback_inc_data(30)") (joined + (portRef (member feedback_inc_data 3)) + (portRef I4 (instanceRef N_75_i)) + )) + (net (rename N_75_iZ0 "N_75_i") (joined + (portRef LO (instanceRef N_75_i)) + (portRef N_75_i) + )) + (net (rename un1_psum0_signed_58 "un1_psum0_signed(58)") (joined + (portRef (member un1_psum0_signed 6)) + (portRef I1 (instanceRef N_65_i)) + )) + (net (rename feedback_inc_data_27 "feedback_inc_data(27)") (joined + (portRef (member feedback_inc_data 6)) + (portRef I4 (instanceRef N_65_i)) + )) + (net (rename N_65_iZ0 "N_65_i") (joined + (portRef LO (instanceRef N_65_i)) + (portRef N_65_i) + )) + (net (rename un1_psum0_signed_57 "un1_psum0_signed(57)") (joined + (portRef (member un1_psum0_signed 7)) + (portRef I1 (instanceRef N_61_i)) + )) + (net (rename feedback_inc_data_26 "feedback_inc_data(26)") (joined + (portRef (member feedback_inc_data 7)) + (portRef I4 (instanceRef N_61_i)) + )) + (net (rename N_61_iZ0 "N_61_i") (joined + (portRef LO (instanceRef N_61_i)) + (portRef N_61_i) + )) + (net (rename un1_psum0_signed_56 "un1_psum0_signed(56)") (joined + (portRef (member un1_psum0_signed 8)) + (portRef I1 (instanceRef N_57_i)) + )) + (net (rename feedback_inc_data_25 "feedback_inc_data(25)") (joined + (portRef (member feedback_inc_data 8)) + (portRef I4 (instanceRef N_57_i)) + )) + (net (rename N_57_iZ0 "N_57_i") (joined + (portRef LO (instanceRef N_57_i)) + (portRef N_57_i) + )) + (net (rename un1_psum0_signed_55 "un1_psum0_signed(55)") (joined + (portRef (member un1_psum0_signed 9)) + (portRef I1 (instanceRef N_53_i)) + )) + (net (rename feedback_inc_data_24 "feedback_inc_data(24)") (joined + (portRef (member feedback_inc_data 9)) + (portRef I3 (instanceRef N_53_i)) + )) + (net (rename N_53_iZ0 "N_53_i") (joined + (portRef LO (instanceRef N_53_i)) + (portRef N_53_i) + )) + (net (rename un1_psum0_signed_54 "un1_psum0_signed(54)") (joined + (portRef (member un1_psum0_signed 10)) + (portRef I1 (instanceRef N_49_i)) + )) + (net (rename feedback_inc_data_23 "feedback_inc_data(23)") (joined + (portRef (member feedback_inc_data 10)) + (portRef I3 (instanceRef N_49_i)) + )) + (net (rename N_49_iZ0 "N_49_i") (joined + (portRef LO (instanceRef N_49_i)) + (portRef N_49_i) + )) + (net (rename un1_psum0_signed_42 "un1_psum0_signed(42)") (joined + (portRef (member un1_psum0_signed 22)) + (portRef I0 (instanceRef N_41_i)) + (portRef I1 (instanceRef N_45_i)) + )) + (net (rename feedback_inc_data_11 "feedback_inc_data(11)") (joined + (portRef (member feedback_inc_data 22)) + (portRef I1 (instanceRef N_41_i)) + (portRef I2 (instanceRef N_45_i)) + )) + (net (rename N_45_iZ0 "N_45_i") (joined + (portRef LO (instanceRef N_45_i)) + (portRef N_45_i) + )) + (net (rename N_41_iZ0 "N_41_i") (joined + (portRef O (instanceRef N_41_i)) + (portRef N_41_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_285 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_28_i (direction OUTPUT)) + ) + (contents + (instance N_28_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h20302233")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_28_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_28_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I2 (instanceRef N_28_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I3 (instanceRef N_28_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_28_i)) + )) + (net (rename N_28_iZ0 "N_28_i") (joined + (portRef O (instanceRef N_28_i)) + (portRef N_28_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_284 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_33_i (direction OUTPUT)) + ) + (contents + (instance N_33_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_33_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_33_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I2 (instanceRef N_33_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef N_33_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_33_i)) + )) + (net (rename N_33_iZ0 "N_33_i") (joined + (portRef O (instanceRef N_33_i)) + (portRef N_33_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_283 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_12_i (direction OUTPUT)) + ) + (contents + (instance N_12_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_12_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_12_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I2 (instanceRef N_12_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef N_12_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_12_i)) + )) + (net (rename N_12_iZ0 "N_12_i") (joined + (portRef O (instanceRef N_12_i)) + (portRef N_12_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_282 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_44_i (direction OUTPUT)) + ) + (contents + (instance N_44_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h080C0A0F")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_44_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I1 (instanceRef N_44_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I2 (instanceRef N_44_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I3 (instanceRef N_44_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_44_i)) + )) + (net (rename N_44_iZ0 "N_44_i") (joined + (portRef O (instanceRef N_44_i)) + (portRef N_44_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_281 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_40_i (direction OUTPUT)) + ) + (contents + (instance N_40_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h080C0A0F")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_40_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I1 (instanceRef N_40_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I2 (instanceRef N_40_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I3 (instanceRef N_40_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_40_i)) + )) + (net (rename N_40_iZ0 "N_40_i") (joined + (portRef O (instanceRef N_40_i)) + (portRef N_40_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_280 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h00FF6C66")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_31 "un1_psum0_signed(31)") (joined + (portRef (member un1_psum0_signed 39)) + (portRef I1 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I4 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_279 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000FFFFF870FA50")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_38 "un1_psum0_signed(38)") (joined + (portRef (member un1_psum0_signed 32)) + (portRef I2 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_278 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_48_i (direction OUTPUT)) + ) + (contents + (instance N_48_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h080C0A0F")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_48_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I1 (instanceRef N_48_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I2 (instanceRef N_48_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I3 (instanceRef N_48_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_48_i)) + )) + (net (rename N_48_iZ0 "N_48_i") (joined + (portRef O (instanceRef N_48_i)) + (portRef N_48_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_277 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000FFFFF870FA50")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_39 "un1_psum0_signed(39)") (joined + (portRef (member un1_psum0_signed 31)) + (portRef I2 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_276 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000FFFFE4CCE4E4")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_33 "un1_psum0_signed(33)") (joined + (portRef (member un1_psum0_signed 37)) + (portRef I1 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I2 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I3 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_275 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000FFFFE2AAE2E2")) + ) + (net (rename un1_psum0_signed_34 "un1_psum0_signed(34)") (joined + (portRef (member un1_psum0_signed 36)) + (portRef I0 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I1 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I2 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I3 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_274 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000FFFFE4CCE4E4")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_35 "un1_psum0_signed(35)") (joined + (portRef (member un1_psum0_signed 35)) + (portRef I1 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I2 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I3 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_273 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF00FFF8FA7050")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_41 "un1_psum0_signed(41)") (joined + (portRef (member un1_psum0_signed 29)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_272 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_32_i (direction OUTPUT)) + ) + (contents + (instance N_32_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h20302233")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_32_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_32_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I2 (instanceRef N_32_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I3 (instanceRef N_32_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_32_i)) + )) + (net (rename N_32_iZ0 "N_32_i") (joined + (portRef O (instanceRef N_32_i)) + (portRef N_32_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_271 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF00FFF8FA7050")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_53 "un1_psum0_signed(53)") (joined + (portRef (member un1_psum0_signed 17)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_270 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_16_i (direction OUTPUT)) + ) + (contents + (instance N_16_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_16_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_16_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I2 (instanceRef N_16_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef N_16_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_16_i)) + )) + (net (rename N_16_iZ0 "N_16_i") (joined + (portRef O (instanceRef N_16_i)) + (portRef N_16_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_269 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF00FFF8FA7050")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_43 "un1_psum0_signed(43)") (joined + (portRef (member un1_psum0_signed 27)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_268 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF00FFF8FA7050")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_50 "un1_psum0_signed(50)") (joined + (portRef (member un1_psum0_signed 20)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_267 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF00FFF8FA7050")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_44 "un1_psum0_signed(44)") (joined + (portRef (member un1_psum0_signed 26)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_266 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_24_i (direction OUTPUT)) + ) + (contents + (instance N_24_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h20302233")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_24_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_24_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I2 (instanceRef N_24_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I3 (instanceRef N_24_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_24_i)) + )) + (net (rename N_24_iZ0 "N_24_i") (joined + (portRef O (instanceRef N_24_i)) + (portRef N_24_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_265 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF00FFF8FA7050")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_45 "un1_psum0_signed(45)") (joined + (portRef (member un1_psum0_signed 25)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_264 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_20_i (direction OUTPUT)) + ) + (contents + (instance N_20_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_20_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_20_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I2 (instanceRef N_20_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef N_20_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_20_i)) + )) + (net (rename N_20_iZ0 "N_20_i") (joined + (portRef O (instanceRef N_20_i)) + (portRef N_20_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_263 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF00FFF8FA7050")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_46 "un1_psum0_signed(46)") (joined + (portRef (member un1_psum0_signed 24)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_262 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF00FFF8FA7050")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_40 "un1_psum0_signed(40)") (joined + (portRef (member un1_psum0_signed 30)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_261 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_36_i (direction OUTPUT)) + ) + (contents + (instance N_36_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h080C0A0F")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_36_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I1 (instanceRef N_36_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I2 (instanceRef N_36_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I3 (instanceRef N_36_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_36_i)) + )) + (net (rename N_36_iZ0 "N_36_i") (joined + (portRef O (instanceRef N_36_i)) + (portRef N_36_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_260 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF00FFF8FA7050")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_59 "un1_psum0_signed(59)") (joined + (portRef (member un1_psum0_signed 11)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_259 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hF0F0FA70")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I2 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I4 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_258 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_21_i (direction OUTPUT)) + ) + (contents + (instance N_21_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_21_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_21_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I2 (instanceRef N_21_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef N_21_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_21_i)) + )) + (net (rename N_21_iZ0 "N_21_i") (joined + (portRef O (instanceRef N_21_i)) + (portRef N_21_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_257 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction OUTPUT)) + (port N_218 (direction OUTPUT)) + (port N_8_i (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port Z_32 (direction INPUT)) + ) + (contents + (instance Z_i_0_o3_1_RNIJ3ABA (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (instance Z_i_0_o3_0_a0_1 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000000000001")) + ) + (instance Z_i_0_o3_0_a2 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h4000000000000000")) + ) + (instance Z_m2_0_a2_0 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h00000001")) + ) + (instance Z_i_0_o3_1 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hFFF4")) + ) + (instance g0_i_a4_0_4 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h8000000000000000")) + ) + (instance g0_i_a4_0_12 (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'h8000")) + ) + (instance Z_m2_0_a2_0_RNI3RBB9 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h8AAA8A00AAAAAA00")) + ) + (instance g0_0_N_7L15 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h8000000000000000")) + ) + (instance g0_0_N_6L11 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h7FFFFFFF")) + ) + (instance g0_i_a4_0_13 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000080000000")) + ) + (instance g0_i_a4_0_13_N_4L5 (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'h7FFF")) + ) + (net (rename un1_psum0_signed_65 "un1_psum0_signed(65)") (joined + (portRef (member un1_psum0_signed 5)) + (portRef I0 (instanceRef Z_i_0_o3_1_RNIJ3ABA)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef Z_i_0_o3_1_RNIJ3ABA)) + )) + (net (rename n_186 "N_186") (joined + (portRef O (instanceRef Z_i_0_o3_1)) + (portRef I2 (instanceRef Z_i_0_o3_1_RNIJ3ABA)) + (portRef N_186) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef Z_i_0_o3_1_RNIJ3ABA)) + )) + (net (rename n_218 "N_218") (joined + (portRef O (instanceRef Z_m2_0_a2_0_RNI3RBB9)) + (portRef I4 (instanceRef Z_i_0_o3_1_RNIJ3ABA)) + (portRef N_218) + )) + (net (rename n_8_i "N_8_i") (joined + (portRef O (instanceRef Z_i_0_o3_1_RNIJ3ABA)) + (portRef N_8_i) + )) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z_m2_0_a2_0_RNI3RBB9)) + (portRef I0 (instanceRef Z_i_0_o3_0_a2)) + (portRef I0 (instanceRef Z_i_0_o3_0_a0_1)) + )) + (net (rename un1_psum0_signed_66 "un1_psum0_signed(66)") (joined + (portRef (member un1_psum0_signed 4)) + (portRef I1 (instanceRef g0_0_N_7L15)) + (portRef I0 (instanceRef Z_m2_0_a2_0)) + (portRef I1 (instanceRef Z_i_0_o3_0_a2)) + (portRef I1 (instanceRef Z_i_0_o3_0_a0_1)) + )) + (net (rename un1_psum0_signed_67 "un1_psum0_signed(67)") (joined + (portRef (member un1_psum0_signed 3)) + (portRef I2 (instanceRef g0_0_N_7L15)) + (portRef I1 (instanceRef Z_m2_0_a2_0)) + (portRef I2 (instanceRef Z_i_0_o3_0_a2)) + (portRef I2 (instanceRef Z_i_0_o3_0_a0_1)) + )) + (net (rename un1_psum0_signed_68 "un1_psum0_signed(68)") (joined + (portRef (member un1_psum0_signed 2)) + (portRef I3 (instanceRef g0_0_N_7L15)) + (portRef I2 (instanceRef Z_m2_0_a2_0)) + (portRef I3 (instanceRef Z_i_0_o3_0_a2)) + (portRef I3 (instanceRef Z_i_0_o3_0_a0_1)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I4 (instanceRef g0_0_N_7L15)) + (portRef I1 (instanceRef Z_i_0_o3_1)) + (portRef I3 (instanceRef Z_m2_0_a2_0)) + (portRef I4 (instanceRef Z_i_0_o3_0_a2)) + (portRef I4 (instanceRef Z_i_0_o3_0_a0_1)) + )) + (net (rename un1_psum0_signed_69 "un1_psum0_signed(69)") (joined + (portRef (member un1_psum0_signed 1)) + (portRef I5 (instanceRef g0_0_N_7L15)) + (portRef I4 (instanceRef Z_m2_0_a2_0)) + (portRef I5 (instanceRef Z_i_0_o3_0_a2)) + (portRef I5 (instanceRef Z_i_0_o3_0_a0_1)) + )) + (net Z_i_0_o3_0_a1_1 (joined + (portRef O (instanceRef Z_i_0_o3_0_a0_1)) + (portRef I2 (instanceRef Z_i_0_o3_1)) + )) + (net Z_i_0_o3_0_a2_4 (joined + (portRef O (instanceRef Z_i_0_o3_0_a2)) + (portRef I3 (instanceRef Z_i_0_o3_1)) + )) + (net (rename Z_m2_0_a2Z0Z_0 "Z_m2_0_a2_0") (joined + (portRef O (instanceRef Z_m2_0_a2_0)) + (portRef I3 (instanceRef Z_m2_0_a2_0_RNI3RBB9)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I0 (instanceRef g0_0_N_7L15)) + (portRef I0 (instanceRef Z_i_0_o3_1)) + )) + (net (rename un1_psum0_signed_32 "un1_psum0_signed(32)") (joined + (portRef (member un1_psum0_signed 38)) + (portRef I0 (instanceRef g0_i_a4_0_4)) + )) + (net (rename un1_psum0_signed_34 "un1_psum0_signed(34)") (joined + (portRef (member un1_psum0_signed 36)) + (portRef I1 (instanceRef g0_i_a4_0_4)) + )) + (net (rename un1_psum0_signed_35 "un1_psum0_signed(35)") (joined + (portRef (member un1_psum0_signed 35)) + (portRef I2 (instanceRef g0_i_a4_0_4)) + )) + (net (rename un1_psum0_signed_36 "un1_psum0_signed(36)") (joined + (portRef (member un1_psum0_signed 34)) + (portRef I3 (instanceRef g0_i_a4_0_4)) + )) + (net (rename un1_psum0_signed_31 "un1_psum0_signed(31)") (joined + (portRef (member un1_psum0_signed 39)) + (portRef I4 (instanceRef g0_i_a4_0_4)) + )) + (net (rename un1_psum0_signed_33 "un1_psum0_signed(33)") (joined + (portRef (member un1_psum0_signed 37)) + (portRef I5 (instanceRef g0_i_a4_0_4)) + )) + (net (rename g0_i_a4_0Z0Z_4 "g0_i_a4_0_4") (joined + (portRef O (instanceRef g0_i_a4_0_4)) + (portRef I0 (instanceRef g0_0_N_6L11)) + )) + (net (rename un1_psum0_signed_58 "un1_psum0_signed(58)") (joined + (portRef (member un1_psum0_signed 12)) + (portRef I0 (instanceRef g0_i_a4_0_12)) + )) + (net (rename un1_psum0_signed_59 "un1_psum0_signed(59)") (joined + (portRef (member un1_psum0_signed 11)) + (portRef I1 (instanceRef g0_i_a4_0_12)) + )) + (net (rename un1_psum0_signed_60 "un1_psum0_signed(60)") (joined + (portRef (member un1_psum0_signed 10)) + (portRef I2 (instanceRef g0_i_a4_0_12)) + )) + (net (rename un1_psum0_signed_61 "un1_psum0_signed(61)") (joined + (portRef (member un1_psum0_signed 9)) + (portRef I3 (instanceRef g0_i_a4_0_12)) + )) + (net (rename g0_i_a4_0Z0Z_12 "g0_i_a4_0_12") (joined + (portRef LO (instanceRef g0_i_a4_0_12)) + (portRef I4 (instanceRef g0_0_N_6L11)) + )) + (net g0_0_N_6L11_0 (joined + (portRef O (instanceRef g0_0_N_6L11)) + (portRef I1 (instanceRef Z_m2_0_a2_0_RNI3RBB9)) + )) + (net (rename g0_i_a4_0Z0Z_13 "g0_i_a4_0_13") (joined + (portRef O (instanceRef g0_i_a4_0_13)) + (portRef I2 (instanceRef Z_m2_0_a2_0_RNI3RBB9)) + )) + (net g0_0_N_7L15_0 (joined + (portRef O (instanceRef g0_0_N_7L15)) + (portRef I4 (instanceRef Z_m2_0_a2_0_RNI3RBB9)) + )) + (net (rename z_32 "Z_32") (joined + (portRef Z_32) + (portRef I5 (instanceRef Z_m2_0_a2_0_RNI3RBB9)) + )) + (net (rename un1_psum0_signed_50 "un1_psum0_signed(50)") (joined + (portRef (member un1_psum0_signed 20)) + (portRef I1 (instanceRef g0_0_N_6L11)) + )) + (net (rename un1_psum0_signed_49 "un1_psum0_signed(49)") (joined + (portRef (member un1_psum0_signed 21)) + (portRef I2 (instanceRef g0_0_N_6L11)) + )) + (net (rename un1_psum0_signed_57 "un1_psum0_signed(57)") (joined + (portRef (member un1_psum0_signed 13)) + (portRef I3 (instanceRef g0_0_N_6L11)) + )) + (net (rename un1_psum0_signed_37 "un1_psum0_signed(37)") (joined + (portRef (member un1_psum0_signed 33)) + (portRef I0 (instanceRef g0_i_a4_0_13)) + )) + (net (rename un1_psum0_signed_38 "un1_psum0_signed(38)") (joined + (portRef (member un1_psum0_signed 32)) + (portRef I1 (instanceRef g0_i_a4_0_13)) + )) + (net (rename un1_psum0_signed_51 "un1_psum0_signed(51)") (joined + (portRef (member un1_psum0_signed 19)) + (portRef I2 (instanceRef g0_i_a4_0_13)) + )) + (net (rename un1_psum0_signed_53 "un1_psum0_signed(53)") (joined + (portRef (member un1_psum0_signed 17)) + (portRef I3 (instanceRef g0_i_a4_0_13)) + )) + (net (rename un1_psum0_signed_62 "un1_psum0_signed(62)") (joined + (portRef (member un1_psum0_signed 8)) + (portRef I4 (instanceRef g0_i_a4_0_13)) + )) + (net g0_i_a4_0_13_N_4L5_0 (joined + (portRef LO (instanceRef g0_i_a4_0_13_N_4L5)) + (portRef I5 (instanceRef g0_i_a4_0_13)) + )) + (net (rename un1_psum0_signed_56 "un1_psum0_signed(56)") (joined + (portRef (member un1_psum0_signed 14)) + (portRef I0 (instanceRef g0_i_a4_0_13_N_4L5)) + )) + (net (rename un1_psum0_signed_52 "un1_psum0_signed(52)") (joined + (portRef (member un1_psum0_signed 18)) + (portRef I1 (instanceRef g0_i_a4_0_13_N_4L5)) + )) + (net (rename un1_psum0_signed_54 "un1_psum0_signed(54)") (joined + (portRef (member un1_psum0_signed 16)) + (portRef I2 (instanceRef g0_i_a4_0_13_N_4L5)) + )) + (net (rename un1_psum0_signed_55 "un1_psum0_signed(55)") (joined + (portRef (member un1_psum0_signed 15)) + (portRef I3 (instanceRef g0_i_a4_0_13_N_4L5)) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_256 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_25_i (direction OUTPUT)) + ) + (contents + (instance N_25_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_25_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_25_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I2 (instanceRef N_25_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef N_25_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_25_i)) + )) + (net (rename N_25_iZ0 "N_25_i") (joined + (portRef O (instanceRef N_25_i)) + (portRef N_25_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_255 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_9_i (direction OUTPUT)) + ) + (contents + (instance N_9_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_9_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_9_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I2 (instanceRef N_9_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef N_9_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_9_i)) + )) + (net (rename N_9_iZ0 "N_9_i") (joined + (portRef O (instanceRef N_9_i)) + (portRef N_9_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_254 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_29_i (direction OUTPUT)) + ) + (contents + (instance N_29_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_29_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_29_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I2 (instanceRef N_29_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef N_29_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_29_i)) + )) + (net (rename N_29_iZ0 "N_29_i") (joined + (portRef O (instanceRef N_29_i)) + (portRef N_29_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_253 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_13_i (direction OUTPUT)) + ) + (contents + (instance N_13_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_13_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_13_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I2 (instanceRef N_13_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef N_13_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_13_i)) + )) + (net (rename N_13_iZ0 "N_13_i") (joined + (portRef O (instanceRef N_13_i)) + (portRef N_13_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_252 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_37_i (direction OUTPUT)) + ) + (contents + (instance N_37_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_37_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_37_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I2 (instanceRef N_37_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef N_37_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_37_i)) + )) + (net (rename N_37_iZ0 "N_37_i") (joined + (portRef O (instanceRef N_37_i)) + (portRef N_37_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_251 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_17_i (direction OUTPUT)) + ) + (contents + (instance N_17_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_17_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_17_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I2 (instanceRef N_17_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef N_17_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_17_i)) + )) + (net (rename N_17_iZ0 "N_17_i") (joined + (portRef O (instanceRef N_17_i)) + (portRef N_17_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_AND2_222 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(65:39)") 27) (direction INPUT)) + (port Z_32_0 (direction OUTPUT)) + (port Z_33_2 (direction OUTPUT)) + (port Z_32_1 (direction OUTPUT)) + (port Z_32 (direction OUTPUT)) + ) + (contents + (instance Z_32_0 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h8000000000000000")) + ) + (instance Z_33_2 (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h8000000000000000")) + ) + (instance Z_32_1 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) + ) + (instance Z_32_0_RNIG93O1 (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h8000000000000000")) + ) + (net (rename un1_psum0_signed_39 "un1_psum0_signed(39)") (joined + (portRef (member un1_psum0_signed 26)) + (portRef I0 (instanceRef Z_32_0)) + )) + (net (rename un1_psum0_signed_40 "un1_psum0_signed(40)") (joined + (portRef (member un1_psum0_signed 25)) + (portRef I1 (instanceRef Z_32_0)) + )) + (net (rename un1_psum0_signed_42 "un1_psum0_signed(42)") (joined + (portRef (member un1_psum0_signed 23)) + (portRef I2 (instanceRef Z_32_0)) + )) + (net (rename un1_psum0_signed_43 "un1_psum0_signed(43)") (joined + (portRef (member un1_psum0_signed 22)) + (portRef I3 (instanceRef Z_32_0)) + )) + (net (rename un1_psum0_signed_41 "un1_psum0_signed(41)") (joined + (portRef (member un1_psum0_signed 24)) + (portRef I4 (instanceRef Z_32_0)) + )) + (net (rename un1_psum0_signed_44 "un1_psum0_signed(44)") (joined + (portRef (member un1_psum0_signed 21)) + (portRef I5 (instanceRef Z_32_0)) + )) + (net (rename Z_32Z0Z_0 "Z_32_0") (joined + (portRef O (instanceRef Z_32_0)) + (portRef I5 (instanceRef Z_32_0_RNIG93O1)) + (portRef Z_32_0) + )) + (net (rename un1_psum0_signed_51 "un1_psum0_signed(51)") (joined + (portRef (member un1_psum0_signed 14)) + (portRef I0 (instanceRef Z_33_2)) + )) + (net (rename un1_psum0_signed_52 "un1_psum0_signed(52)") (joined + (portRef (member un1_psum0_signed 13)) + (portRef I1 (instanceRef Z_33_2)) + )) + (net (rename un1_psum0_signed_54 "un1_psum0_signed(54)") (joined + (portRef (member un1_psum0_signed 11)) + (portRef I2 (instanceRef Z_33_2)) + )) + (net (rename un1_psum0_signed_55 "un1_psum0_signed(55)") (joined + (portRef (member un1_psum0_signed 10)) + (portRef I3 (instanceRef Z_33_2)) + )) + (net (rename un1_psum0_signed_56 "un1_psum0_signed(56)") (joined + (portRef (member un1_psum0_signed 9)) + (portRef I4 (instanceRef Z_33_2)) + )) + (net (rename un1_psum0_signed_53 "un1_psum0_signed(53)") (joined + (portRef (member un1_psum0_signed 12)) + (portRef I5 (instanceRef Z_33_2)) + )) + (net (rename Z_33Z0Z_2 "Z_33_2") (joined + (portRef LO (instanceRef Z_33_2)) + (portRef Z_33_2) + )) + (net (rename un1_psum0_signed_46 "un1_psum0_signed(46)") (joined + (portRef (member un1_psum0_signed 19)) + (portRef I0 (instanceRef Z_32_1)) + )) + (net (rename un1_psum0_signed_47 "un1_psum0_signed(47)") (joined + (portRef (member un1_psum0_signed 18)) + (portRef I1 (instanceRef Z_32_1)) + )) + (net (rename un1_psum0_signed_45 "un1_psum0_signed(45)") (joined + (portRef (member un1_psum0_signed 20)) + (portRef I2 (instanceRef Z_32_1)) + )) + (net (rename Z_32Z0Z_1 "Z_32_1") (joined + (portRef O (instanceRef Z_32_1)) + (portRef I4 (instanceRef Z_32_0_RNIG93O1)) + (portRef Z_32_1) + )) + (net (rename un1_psum0_signed_48 "un1_psum0_signed(48)") (joined + (portRef (member un1_psum0_signed 17)) + (portRef I0 (instanceRef Z_32_0_RNIG93O1)) + )) + (net (rename un1_psum0_signed_63 "un1_psum0_signed(63)") (joined + (portRef (member un1_psum0_signed 2)) + (portRef I1 (instanceRef Z_32_0_RNIG93O1)) + )) + (net (rename un1_psum0_signed_64 "un1_psum0_signed(64)") (joined + (portRef (member un1_psum0_signed 1)) + (portRef I2 (instanceRef Z_32_0_RNIG93O1)) + )) + (net (rename un1_psum0_signed_65 "un1_psum0_signed(65)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z_32_0_RNIG93O1)) + )) + (net (rename z_32 "Z_32") (joined + (portRef LO (instanceRef Z_32_0_RNIG93O1)) + (portRef Z_32) + )) + ) + (property orig_inst_of (string "GTECH_AND2")) + ) + ) + (cell GTECH_AND2_190 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename feedback_data "feedback_data(28:2)") 27) (direction INPUT)) + (port (array (rename data_out_final_3 "data_out_final_3(22:0)") 23) (direction OUTPUT)) + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:21)") 50) (direction INPUT)) + (port (array (rename psum1_saved "psum1_saved(20:0)") 21) (direction INPUT)) + (port (array (rename feedback_inc_data "feedback_inc_data(35:1)") 35) (direction INPUT)) + (port B0_product_signed_0 (direction INPUT)) + (port rstn (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_6_i (direction OUTPUT)) + (port N_10_i (direction OUTPUT)) + (port N_14_i (direction OUTPUT)) + (port N_18_i (direction OUTPUT)) + (port N_22_i (direction OUTPUT)) + (port N_26_i (direction OUTPUT)) + (port N_30_i (direction OUTPUT)) + (port N_34_i (direction OUTPUT)) + (port N_38_i (direction OUTPUT)) + (port N_42_i (direction OUTPUT)) + (port N_46_i (direction OUTPUT)) + (port data_out_final_3_6_2 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction OUTPUT)) + (port feedback_to_big (direction INPUT)) + (port N_68_i (direction OUTPUT)) + (port data_out_final_3_37 (direction OUTPUT)) + ) + (contents + (instance N_6_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h080A0000080A080A")) + ) + (instance N_10_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h080A0000080A080A")) + ) + (instance N_14_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h080A0000080A080A")) + ) + (instance N_18_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h08000A0008080A0A")) + ) + (instance N_22_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h080A0000080A080A")) + ) + (instance N_26_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h08000A0008080A0A")) + ) + (instance N_30_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h080A0000080A080A")) + ) + (instance N_34_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h08000A0008080A0A")) + ) + (instance N_38_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h008000A0008800AA")) + ) + (instance N_42_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h008000A0008800AA")) + ) + (instance N_46_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h008000A0008800AA")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_19 "gO_6.FINAL_OUT_REGS.data_out_final_3[19]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_22 "gO_6.FINAL_OUT_REGS.data_out_final_3[22]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_28 "gO_6.FINAL_OUT_REGS.data_out_final_3[28]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_15 "gO_6.FINAL_OUT_REGS.data_out_final_3[15]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_14 "gO_6.FINAL_OUT_REGS.data_out_final_3[14]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_13 "gO_6.FINAL_OUT_REGS.data_out_final_3[13]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_12 "gO_6.FINAL_OUT_REGS.data_out_final_3[12]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_10 "gO_6.FINAL_OUT_REGS.data_out_final_3[10]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_9 "gO_6.FINAL_OUT_REGS.data_out_final_3[9]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_8 "gO_6.FINAL_OUT_REGS.data_out_final_3[8]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_7 "gO_6.FINAL_OUT_REGS.data_out_final_3[7]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_4 "gO_6.FINAL_OUT_REGS.data_out_final_3[4]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_3 "gO_6.FINAL_OUT_REGS.data_out_final_3[3]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_2 "gO_6.FINAL_OUT_REGS.data_out_final_3[2]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_0 "gO_6.FINAL_OUT_REGS.data_out_final_3[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0000AAAA28A02828")) + ) + (instance N_68_i (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance Z_i_0_o3_28 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFFFFE")) + ) + (instance Z_i_0_o3_28_3 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFDFFFFFFFE")) + ) + (instance Z_i_0_o3_28_3_1 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFFFFE")) + ) + (instance Z_i_0_o3_28_0 (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFFFFE")) + ) + (instance Z_i_0_o3_28_2 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFFFFE")) + ) + (instance Z_i_0_o3_28_3_4 (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hFFFFFFFE")) + ) + (instance Z_i_0_o3_28_1_2 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'hE")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_35 "gO_6.FINAL_OUT_REGS.data_out_final_3[35]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hAA00AA00AA882A00")) + ) + (net rstn (joined + (portRef rstn) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_35)) + (portRef I0 (instanceRef N_68_i)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_0)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_2)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_3)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_4)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_7)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_8)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_9)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_10)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_12)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_13)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_14)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_15)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_28)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_22)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_19)) + (portRef I0 (instanceRef N_46_i)) + (portRef I0 (instanceRef N_42_i)) + (portRef I0 (instanceRef N_38_i)) + (portRef I0 (instanceRef N_34_i)) + (portRef I0 (instanceRef N_30_i)) + (portRef I0 (instanceRef N_26_i)) + (portRef I0 (instanceRef N_22_i)) + (portRef I0 (instanceRef N_18_i)) + (portRef I0 (instanceRef N_14_i)) + (portRef I0 (instanceRef N_10_i)) + (portRef I0 (instanceRef N_6_i)) + )) + (net (rename un1_psum0_signed_65 "un1_psum0_signed(65)") (joined + (portRef (member un1_psum0_signed 5)) + (portRef I1 (instanceRef N_6_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I3 (instanceRef N_46_i)) + (portRef I3 (instanceRef N_42_i)) + (portRef I3 (instanceRef N_38_i)) + (portRef I2 (instanceRef N_34_i)) + (portRef I2 (instanceRef N_30_i)) + (portRef I2 (instanceRef N_26_i)) + (portRef I2 (instanceRef N_22_i)) + (portRef I2 (instanceRef N_18_i)) + (portRef I2 (instanceRef N_14_i)) + (portRef I2 (instanceRef N_10_i)) + (portRef I2 (instanceRef N_6_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I4 (instanceRef N_46_i)) + (portRef I4 (instanceRef N_42_i)) + (portRef I4 (instanceRef N_38_i)) + (portRef I4 (instanceRef N_34_i)) + (portRef I3 (instanceRef N_30_i)) + (portRef I4 (instanceRef N_26_i)) + (portRef I3 (instanceRef N_22_i)) + (portRef I4 (instanceRef N_18_i)) + (portRef I3 (instanceRef N_14_i)) + (portRef I3 (instanceRef N_10_i)) + (portRef I3 (instanceRef N_6_i)) + )) + (net (rename feedback_inc_data_34 "feedback_inc_data(34)") (joined + (portRef (member feedback_inc_data 1)) + (portRef I4 (instanceRef N_6_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I5 (instanceRef N_46_i)) + (portRef I5 (instanceRef N_42_i)) + (portRef I5 (instanceRef N_38_i)) + (portRef I5 (instanceRef N_34_i)) + (portRef I5 (instanceRef N_30_i)) + (portRef I5 (instanceRef N_26_i)) + (portRef I5 (instanceRef N_22_i)) + (portRef I5 (instanceRef N_18_i)) + (portRef I5 (instanceRef N_14_i)) + (portRef I5 (instanceRef N_10_i)) + (portRef I5 (instanceRef N_6_i)) + )) + (net (rename N_6_iZ0 "N_6_i") (joined + (portRef LO (instanceRef N_6_i)) + (portRef N_6_i) + )) + (net (rename un1_psum0_signed_62 "un1_psum0_signed(62)") (joined + (portRef (member un1_psum0_signed 8)) + (portRef I1 (instanceRef N_10_i)) + )) + (net (rename feedback_inc_data_31 "feedback_inc_data(31)") (joined + (portRef (member feedback_inc_data 4)) + (portRef I4 (instanceRef N_10_i)) + )) + (net (rename N_10_iZ0 "N_10_i") (joined + (portRef LO (instanceRef N_10_i)) + (portRef N_10_i) + )) + (net (rename un1_psum0_signed_60 "un1_psum0_signed(60)") (joined + (portRef (member un1_psum0_signed 10)) + (portRef I1 (instanceRef N_14_i)) + )) + (net (rename feedback_inc_data_29 "feedback_inc_data(29)") (joined + (portRef (member feedback_inc_data 6)) + (portRef I4 (instanceRef N_14_i)) + )) + (net (rename N_14_iZ0 "N_14_i") (joined + (portRef LO (instanceRef N_14_i)) + (portRef N_14_i) + )) + (net (rename un1_psum0_signed_52 "un1_psum0_signed(52)") (joined + (portRef (member un1_psum0_signed 18)) + (portRef I1 (instanceRef N_18_i)) + )) + (net (rename feedback_inc_data_21 "feedback_inc_data(21)") (joined + (portRef (member feedback_inc_data 14)) + (portRef I3 (instanceRef N_18_i)) + )) + (net (rename N_18_iZ0 "N_18_i") (joined + (portRef LO (instanceRef N_18_i)) + (portRef N_18_i) + )) + (net (rename un1_psum0_signed_51 "un1_psum0_signed(51)") (joined + (portRef (member un1_psum0_signed 19)) + (portRef I1 (instanceRef N_22_i)) + )) + (net (rename feedback_inc_data_20 "feedback_inc_data(20)") (joined + (portRef (member feedback_inc_data 15)) + (portRef I4 (instanceRef N_22_i)) + )) + (net (rename N_22_iZ0 "N_22_i") (joined + (portRef LO (instanceRef N_22_i)) + (portRef N_22_i) + )) + (net (rename un1_psum0_signed_49 "un1_psum0_signed(49)") (joined + (portRef (member un1_psum0_signed 21)) + (portRef I1 (instanceRef N_26_i)) + )) + (net (rename feedback_inc_data_18 "feedback_inc_data(18)") (joined + (portRef (member feedback_inc_data 17)) + (portRef I3 (instanceRef N_26_i)) + )) + (net (rename N_26_iZ0 "N_26_i") (joined + (portRef LO (instanceRef N_26_i)) + (portRef N_26_i) + )) + (net (rename un1_psum0_signed_48 "un1_psum0_signed(48)") (joined + (portRef (member un1_psum0_signed 22)) + (portRef I1 (instanceRef N_30_i)) + )) + (net (rename feedback_inc_data_17 "feedback_inc_data(17)") (joined + (portRef (member feedback_inc_data 18)) + (portRef I4 (instanceRef N_30_i)) + )) + (net (rename N_30_iZ0 "N_30_i") (joined + (portRef LO (instanceRef N_30_i)) + (portRef N_30_i) + )) + (net (rename un1_psum0_signed_47 "un1_psum0_signed(47)") (joined + (portRef (member un1_psum0_signed 23)) + (portRef I1 (instanceRef N_34_i)) + )) + (net (rename feedback_inc_data_16 "feedback_inc_data(16)") (joined + (portRef (member feedback_inc_data 19)) + (portRef I3 (instanceRef N_34_i)) + )) + (net (rename N_34_iZ0 "N_34_i") (joined + (portRef LO (instanceRef N_34_i)) + (portRef N_34_i) + )) + (net (rename un1_psum0_signed_37 "un1_psum0_signed(37)") (joined + (portRef (member un1_psum0_signed 33)) + (portRef I1 (instanceRef N_38_i)) + )) + (net (rename feedback_inc_data_6 "feedback_inc_data(6)") (joined + (portRef (member feedback_inc_data 29)) + (portRef I2 (instanceRef N_38_i)) + )) + (net (rename N_38_iZ0 "N_38_i") (joined + (portRef LO (instanceRef N_38_i)) + (portRef N_38_i) + )) + (net (rename un1_psum0_signed_36 "un1_psum0_signed(36)") (joined + (portRef (member un1_psum0_signed 34)) + (portRef I1 (instanceRef N_42_i)) + )) + (net (rename feedback_inc_data_5 "feedback_inc_data(5)") (joined + (portRef (member feedback_inc_data 30)) + (portRef I2 (instanceRef N_42_i)) + )) + (net (rename N_42_iZ0 "N_42_i") (joined + (portRef LO (instanceRef N_42_i)) + (portRef N_42_i) + )) + (net (rename un1_psum0_signed_32 "un1_psum0_signed(32)") (joined + (portRef (member un1_psum0_signed 38)) + (portRef I1 (instanceRef N_46_i)) + )) + (net (rename feedback_inc_data_1 "feedback_inc_data(1)") (joined + (portRef (member feedback_inc_data 34)) + (portRef I2 (instanceRef N_46_i)) + )) + (net (rename N_46_iZ0 "N_46_i") (joined + (portRef LO (instanceRef N_46_i)) + (portRef N_46_i) + )) + (net (rename feedback_data_19 "feedback_data(19)") (joined + (portRef (member feedback_data 9)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_19)) + )) + (net (rename data_out_final_3_19 "data_out_final_3(19)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_19)) + (portRef (member data_out_final_3 3)) + )) + (net (rename feedback_data_22 "feedback_data(22)") (joined + (portRef (member feedback_data 6)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_22)) + )) + (net (rename data_out_final_3_22 "data_out_final_3(22)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_22)) + (portRef (member data_out_final_3 0)) + )) + (net (rename feedback_data_28 "feedback_data(28)") (joined + (portRef (member feedback_data 0)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_28)) + )) + (net data_out_final_3_6_2 (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_28)) + (portRef data_out_final_3_6_2) + )) + (net (rename feedback_data_15 "feedback_data(15)") (joined + (portRef (member feedback_data 13)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_15)) + )) + (net (rename data_out_final_3_15 "data_out_final_3(15)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_15)) + (portRef (member data_out_final_3 7)) + )) + (net (rename feedback_data_14 "feedback_data(14)") (joined + (portRef (member feedback_data 14)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_14)) + )) + (net (rename data_out_final_3_14 "data_out_final_3(14)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_14)) + (portRef (member data_out_final_3 8)) + )) + (net (rename feedback_data_13 "feedback_data(13)") (joined + (portRef (member feedback_data 15)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_13)) + )) + (net (rename data_out_final_3_13 "data_out_final_3(13)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_13)) + (portRef (member data_out_final_3 9)) + )) + (net (rename feedback_data_12 "feedback_data(12)") (joined + (portRef (member feedback_data 16)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_12)) + )) + (net (rename data_out_final_3_12 "data_out_final_3(12)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_12)) + (portRef (member data_out_final_3 10)) + )) + (net (rename feedback_data_10 "feedback_data(10)") (joined + (portRef (member feedback_data 18)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_10)) + )) + (net (rename data_out_final_3_10 "data_out_final_3(10)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_10)) + (portRef (member data_out_final_3 12)) + )) + (net (rename feedback_data_9 "feedback_data(9)") (joined + (portRef (member feedback_data 19)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_9)) + )) + (net (rename data_out_final_3_9 "data_out_final_3(9)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_9)) + (portRef (member data_out_final_3 13)) + )) + (net (rename feedback_data_8 "feedback_data(8)") (joined + (portRef (member feedback_data 20)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_8)) + )) + (net (rename data_out_final_3_8 "data_out_final_3(8)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_8)) + (portRef (member data_out_final_3 14)) + )) + (net (rename feedback_data_7 "feedback_data(7)") (joined + (portRef (member feedback_data 21)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_7)) + )) + (net (rename data_out_final_3_7 "data_out_final_3(7)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_7)) + (portRef (member data_out_final_3 15)) + )) + (net (rename feedback_data_4 "feedback_data(4)") (joined + (portRef (member feedback_data 24)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_4)) + )) + (net (rename data_out_final_3_4 "data_out_final_3(4)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_4)) + (portRef (member data_out_final_3 18)) + )) + (net (rename feedback_data_3 "feedback_data(3)") (joined + (portRef (member feedback_data 25)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_3)) + )) + (net (rename data_out_final_3_3 "data_out_final_3(3)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_3)) + (portRef (member data_out_final_3 19)) + )) + (net (rename feedback_data_2 "feedback_data(2)") (joined + (portRef (member feedback_data 26)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_2)) + )) + (net (rename data_out_final_3_2 "data_out_final_3(2)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_2)) + (portRef (member data_out_final_3 20)) + )) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_35)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_0)) + )) + (net (rename un1_psum0_signed_31 "un1_psum0_signed(31)") (joined + (portRef (member un1_psum0_signed 39)) + (portRef I2 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_0)) + )) + (net (rename Z_i_0_o3Z0Z_28 "Z_i_0_o3_28") (joined + (portRef O (instanceRef Z_i_0_o3_28)) + (portRef I2 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_35)) + (portRef I3 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_0)) + (portRef Z_i_0_o3_28) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_35)) + (portRef I4 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_0)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_35)) + (portRef I1 (instanceRef N_68_i)) + (portRef I5 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_0)) + )) + (net (rename data_out_final_3_0 "data_out_final_3(0)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_0)) + (portRef (member data_out_final_3 22)) + )) + (net (rename N_68_iZ0 "N_68_i") (joined + (portRef LO (instanceRef N_68_i)) + (portRef N_68_i) + )) + (net (rename Z_i_0_o3_28Z0Z_3 "Z_i_0_o3_28_3") (joined + (portRef O (instanceRef Z_i_0_o3_28_3)) + (portRef I0 (instanceRef Z_i_0_o3_28)) + )) + (net (rename un1_psum0_signed_21 "un1_psum0_signed(21)") (joined + (portRef (member un1_psum0_signed 49)) + (portRef I1 (instanceRef Z_i_0_o3_28)) + )) + (net (rename un1_psum0_signed_22 "un1_psum0_signed(22)") (joined + (portRef (member un1_psum0_signed 48)) + (portRef I2 (instanceRef Z_i_0_o3_28)) + )) + (net (rename un1_psum0_signed_23 "un1_psum0_signed(23)") (joined + (portRef (member un1_psum0_signed 47)) + (portRef I3 (instanceRef Z_i_0_o3_28)) + )) + (net (rename un1_psum0_signed_24 "un1_psum0_signed(24)") (joined + (portRef (member un1_psum0_signed 46)) + (portRef I4 (instanceRef Z_i_0_o3_28)) + )) + (net (rename Z_i_0_o3_28_3Z0Z_4 "Z_i_0_o3_28_3_4") (joined + (portRef LO (instanceRef Z_i_0_o3_28_3_4)) + (portRef I5 (instanceRef Z_i_0_o3_28)) + )) + (net (rename psum1_saved_20 "psum1_saved(20)") (joined + (portRef (member psum1_saved 0)) + (portRef I0 (instanceRef Z_i_0_o3_28_3)) + )) + (net (rename psum1_saved_0 "psum1_saved(0)") (joined + (portRef (member psum1_saved 20)) + (portRef I1 (instanceRef Z_i_0_o3_28_3)) + )) + (net (rename psum1_saved_1 "psum1_saved(1)") (joined + (portRef (member psum1_saved 19)) + (portRef I2 (instanceRef Z_i_0_o3_28_3)) + )) + (net (rename Z_i_0_o3_28Z0Z_2 "Z_i_0_o3_28_2") (joined + (portRef O (instanceRef Z_i_0_o3_28_2)) + (portRef I3 (instanceRef Z_i_0_o3_28_3)) + )) + (net (rename Z_i_0_o3_28_3Z0Z_1 "Z_i_0_o3_28_3_1") (joined + (portRef O (instanceRef Z_i_0_o3_28_3_1)) + (portRef I4 (instanceRef Z_i_0_o3_28_3)) + )) + (net (rename b0_product_signed_0 "B0_product_signed_0") (joined + (portRef B0_product_signed_0) + (portRef I5 (instanceRef Z_i_0_o3_28_3)) + )) + (net (rename psum1_saved_8 "psum1_saved(8)") (joined + (portRef (member psum1_saved 12)) + (portRef I0 (instanceRef Z_i_0_o3_28_3_1)) + )) + (net (rename psum1_saved_9 "psum1_saved(9)") (joined + (portRef (member psum1_saved 11)) + (portRef I1 (instanceRef Z_i_0_o3_28_3_1)) + )) + (net (rename psum1_saved_10 "psum1_saved(10)") (joined + (portRef (member psum1_saved 10)) + (portRef I2 (instanceRef Z_i_0_o3_28_3_1)) + )) + (net (rename psum1_saved_11 "psum1_saved(11)") (joined + (portRef (member psum1_saved 9)) + (portRef I3 (instanceRef Z_i_0_o3_28_3_1)) + )) + (net (rename Z_i_0_o3_28_1Z0Z_2 "Z_i_0_o3_28_1_2") (joined + (portRef O (instanceRef Z_i_0_o3_28_1_2)) + (portRef I4 (instanceRef Z_i_0_o3_28_3_1)) + )) + (net (rename Z_i_0_o3_28Z0Z_0 "Z_i_0_o3_28_0") (joined + (portRef LO (instanceRef Z_i_0_o3_28_0)) + (portRef I5 (instanceRef Z_i_0_o3_28_3_1)) + )) + (net (rename psum1_saved_16 "psum1_saved(16)") (joined + (portRef (member psum1_saved 4)) + (portRef I0 (instanceRef Z_i_0_o3_28_0)) + )) + (net (rename psum1_saved_17 "psum1_saved(17)") (joined + (portRef (member psum1_saved 3)) + (portRef I1 (instanceRef Z_i_0_o3_28_0)) + )) + (net (rename psum1_saved_18 "psum1_saved(18)") (joined + (portRef (member psum1_saved 2)) + (portRef I2 (instanceRef Z_i_0_o3_28_0)) + )) + (net (rename psum1_saved_19 "psum1_saved(19)") (joined + (portRef (member psum1_saved 1)) + (portRef I3 (instanceRef Z_i_0_o3_28_0)) + )) + (net (rename psum1_saved_14 "psum1_saved(14)") (joined + (portRef (member psum1_saved 6)) + (portRef I4 (instanceRef Z_i_0_o3_28_0)) + )) + (net (rename psum1_saved_15 "psum1_saved(15)") (joined + (portRef (member psum1_saved 5)) + (portRef I5 (instanceRef Z_i_0_o3_28_0)) + )) + (net (rename psum1_saved_5 "psum1_saved(5)") (joined + (portRef (member psum1_saved 15)) + (portRef I0 (instanceRef Z_i_0_o3_28_2)) + )) + (net (rename psum1_saved_7 "psum1_saved(7)") (joined + (portRef (member psum1_saved 13)) + (portRef I1 (instanceRef Z_i_0_o3_28_2)) + )) + (net (rename psum1_saved_2 "psum1_saved(2)") (joined + (portRef (member psum1_saved 18)) + (portRef I2 (instanceRef Z_i_0_o3_28_2)) + )) + (net (rename psum1_saved_3 "psum1_saved(3)") (joined + (portRef (member psum1_saved 17)) + (portRef I3 (instanceRef Z_i_0_o3_28_2)) + )) + (net (rename psum1_saved_4 "psum1_saved(4)") (joined + (portRef (member psum1_saved 16)) + (portRef I4 (instanceRef Z_i_0_o3_28_2)) + )) + (net (rename psum1_saved_6 "psum1_saved(6)") (joined + (portRef (member psum1_saved 14)) + (portRef I5 (instanceRef Z_i_0_o3_28_2)) + )) + (net (rename un1_psum0_signed_28 "un1_psum0_signed(28)") (joined + (portRef (member un1_psum0_signed 42)) + (portRef I0 (instanceRef Z_i_0_o3_28_3_4)) + )) + (net (rename un1_psum0_signed_26 "un1_psum0_signed(26)") (joined + (portRef (member un1_psum0_signed 44)) + (portRef I1 (instanceRef Z_i_0_o3_28_3_4)) + )) + (net (rename un1_psum0_signed_27 "un1_psum0_signed(27)") (joined + (portRef (member un1_psum0_signed 43)) + (portRef I2 (instanceRef Z_i_0_o3_28_3_4)) + )) + (net (rename un1_psum0_signed_29 "un1_psum0_signed(29)") (joined + (portRef (member un1_psum0_signed 41)) + (portRef I3 (instanceRef Z_i_0_o3_28_3_4)) + )) + (net (rename un1_psum0_signed_25 "un1_psum0_signed(25)") (joined + (portRef (member un1_psum0_signed 45)) + (portRef I4 (instanceRef Z_i_0_o3_28_3_4)) + )) + (net (rename psum1_saved_12 "psum1_saved(12)") (joined + (portRef (member psum1_saved 8)) + (portRef I0 (instanceRef Z_i_0_o3_28_1_2)) + )) + (net (rename psum1_saved_13 "psum1_saved(13)") (joined + (portRef (member psum1_saved 7)) + (portRef I1 (instanceRef Z_i_0_o3_28_1_2)) + )) + (net (rename feedback_inc_data_35 "feedback_inc_data(35)") (joined + (portRef (member feedback_inc_data 0)) + (portRef I4 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_35)) + )) + (net data_out_final_3_37 (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_35)) + (portRef data_out_final_3_37) + )) + ) + (property orig_inst_of (string "GTECH_AND2")) + ) + ) + (cell GTECH_OR2_103 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_to_big (direction OUTPUT)) + (port Z_32_1 (direction INPUT)) + (port Z_32_0 (direction INPUT)) + (port Z_33_2 (direction INPUT)) + (port g0_11_0 (direction INPUT)) + ) + (contents + (instance g5 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h7FFF")) + ) + (instance g1_2 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hFFFE")) + ) + (instance g0_0 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFEA5540FFAA5500")) + ) + (instance g2_9 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h8000000000000000")) + ) + (instance g2_4 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h8000")) + ) + (instance g2_10 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h8000000000000000")) + ) + (instance g2_14 (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h8000000000000000")) + ) + (net (rename un1_psum0_signed_66 "un1_psum0_signed(66)") (joined + (portRef (member un1_psum0_signed 4)) + (portRef I0 (instanceRef g1_2)) + (portRef I0 (instanceRef g5)) + )) + (net (rename un1_psum0_signed_67 "un1_psum0_signed(67)") (joined + (portRef (member un1_psum0_signed 3)) + (portRef I1 (instanceRef g1_2)) + (portRef I1 (instanceRef g5)) + )) + (net (rename un1_psum0_signed_68 "un1_psum0_signed(68)") (joined + (portRef (member un1_psum0_signed 2)) + (portRef I2 (instanceRef g1_2)) + (portRef I2 (instanceRef g5)) + )) + (net (rename un1_psum0_signed_69 "un1_psum0_signed(69)") (joined + (portRef (member un1_psum0_signed 1)) + (portRef I3 (instanceRef g1_2)) + (portRef I3 (instanceRef g5)) + )) + (net (rename gZ0Z5 "g5") (joined + (portRef O (instanceRef g5)) + (portRef I4 (instanceRef g0_0)) + )) + (net (rename g1Z0Z_2 "g1_2") (joined + (portRef O (instanceRef g1_2)) + (portRef I3 (instanceRef g0_0)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I0 (instanceRef g0_0)) + )) + (net (rename g2Z0Z_9 "g2_9") (joined + (portRef O (instanceRef g2_9)) + (portRef I1 (instanceRef g0_0)) + )) + (net (rename g2Z0Z_10 "g2_10") (joined + (portRef O (instanceRef g2_10)) + (portRef I2 (instanceRef g0_0)) + )) + (net (rename g2Z0Z_14 "g2_14") (joined + (portRef LO (instanceRef g2_14)) + (portRef I5 (instanceRef g0_0)) + )) + (net feedback_to_big (joined + (portRef O (instanceRef g0_0)) + (portRef feedback_to_big) + )) + (net (rename un1_psum0_signed_32 "un1_psum0_signed(32)") (joined + (portRef (member un1_psum0_signed 38)) + (portRef I0 (instanceRef g2_9)) + )) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I1 (instanceRef g2_9)) + )) + (net (rename un1_psum0_signed_33 "un1_psum0_signed(33)") (joined + (portRef (member un1_psum0_signed 37)) + (portRef I2 (instanceRef g2_9)) + )) + (net (rename g2Z0Z_4 "g2_4") (joined + (portRef O (instanceRef g2_4)) + (portRef I3 (instanceRef g2_9)) + )) + (net (rename un1_psum0_signed_48 "un1_psum0_signed(48)") (joined + (portRef (member un1_psum0_signed 22)) + (portRef I4 (instanceRef g2_9)) + )) + (net (rename z_32_1 "Z_32_1") (joined + (portRef Z_32_1) + (portRef I5 (instanceRef g2_9)) + )) + (net (rename un1_psum0_signed_34 "un1_psum0_signed(34)") (joined + (portRef (member un1_psum0_signed 36)) + (portRef I0 (instanceRef g2_4)) + )) + (net (rename un1_psum0_signed_35 "un1_psum0_signed(35)") (joined + (portRef (member un1_psum0_signed 35)) + (portRef I1 (instanceRef g2_4)) + )) + (net (rename un1_psum0_signed_36 "un1_psum0_signed(36)") (joined + (portRef (member un1_psum0_signed 34)) + (portRef I2 (instanceRef g2_4)) + )) + (net (rename un1_psum0_signed_31 "un1_psum0_signed(31)") (joined + (portRef (member un1_psum0_signed 39)) + (portRef I3 (instanceRef g2_4)) + )) + (net (rename un1_psum0_signed_50 "un1_psum0_signed(50)") (joined + (portRef (member un1_psum0_signed 20)) + (portRef I0 (instanceRef g2_10)) + )) + (net (rename un1_psum0_signed_49 "un1_psum0_signed(49)") (joined + (portRef (member un1_psum0_signed 21)) + (portRef I1 (instanceRef g2_10)) + )) + (net (rename un1_psum0_signed_59 "un1_psum0_signed(59)") (joined + (portRef (member un1_psum0_signed 11)) + (portRef I2 (instanceRef g2_10)) + )) + (net (rename un1_psum0_signed_57 "un1_psum0_signed(57)") (joined + (portRef (member un1_psum0_signed 13)) + (portRef I3 (instanceRef g2_10)) + )) + (net (rename un1_psum0_signed_63 "un1_psum0_signed(63)") (joined + (portRef (member un1_psum0_signed 7)) + (portRef I4 (instanceRef g2_10)) + )) + (net (rename un1_psum0_signed_64 "un1_psum0_signed(64)") (joined + (portRef (member un1_psum0_signed 6)) + (portRef I5 (instanceRef g2_10)) + )) + (net (rename un1_psum0_signed_37 "un1_psum0_signed(37)") (joined + (portRef (member un1_psum0_signed 33)) + (portRef I0 (instanceRef g2_14)) + )) + (net (rename un1_psum0_signed_38 "un1_psum0_signed(38)") (joined + (portRef (member un1_psum0_signed 32)) + (portRef I1 (instanceRef g2_14)) + )) + (net (rename un1_psum0_signed_65 "un1_psum0_signed(65)") (joined + (portRef (member un1_psum0_signed 5)) + (portRef I2 (instanceRef g2_14)) + )) + (net (rename z_32_0 "Z_32_0") (joined + (portRef Z_32_0) + (portRef I3 (instanceRef g2_14)) + )) + (net (rename z_33_2 "Z_33_2") (joined + (portRef Z_33_2) + (portRef I4 (instanceRef g2_14)) + )) + (net g0_11_0 (joined + (portRef g0_11_0) + (portRef I5 (instanceRef g2_14)) + )) + ) + (property orig_inst_of (string "GTECH_OR2")) + ) + ) + (cell GTECH_AND2_169 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:66)") 5) (direction INPUT)) + (port feedback_to_big_neg (direction OUTPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h7F00FF00")) + ) + (net (rename un1_psum0_signed_66 "un1_psum0_signed(66)") (joined + (portRef (member un1_psum0_signed 4)) + (portRef I0 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_67 "un1_psum0_signed(67)") (joined + (portRef (member un1_psum0_signed 3)) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_68 "un1_psum0_signed(68)") (joined + (portRef (member un1_psum0_signed 2)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_69 "un1_psum0_signed(69)") (joined + (portRef (member un1_psum0_signed 1)) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big_neg (joined + (portRef O (instanceRef Z)) + (portRef feedback_to_big_neg) + )) + ) + (property orig_inst_of (string "GTECH_AND2")) + ) + ) + (cell GTECH_MUX2_214 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(64:42)") 23) (direction INPUT)) + (port (array (rename feedback_inc_data "feedback_inc_data(33:11)") 23) (direction INPUT)) + (port rstn (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_153_i (direction OUTPUT)) + (port N_77_i (direction OUTPUT)) + (port N_75_i (direction OUTPUT)) + (port N_65_i (direction OUTPUT)) + (port N_61_i (direction OUTPUT)) + (port N_57_i (direction OUTPUT)) + (port N_53_i (direction OUTPUT)) + (port N_49_i (direction OUTPUT)) + (port N_45_i (direction OUTPUT)) + (port N_41_i (direction OUTPUT)) + ) + (contents + (instance N_153_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h080A0000080A080A")) + ) + (instance N_77_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h08000A0008080A0A")) + ) + (instance N_75_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h080A0000080A080A")) + ) + (instance N_65_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h08000A0008080A0A")) + ) + (instance N_61_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h080A0000080A080A")) + ) + (instance N_57_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h080A0000080A080A")) + ) + (instance N_53_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h080A0000080A080A")) + ) + (instance N_49_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h08000A0008080A0A")) + ) + (instance N_45_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h008000A0008800AA")) + ) + (instance N_41_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h080C0A0F")) + ) + (net rstn (joined + (portRef rstn) + (portRef I0 (instanceRef N_45_i)) + (portRef I0 (instanceRef N_49_i)) + (portRef I0 (instanceRef N_53_i)) + (portRef I0 (instanceRef N_57_i)) + (portRef I0 (instanceRef N_61_i)) + (portRef I0 (instanceRef N_65_i)) + (portRef I0 (instanceRef N_75_i)) + (portRef I0 (instanceRef N_77_i)) + (portRef I0 (instanceRef N_153_i)) + )) + (net (rename un1_psum0_signed_64 "un1_psum0_signed(64)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I1 (instanceRef N_153_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I2 (instanceRef N_41_i)) + (portRef I3 (instanceRef N_45_i)) + (portRef I2 (instanceRef N_49_i)) + (portRef I2 (instanceRef N_53_i)) + (portRef I2 (instanceRef N_57_i)) + (portRef I2 (instanceRef N_61_i)) + (portRef I2 (instanceRef N_65_i)) + (portRef I2 (instanceRef N_75_i)) + (portRef I2 (instanceRef N_77_i)) + (portRef I2 (instanceRef N_153_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I3 (instanceRef N_41_i)) + (portRef I4 (instanceRef N_45_i)) + (portRef I4 (instanceRef N_49_i)) + (portRef I3 (instanceRef N_53_i)) + (portRef I3 (instanceRef N_57_i)) + (portRef I3 (instanceRef N_61_i)) + (portRef I4 (instanceRef N_65_i)) + (portRef I3 (instanceRef N_75_i)) + (portRef I4 (instanceRef N_77_i)) + (portRef I3 (instanceRef N_153_i)) + )) + (net (rename feedback_inc_data_33 "feedback_inc_data(33)") (joined + (portRef (member feedback_inc_data 0)) + (portRef I4 (instanceRef N_153_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_41_i)) + (portRef I5 (instanceRef N_45_i)) + (portRef I5 (instanceRef N_49_i)) + (portRef I5 (instanceRef N_53_i)) + (portRef I5 (instanceRef N_57_i)) + (portRef I5 (instanceRef N_61_i)) + (portRef I5 (instanceRef N_65_i)) + (portRef I5 (instanceRef N_75_i)) + (portRef I5 (instanceRef N_77_i)) + (portRef I5 (instanceRef N_153_i)) + )) + (net (rename N_153_iZ0 "N_153_i") (joined + (portRef LO (instanceRef N_153_i)) + (portRef N_153_i) + )) + (net (rename un1_psum0_signed_63 "un1_psum0_signed(63)") (joined + (portRef (member un1_psum0_signed 1)) + (portRef I1 (instanceRef N_77_i)) + )) + (net (rename feedback_inc_data_32 "feedback_inc_data(32)") (joined + (portRef (member feedback_inc_data 1)) + (portRef I3 (instanceRef N_77_i)) + )) + (net (rename N_77_iZ0 "N_77_i") (joined + (portRef LO (instanceRef N_77_i)) + (portRef N_77_i) + )) + (net (rename un1_psum0_signed_61 "un1_psum0_signed(61)") (joined + (portRef (member un1_psum0_signed 3)) + (portRef I1 (instanceRef N_75_i)) + )) + (net (rename feedback_inc_data_30 "feedback_inc_data(30)") (joined + (portRef (member feedback_inc_data 3)) + (portRef I4 (instanceRef N_75_i)) + )) + (net (rename N_75_iZ0 "N_75_i") (joined + (portRef LO (instanceRef N_75_i)) + (portRef N_75_i) + )) + (net (rename un1_psum0_signed_58 "un1_psum0_signed(58)") (joined + (portRef (member un1_psum0_signed 6)) + (portRef I1 (instanceRef N_65_i)) + )) + (net (rename feedback_inc_data_27 "feedback_inc_data(27)") (joined + (portRef (member feedback_inc_data 6)) + (portRef I3 (instanceRef N_65_i)) + )) + (net (rename N_65_iZ0 "N_65_i") (joined + (portRef LO (instanceRef N_65_i)) + (portRef N_65_i) + )) + (net (rename un1_psum0_signed_57 "un1_psum0_signed(57)") (joined + (portRef (member un1_psum0_signed 7)) + (portRef I1 (instanceRef N_61_i)) + )) + (net (rename feedback_inc_data_26 "feedback_inc_data(26)") (joined + (portRef (member feedback_inc_data 7)) + (portRef I4 (instanceRef N_61_i)) + )) + (net (rename N_61_iZ0 "N_61_i") (joined + (portRef LO (instanceRef N_61_i)) + (portRef N_61_i) + )) + (net (rename un1_psum0_signed_56 "un1_psum0_signed(56)") (joined + (portRef (member un1_psum0_signed 8)) + (portRef I1 (instanceRef N_57_i)) + )) + (net (rename feedback_inc_data_25 "feedback_inc_data(25)") (joined + (portRef (member feedback_inc_data 8)) + (portRef I4 (instanceRef N_57_i)) + )) + (net (rename N_57_iZ0 "N_57_i") (joined + (portRef LO (instanceRef N_57_i)) + (portRef N_57_i) + )) + (net (rename un1_psum0_signed_55 "un1_psum0_signed(55)") (joined + (portRef (member un1_psum0_signed 9)) + (portRef I1 (instanceRef N_53_i)) + )) + (net (rename feedback_inc_data_24 "feedback_inc_data(24)") (joined + (portRef (member feedback_inc_data 9)) + (portRef I4 (instanceRef N_53_i)) + )) + (net (rename N_53_iZ0 "N_53_i") (joined + (portRef LO (instanceRef N_53_i)) + (portRef N_53_i) + )) + (net (rename un1_psum0_signed_54 "un1_psum0_signed(54)") (joined + (portRef (member un1_psum0_signed 10)) + (portRef I1 (instanceRef N_49_i)) + )) + (net (rename feedback_inc_data_23 "feedback_inc_data(23)") (joined + (portRef (member feedback_inc_data 10)) + (portRef I3 (instanceRef N_49_i)) + )) + (net (rename N_49_iZ0 "N_49_i") (joined + (portRef LO (instanceRef N_49_i)) + (portRef N_49_i) + )) + (net (rename un1_psum0_signed_42 "un1_psum0_signed(42)") (joined + (portRef (member un1_psum0_signed 22)) + (portRef I0 (instanceRef N_41_i)) + (portRef I1 (instanceRef N_45_i)) + )) + (net (rename feedback_inc_data_11 "feedback_inc_data(11)") (joined + (portRef (member feedback_inc_data 22)) + (portRef I1 (instanceRef N_41_i)) + (portRef I2 (instanceRef N_45_i)) + )) + (net (rename N_45_iZ0 "N_45_i") (joined + (portRef LO (instanceRef N_45_i)) + (portRef N_45_i) + )) + (net (rename N_41_iZ0 "N_41_i") (joined + (portRef O (instanceRef N_41_i)) + (portRef N_41_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_213 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_28_i (direction OUTPUT)) + ) + (contents + (instance N_28_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h20302233")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_28_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_28_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I2 (instanceRef N_28_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I3 (instanceRef N_28_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_28_i)) + )) + (net (rename N_28_iZ0 "N_28_i") (joined + (portRef O (instanceRef N_28_i)) + (portRef N_28_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_212 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_33_i (direction OUTPUT)) + ) + (contents + (instance N_33_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_33_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_33_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I2 (instanceRef N_33_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef N_33_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_33_i)) + )) + (net (rename N_33_iZ0 "N_33_i") (joined + (portRef O (instanceRef N_33_i)) + (portRef N_33_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_211 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_12_i (direction OUTPUT)) + ) + (contents + (instance N_12_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_12_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_12_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I2 (instanceRef N_12_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef N_12_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_12_i)) + )) + (net (rename N_12_iZ0 "N_12_i") (joined + (portRef O (instanceRef N_12_i)) + (portRef N_12_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_210 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_44_i (direction OUTPUT)) + ) + (contents + (instance N_44_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h080C0A0F")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_44_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I1 (instanceRef N_44_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I2 (instanceRef N_44_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I3 (instanceRef N_44_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_44_i)) + )) + (net (rename N_44_iZ0 "N_44_i") (joined + (portRef O (instanceRef N_44_i)) + (portRef N_44_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_209 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_40_i (direction OUTPUT)) + ) + (contents + (instance N_40_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h080C0A0F")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_40_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I1 (instanceRef N_40_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I2 (instanceRef N_40_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I3 (instanceRef N_40_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_40_i)) + )) + (net (rename N_40_iZ0 "N_40_i") (joined + (portRef O (instanceRef N_40_i)) + (portRef N_40_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_208 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h00FF6C66")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_31 "un1_psum0_signed(31)") (joined + (portRef (member un1_psum0_signed 39)) + (portRef I1 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I4 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_207 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000FFFFF870FA50")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_38 "un1_psum0_signed(38)") (joined + (portRef (member un1_psum0_signed 32)) + (portRef I2 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_206 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_48_i (direction OUTPUT)) + ) + (contents + (instance N_48_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h080C0A0F")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_48_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I1 (instanceRef N_48_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I2 (instanceRef N_48_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I3 (instanceRef N_48_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_48_i)) + )) + (net (rename N_48_iZ0 "N_48_i") (joined + (portRef O (instanceRef N_48_i)) + (portRef N_48_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_205 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000FFFFF870FA50")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_39 "un1_psum0_signed(39)") (joined + (portRef (member un1_psum0_signed 31)) + (portRef I2 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_204 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000FFFFE4CCE4E4")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_33 "un1_psum0_signed(33)") (joined + (portRef (member un1_psum0_signed 37)) + (portRef I1 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I2 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I3 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_203 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000FFFFE4CCE4E4")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_34 "un1_psum0_signed(34)") (joined + (portRef (member un1_psum0_signed 36)) + (portRef I1 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I2 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I3 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_202 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000FFFFE2AAE2E2")) + ) + (net (rename un1_psum0_signed_35 "un1_psum0_signed(35)") (joined + (portRef (member un1_psum0_signed 35)) + (portRef I0 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I1 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I2 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I3 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_201 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF00FFF8FA7050")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_41 "un1_psum0_signed(41)") (joined + (portRef (member un1_psum0_signed 29)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_200 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_32_i (direction OUTPUT)) + ) + (contents + (instance N_32_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h20302233")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_32_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_32_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I2 (instanceRef N_32_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I3 (instanceRef N_32_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_32_i)) + )) + (net (rename N_32_iZ0 "N_32_i") (joined + (portRef O (instanceRef N_32_i)) + (portRef N_32_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_199 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF00FFF8FA7050")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_53 "un1_psum0_signed(53)") (joined + (portRef (member un1_psum0_signed 17)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_198 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_16_i (direction OUTPUT)) + ) + (contents + (instance N_16_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_16_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_16_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I2 (instanceRef N_16_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef N_16_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_16_i)) + )) + (net (rename N_16_iZ0 "N_16_i") (joined + (portRef O (instanceRef N_16_i)) + (portRef N_16_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_197 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF00FFF8FA7050")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_43 "un1_psum0_signed(43)") (joined + (portRef (member un1_psum0_signed 27)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_196 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF00FFF8FA7050")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_50 "un1_psum0_signed(50)") (joined + (portRef (member un1_psum0_signed 20)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_195 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF00FFF8FA7050")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_44 "un1_psum0_signed(44)") (joined + (portRef (member un1_psum0_signed 26)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_194 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_24_i (direction OUTPUT)) + ) + (contents + (instance N_24_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_24_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_24_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I2 (instanceRef N_24_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef N_24_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_24_i)) + )) + (net (rename N_24_iZ0 "N_24_i") (joined + (portRef O (instanceRef N_24_i)) + (portRef N_24_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_193 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF00FFF8FA7050")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_45 "un1_psum0_signed(45)") (joined + (portRef (member un1_psum0_signed 25)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_192 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_20_i (direction OUTPUT)) + ) + (contents + (instance N_20_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_20_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_20_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I2 (instanceRef N_20_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef N_20_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_20_i)) + )) + (net (rename N_20_iZ0 "N_20_i") (joined + (portRef O (instanceRef N_20_i)) + (portRef N_20_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_191 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF00FFF8FA7050")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_46 "un1_psum0_signed(46)") (joined + (portRef (member un1_psum0_signed 24)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_190 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF00FFF8FA7050")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_40 "un1_psum0_signed(40)") (joined + (portRef (member un1_psum0_signed 30)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_189 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_36_i (direction OUTPUT)) + ) + (contents + (instance N_36_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h080C0A0F")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_36_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I1 (instanceRef N_36_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I2 (instanceRef N_36_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I3 (instanceRef N_36_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_36_i)) + )) + (net (rename N_36_iZ0 "N_36_i") (joined + (portRef O (instanceRef N_36_i)) + (portRef N_36_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_188 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF00FFF8FA7050")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_59 "un1_psum0_signed(59)") (joined + (portRef (member un1_psum0_signed 11)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_187 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hF0F0FA70")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I2 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I4 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_186 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_21_i (direction OUTPUT)) + ) + (contents + (instance N_21_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_21_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_21_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I2 (instanceRef N_21_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef N_21_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_21_i)) + )) + (net (rename N_21_iZ0 "N_21_i") (joined + (portRef O (instanceRef N_21_i)) + (portRef N_21_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_185 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction OUTPUT)) + (port N_218 (direction OUTPUT)) + (port N_8_i (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port Z_32 (direction INPUT)) + ) + (contents + (instance Z_i_0_o3_1_RNIR9B5B (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (instance Z_i_0_o3_0_a0_1 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000000000001")) + ) + (instance Z_i_0_o3_0_a2 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h4000000000000000")) + ) + (instance Z_m2_0_a2_0 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h00000001")) + ) + (instance Z_i_0_o3_1 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hFFF4")) + ) + (instance g0_i_a4_0_4 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h8000000000000000")) + ) + (instance g0_i_a4_0_12 (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'h8000")) + ) + (instance Z_m2_0_a2_0_RNI7CVO9 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h8A80AAA0AAA0AAA0")) + ) + (instance g0_0_N_7L15 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h8000000000000000")) + ) + (instance g0_0_N_6L11 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h7FFFFFFF")) + ) + (instance g0_i_a4_0_13 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000080000000")) + ) + (instance g0_i_a4_0_13_N_4L5 (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'h7FFF")) + ) + (net (rename un1_psum0_signed_65 "un1_psum0_signed(65)") (joined + (portRef (member un1_psum0_signed 5)) + (portRef I0 (instanceRef Z_i_0_o3_1_RNIR9B5B)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef Z_i_0_o3_1_RNIR9B5B)) + )) + (net (rename n_186 "N_186") (joined + (portRef O (instanceRef Z_i_0_o3_1)) + (portRef I2 (instanceRef Z_i_0_o3_1_RNIR9B5B)) + (portRef N_186) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef Z_i_0_o3_1_RNIR9B5B)) + )) + (net (rename n_218 "N_218") (joined + (portRef O (instanceRef Z_m2_0_a2_0_RNI7CVO9)) + (portRef I4 (instanceRef Z_i_0_o3_1_RNIR9B5B)) + (portRef N_218) + )) + (net (rename n_8_i "N_8_i") (joined + (portRef O (instanceRef Z_i_0_o3_1_RNIR9B5B)) + (portRef N_8_i) + )) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z_m2_0_a2_0_RNI7CVO9)) + (portRef I0 (instanceRef Z_i_0_o3_0_a2)) + (portRef I0 (instanceRef Z_i_0_o3_0_a0_1)) + )) + (net (rename un1_psum0_signed_66 "un1_psum0_signed(66)") (joined + (portRef (member un1_psum0_signed 4)) + (portRef I1 (instanceRef g0_0_N_7L15)) + (portRef I0 (instanceRef Z_m2_0_a2_0)) + (portRef I1 (instanceRef Z_i_0_o3_0_a2)) + (portRef I1 (instanceRef Z_i_0_o3_0_a0_1)) + )) + (net (rename un1_psum0_signed_67 "un1_psum0_signed(67)") (joined + (portRef (member un1_psum0_signed 3)) + (portRef I2 (instanceRef g0_0_N_7L15)) + (portRef I1 (instanceRef Z_m2_0_a2_0)) + (portRef I2 (instanceRef Z_i_0_o3_0_a2)) + (portRef I2 (instanceRef Z_i_0_o3_0_a0_1)) + )) + (net (rename un1_psum0_signed_68 "un1_psum0_signed(68)") (joined + (portRef (member un1_psum0_signed 2)) + (portRef I3 (instanceRef g0_0_N_7L15)) + (portRef I2 (instanceRef Z_m2_0_a2_0)) + (portRef I3 (instanceRef Z_i_0_o3_0_a2)) + (portRef I3 (instanceRef Z_i_0_o3_0_a0_1)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I4 (instanceRef g0_0_N_7L15)) + (portRef I1 (instanceRef Z_i_0_o3_1)) + (portRef I3 (instanceRef Z_m2_0_a2_0)) + (portRef I4 (instanceRef Z_i_0_o3_0_a2)) + (portRef I4 (instanceRef Z_i_0_o3_0_a0_1)) + )) + (net (rename un1_psum0_signed_69 "un1_psum0_signed(69)") (joined + (portRef (member un1_psum0_signed 1)) + (portRef I5 (instanceRef g0_0_N_7L15)) + (portRef I4 (instanceRef Z_m2_0_a2_0)) + (portRef I5 (instanceRef Z_i_0_o3_0_a2)) + (portRef I5 (instanceRef Z_i_0_o3_0_a0_1)) + )) + (net Z_i_0_o3_0_a1_1 (joined + (portRef O (instanceRef Z_i_0_o3_0_a0_1)) + (portRef I2 (instanceRef Z_i_0_o3_1)) + )) + (net Z_i_0_o3_0_a2_1 (joined + (portRef O (instanceRef Z_i_0_o3_0_a2)) + (portRef I3 (instanceRef Z_i_0_o3_1)) + )) + (net (rename Z_m2_0_a2Z0Z_0 "Z_m2_0_a2_0") (joined + (portRef O (instanceRef Z_m2_0_a2_0)) + (portRef I2 (instanceRef Z_m2_0_a2_0_RNI7CVO9)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I0 (instanceRef g0_0_N_7L15)) + (portRef I0 (instanceRef Z_i_0_o3_1)) + )) + (net (rename un1_psum0_signed_32 "un1_psum0_signed(32)") (joined + (portRef (member un1_psum0_signed 38)) + (portRef I0 (instanceRef g0_i_a4_0_4)) + )) + (net (rename un1_psum0_signed_34 "un1_psum0_signed(34)") (joined + (portRef (member un1_psum0_signed 36)) + (portRef I1 (instanceRef g0_i_a4_0_4)) + )) + (net (rename un1_psum0_signed_35 "un1_psum0_signed(35)") (joined + (portRef (member un1_psum0_signed 35)) + (portRef I2 (instanceRef g0_i_a4_0_4)) + )) + (net (rename un1_psum0_signed_36 "un1_psum0_signed(36)") (joined + (portRef (member un1_psum0_signed 34)) + (portRef I3 (instanceRef g0_i_a4_0_4)) + )) + (net (rename un1_psum0_signed_33 "un1_psum0_signed(33)") (joined + (portRef (member un1_psum0_signed 37)) + (portRef I4 (instanceRef g0_i_a4_0_4)) + )) + (net (rename un1_psum0_signed_31 "un1_psum0_signed(31)") (joined + (portRef (member un1_psum0_signed 39)) + (portRef I5 (instanceRef g0_i_a4_0_4)) + )) + (net (rename g0_i_a4_0Z0Z_4 "g0_i_a4_0_4") (joined + (portRef O (instanceRef g0_i_a4_0_4)) + (portRef I0 (instanceRef g0_0_N_6L11)) + )) + (net (rename un1_psum0_signed_58 "un1_psum0_signed(58)") (joined + (portRef (member un1_psum0_signed 12)) + (portRef I0 (instanceRef g0_i_a4_0_12)) + )) + (net (rename un1_psum0_signed_59 "un1_psum0_signed(59)") (joined + (portRef (member un1_psum0_signed 11)) + (portRef I1 (instanceRef g0_i_a4_0_12)) + )) + (net (rename un1_psum0_signed_60 "un1_psum0_signed(60)") (joined + (portRef (member un1_psum0_signed 10)) + (portRef I2 (instanceRef g0_i_a4_0_12)) + )) + (net (rename un1_psum0_signed_61 "un1_psum0_signed(61)") (joined + (portRef (member un1_psum0_signed 9)) + (portRef I3 (instanceRef g0_i_a4_0_12)) + )) + (net (rename g0_i_a4_0Z0Z_12 "g0_i_a4_0_12") (joined + (portRef LO (instanceRef g0_i_a4_0_12)) + (portRef I4 (instanceRef g0_0_N_6L11)) + )) + (net g0_0_N_6L11_3 (joined + (portRef O (instanceRef g0_0_N_6L11)) + (portRef I1 (instanceRef Z_m2_0_a2_0_RNI7CVO9)) + )) + (net g0_0_N_7L15_3 (joined + (portRef O (instanceRef g0_0_N_7L15)) + (portRef I3 (instanceRef Z_m2_0_a2_0_RNI7CVO9)) + )) + (net (rename g0_i_a4_0Z0Z_13 "g0_i_a4_0_13") (joined + (portRef O (instanceRef g0_i_a4_0_13)) + (portRef I4 (instanceRef Z_m2_0_a2_0_RNI7CVO9)) + )) + (net (rename z_32 "Z_32") (joined + (portRef Z_32) + (portRef I5 (instanceRef Z_m2_0_a2_0_RNI7CVO9)) + )) + (net (rename un1_psum0_signed_50 "un1_psum0_signed(50)") (joined + (portRef (member un1_psum0_signed 20)) + (portRef I1 (instanceRef g0_0_N_6L11)) + )) + (net (rename un1_psum0_signed_49 "un1_psum0_signed(49)") (joined + (portRef (member un1_psum0_signed 21)) + (portRef I2 (instanceRef g0_0_N_6L11)) + )) + (net (rename un1_psum0_signed_57 "un1_psum0_signed(57)") (joined + (portRef (member un1_psum0_signed 13)) + (portRef I3 (instanceRef g0_0_N_6L11)) + )) + (net (rename un1_psum0_signed_37 "un1_psum0_signed(37)") (joined + (portRef (member un1_psum0_signed 33)) + (portRef I0 (instanceRef g0_i_a4_0_13)) + )) + (net (rename un1_psum0_signed_38 "un1_psum0_signed(38)") (joined + (portRef (member un1_psum0_signed 32)) + (portRef I1 (instanceRef g0_i_a4_0_13)) + )) + (net (rename un1_psum0_signed_51 "un1_psum0_signed(51)") (joined + (portRef (member un1_psum0_signed 19)) + (portRef I2 (instanceRef g0_i_a4_0_13)) + )) + (net (rename un1_psum0_signed_53 "un1_psum0_signed(53)") (joined + (portRef (member un1_psum0_signed 17)) + (portRef I3 (instanceRef g0_i_a4_0_13)) + )) + (net (rename un1_psum0_signed_62 "un1_psum0_signed(62)") (joined + (portRef (member un1_psum0_signed 8)) + (portRef I4 (instanceRef g0_i_a4_0_13)) + )) + (net (rename g0_i_a4_0_13_N_4LZ0Z5 "g0_i_a4_0_13_N_4L5") (joined + (portRef LO (instanceRef g0_i_a4_0_13_N_4L5)) + (portRef I5 (instanceRef g0_i_a4_0_13)) + )) + (net (rename un1_psum0_signed_52 "un1_psum0_signed(52)") (joined + (portRef (member un1_psum0_signed 18)) + (portRef I0 (instanceRef g0_i_a4_0_13_N_4L5)) + )) + (net (rename un1_psum0_signed_54 "un1_psum0_signed(54)") (joined + (portRef (member un1_psum0_signed 16)) + (portRef I1 (instanceRef g0_i_a4_0_13_N_4L5)) + )) + (net (rename un1_psum0_signed_55 "un1_psum0_signed(55)") (joined + (portRef (member un1_psum0_signed 15)) + (portRef I2 (instanceRef g0_i_a4_0_13_N_4L5)) + )) + (net (rename un1_psum0_signed_56 "un1_psum0_signed(56)") (joined + (portRef (member un1_psum0_signed 14)) + (portRef I3 (instanceRef g0_i_a4_0_13_N_4L5)) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_184 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_25_i (direction OUTPUT)) + ) + (contents + (instance N_25_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_25_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_25_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I2 (instanceRef N_25_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef N_25_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_25_i)) + )) + (net (rename N_25_iZ0 "N_25_i") (joined + (portRef O (instanceRef N_25_i)) + (portRef N_25_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_183 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_9_i (direction OUTPUT)) + ) + (contents + (instance N_9_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_9_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_9_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I2 (instanceRef N_9_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef N_9_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_9_i)) + )) + (net (rename N_9_iZ0 "N_9_i") (joined + (portRef O (instanceRef N_9_i)) + (portRef N_9_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_182 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_29_i (direction OUTPUT)) + ) + (contents + (instance N_29_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_29_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_29_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I2 (instanceRef N_29_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef N_29_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_29_i)) + )) + (net (rename N_29_iZ0 "N_29_i") (joined + (portRef O (instanceRef N_29_i)) + (portRef N_29_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_181 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_13_i (direction OUTPUT)) + ) + (contents + (instance N_13_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_13_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_13_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I2 (instanceRef N_13_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef N_13_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_13_i)) + )) + (net (rename N_13_iZ0 "N_13_i") (joined + (portRef O (instanceRef N_13_i)) + (portRef N_13_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_180 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_37_i (direction OUTPUT)) + ) + (contents + (instance N_37_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_37_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_37_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I2 (instanceRef N_37_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef N_37_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_37_i)) + )) + (net (rename N_37_iZ0 "N_37_i") (joined + (portRef O (instanceRef N_37_i)) + (portRef N_37_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_179 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_17_i (direction OUTPUT)) + ) + (contents + (instance N_17_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_17_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_17_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I2 (instanceRef N_17_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef N_17_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_17_i)) + )) + (net (rename N_17_iZ0 "N_17_i") (joined + (portRef O (instanceRef N_17_i)) + (portRef N_17_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_AND2_165 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(65:39)") 27) (direction INPUT)) + (port Z_32_0 (direction OUTPUT)) + (port Z_33_2 (direction OUTPUT)) + (port Z_32_1 (direction OUTPUT)) + (port Z_32 (direction OUTPUT)) + ) + (contents + (instance Z_32_0 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h8000000000000000")) + ) + (instance Z_33_2 (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h8000000000000000")) + ) + (instance Z_32_1 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) + ) + (instance Z_32_0_RNIM9OP1 (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h8000000000000000")) + ) + (net (rename un1_psum0_signed_39 "un1_psum0_signed(39)") (joined + (portRef (member un1_psum0_signed 26)) + (portRef I0 (instanceRef Z_32_0)) + )) + (net (rename un1_psum0_signed_40 "un1_psum0_signed(40)") (joined + (portRef (member un1_psum0_signed 25)) + (portRef I1 (instanceRef Z_32_0)) + )) + (net (rename un1_psum0_signed_42 "un1_psum0_signed(42)") (joined + (portRef (member un1_psum0_signed 23)) + (portRef I2 (instanceRef Z_32_0)) + )) + (net (rename un1_psum0_signed_43 "un1_psum0_signed(43)") (joined + (portRef (member un1_psum0_signed 22)) + (portRef I3 (instanceRef Z_32_0)) + )) + (net (rename un1_psum0_signed_41 "un1_psum0_signed(41)") (joined + (portRef (member un1_psum0_signed 24)) + (portRef I4 (instanceRef Z_32_0)) + )) + (net (rename un1_psum0_signed_44 "un1_psum0_signed(44)") (joined + (portRef (member un1_psum0_signed 21)) + (portRef I5 (instanceRef Z_32_0)) + )) + (net (rename Z_32Z0Z_0 "Z_32_0") (joined + (portRef O (instanceRef Z_32_0)) + (portRef I1 (instanceRef Z_32_0_RNIM9OP1)) + (portRef Z_32_0) + )) + (net (rename un1_psum0_signed_51 "un1_psum0_signed(51)") (joined + (portRef (member un1_psum0_signed 14)) + (portRef I0 (instanceRef Z_33_2)) + )) + (net (rename un1_psum0_signed_52 "un1_psum0_signed(52)") (joined + (portRef (member un1_psum0_signed 13)) + (portRef I1 (instanceRef Z_33_2)) + )) + (net (rename un1_psum0_signed_54 "un1_psum0_signed(54)") (joined + (portRef (member un1_psum0_signed 11)) + (portRef I2 (instanceRef Z_33_2)) + )) + (net (rename un1_psum0_signed_55 "un1_psum0_signed(55)") (joined + (portRef (member un1_psum0_signed 10)) + (portRef I3 (instanceRef Z_33_2)) + )) + (net (rename un1_psum0_signed_56 "un1_psum0_signed(56)") (joined + (portRef (member un1_psum0_signed 9)) + (portRef I4 (instanceRef Z_33_2)) + )) + (net (rename un1_psum0_signed_53 "un1_psum0_signed(53)") (joined + (portRef (member un1_psum0_signed 12)) + (portRef I5 (instanceRef Z_33_2)) + )) + (net (rename Z_33Z0Z_2 "Z_33_2") (joined + (portRef LO (instanceRef Z_33_2)) + (portRef Z_33_2) + )) + (net (rename un1_psum0_signed_46 "un1_psum0_signed(46)") (joined + (portRef (member un1_psum0_signed 19)) + (portRef I0 (instanceRef Z_32_1)) + )) + (net (rename un1_psum0_signed_47 "un1_psum0_signed(47)") (joined + (portRef (member un1_psum0_signed 18)) + (portRef I1 (instanceRef Z_32_1)) + )) + (net (rename un1_psum0_signed_45 "un1_psum0_signed(45)") (joined + (portRef (member un1_psum0_signed 20)) + (portRef I2 (instanceRef Z_32_1)) + )) + (net (rename Z_32Z0Z_1 "Z_32_1") (joined + (portRef O (instanceRef Z_32_1)) + (portRef I4 (instanceRef Z_32_0_RNIM9OP1)) + (portRef Z_32_1) + )) + (net (rename un1_psum0_signed_48 "un1_psum0_signed(48)") (joined + (portRef (member un1_psum0_signed 17)) + (portRef I0 (instanceRef Z_32_0_RNIM9OP1)) + )) + (net (rename un1_psum0_signed_63 "un1_psum0_signed(63)") (joined + (portRef (member un1_psum0_signed 2)) + (portRef I2 (instanceRef Z_32_0_RNIM9OP1)) + )) + (net (rename un1_psum0_signed_64 "un1_psum0_signed(64)") (joined + (portRef (member un1_psum0_signed 1)) + (portRef I3 (instanceRef Z_32_0_RNIM9OP1)) + )) + (net (rename un1_psum0_signed_65 "un1_psum0_signed(65)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I5 (instanceRef Z_32_0_RNIM9OP1)) + )) + (net (rename z_32 "Z_32") (joined + (portRef LO (instanceRef Z_32_0_RNIM9OP1)) + (portRef Z_32) + )) + ) + (property orig_inst_of (string "GTECH_AND2")) + ) + ) + (cell GTECH_AND2_133 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename feedback_data "feedback_data(28:2)") 27) (direction INPUT)) + (port (array (rename data_out_final_3 "data_out_final_3(22:0)") 23) (direction OUTPUT)) + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:21)") 50) (direction INPUT)) + (port (array (rename psum1_saved "psum1_saved(20:0)") 21) (direction INPUT)) + (port (array (rename feedback_inc_data "feedback_inc_data(35:1)") 35) (direction INPUT)) + (port B0_product_signed_0 (direction INPUT)) + (port rstn (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_6_i (direction OUTPUT)) + (port N_10_i (direction OUTPUT)) + (port N_14_i (direction OUTPUT)) + (port N_18_i (direction OUTPUT)) + (port N_22_i (direction OUTPUT)) + (port N_26_i (direction OUTPUT)) + (port N_30_i (direction OUTPUT)) + (port N_34_i (direction OUTPUT)) + (port N_38_i (direction OUTPUT)) + (port N_42_i (direction OUTPUT)) + (port N_46_i (direction OUTPUT)) + (port data_out_final_3_6_1 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction OUTPUT)) + (port feedback_to_big (direction INPUT)) + (port N_68_i (direction OUTPUT)) + (port data_out_final_3_36 (direction OUTPUT)) + ) + (contents + (instance N_6_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h080A0000080A080A")) + ) + (instance N_10_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h08000A0008080A0A")) + ) + (instance N_14_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h08000A0008080A0A")) + ) + (instance N_18_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h08000A0008080A0A")) + ) + (instance N_22_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h08000A0008080A0A")) + ) + (instance N_26_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h08000A0008080A0A")) + ) + (instance N_30_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h08000A0008080A0A")) + ) + (instance N_34_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h08000A0008080A0A")) + ) + (instance N_38_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h008000A0008800AA")) + ) + (instance N_42_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h008000A0008800AA")) + ) + (instance N_46_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h008000A0008800AA")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_12 "gO_6.FINAL_OUT_REGS.data_out_final_3[12]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_13 "gO_6.FINAL_OUT_REGS.data_out_final_3[13]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_14 "gO_6.FINAL_OUT_REGS.data_out_final_3[14]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_19 "gO_6.FINAL_OUT_REGS.data_out_final_3[19]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_28 "gO_6.FINAL_OUT_REGS.data_out_final_3[28]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_22 "gO_6.FINAL_OUT_REGS.data_out_final_3[22]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_15 "gO_6.FINAL_OUT_REGS.data_out_final_3[15]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_10 "gO_6.FINAL_OUT_REGS.data_out_final_3[10]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_9 "gO_6.FINAL_OUT_REGS.data_out_final_3[9]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_8 "gO_6.FINAL_OUT_REGS.data_out_final_3[8]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_7 "gO_6.FINAL_OUT_REGS.data_out_final_3[7]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_4 "gO_6.FINAL_OUT_REGS.data_out_final_3[4]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_3 "gO_6.FINAL_OUT_REGS.data_out_final_3[3]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_2 "gO_6.FINAL_OUT_REGS.data_out_final_3[2]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_0 "gO_6.FINAL_OUT_REGS.data_out_final_3[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0000AAAA28A02828")) + ) + (instance N_68_i (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance Z_i_0_o3_28 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFFFFE")) + ) + (instance Z_i_0_o3_28_3 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFDFFFFFFFE")) + ) + (instance Z_i_0_o3_28_3_1 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFFFFE")) + ) + (instance Z_i_0_o3_28_0 (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFFFFE")) + ) + (instance Z_i_0_o3_28_2 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFFFFE")) + ) + (instance Z_i_0_o3_28_3_4 (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hFFFFFFFE")) + ) + (instance Z_i_0_o3_28_1_2 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'hE")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_35 "gO_6.FINAL_OUT_REGS.data_out_final_3[35]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hAA00AA00AA882A00")) + ) + (net rstn (joined + (portRef rstn) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_35)) + (portRef I0 (instanceRef N_68_i)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_0)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_2)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_3)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_4)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_7)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_8)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_9)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_10)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_15)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_22)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_28)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_19)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_14)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_13)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_12)) + (portRef I0 (instanceRef N_46_i)) + (portRef I0 (instanceRef N_42_i)) + (portRef I0 (instanceRef N_38_i)) + (portRef I0 (instanceRef N_34_i)) + (portRef I0 (instanceRef N_30_i)) + (portRef I0 (instanceRef N_26_i)) + (portRef I0 (instanceRef N_22_i)) + (portRef I0 (instanceRef N_18_i)) + (portRef I0 (instanceRef N_14_i)) + (portRef I0 (instanceRef N_10_i)) + (portRef I0 (instanceRef N_6_i)) + )) + (net (rename un1_psum0_signed_65 "un1_psum0_signed(65)") (joined + (portRef (member un1_psum0_signed 5)) + (portRef I1 (instanceRef N_6_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I3 (instanceRef N_46_i)) + (portRef I3 (instanceRef N_42_i)) + (portRef I3 (instanceRef N_38_i)) + (portRef I2 (instanceRef N_34_i)) + (portRef I2 (instanceRef N_30_i)) + (portRef I2 (instanceRef N_26_i)) + (portRef I2 (instanceRef N_22_i)) + (portRef I2 (instanceRef N_18_i)) + (portRef I2 (instanceRef N_14_i)) + (portRef I2 (instanceRef N_10_i)) + (portRef I2 (instanceRef N_6_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I4 (instanceRef N_46_i)) + (portRef I4 (instanceRef N_42_i)) + (portRef I4 (instanceRef N_38_i)) + (portRef I4 (instanceRef N_34_i)) + (portRef I4 (instanceRef N_30_i)) + (portRef I4 (instanceRef N_26_i)) + (portRef I4 (instanceRef N_22_i)) + (portRef I4 (instanceRef N_18_i)) + (portRef I4 (instanceRef N_14_i)) + (portRef I4 (instanceRef N_10_i)) + (portRef I3 (instanceRef N_6_i)) + )) + (net (rename feedback_inc_data_34 "feedback_inc_data(34)") (joined + (portRef (member feedback_inc_data 1)) + (portRef I4 (instanceRef N_6_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I5 (instanceRef N_46_i)) + (portRef I5 (instanceRef N_42_i)) + (portRef I5 (instanceRef N_38_i)) + (portRef I5 (instanceRef N_34_i)) + (portRef I5 (instanceRef N_30_i)) + (portRef I5 (instanceRef N_26_i)) + (portRef I5 (instanceRef N_22_i)) + (portRef I5 (instanceRef N_18_i)) + (portRef I5 (instanceRef N_14_i)) + (portRef I5 (instanceRef N_10_i)) + (portRef I5 (instanceRef N_6_i)) + )) + (net (rename N_6_iZ0 "N_6_i") (joined + (portRef LO (instanceRef N_6_i)) + (portRef N_6_i) + )) + (net (rename un1_psum0_signed_62 "un1_psum0_signed(62)") (joined + (portRef (member un1_psum0_signed 8)) + (portRef I1 (instanceRef N_10_i)) + )) + (net (rename feedback_inc_data_31 "feedback_inc_data(31)") (joined + (portRef (member feedback_inc_data 4)) + (portRef I3 (instanceRef N_10_i)) + )) + (net (rename N_10_iZ0 "N_10_i") (joined + (portRef LO (instanceRef N_10_i)) + (portRef N_10_i) + )) + (net (rename un1_psum0_signed_60 "un1_psum0_signed(60)") (joined + (portRef (member un1_psum0_signed 10)) + (portRef I1 (instanceRef N_14_i)) + )) + (net (rename feedback_inc_data_29 "feedback_inc_data(29)") (joined + (portRef (member feedback_inc_data 6)) + (portRef I3 (instanceRef N_14_i)) + )) + (net (rename N_14_iZ0 "N_14_i") (joined + (portRef LO (instanceRef N_14_i)) + (portRef N_14_i) + )) + (net (rename un1_psum0_signed_52 "un1_psum0_signed(52)") (joined + (portRef (member un1_psum0_signed 18)) + (portRef I1 (instanceRef N_18_i)) + )) + (net (rename feedback_inc_data_21 "feedback_inc_data(21)") (joined + (portRef (member feedback_inc_data 14)) + (portRef I3 (instanceRef N_18_i)) + )) + (net (rename N_18_iZ0 "N_18_i") (joined + (portRef LO (instanceRef N_18_i)) + (portRef N_18_i) + )) + (net (rename un1_psum0_signed_51 "un1_psum0_signed(51)") (joined + (portRef (member un1_psum0_signed 19)) + (portRef I1 (instanceRef N_22_i)) + )) + (net (rename feedback_inc_data_20 "feedback_inc_data(20)") (joined + (portRef (member feedback_inc_data 15)) + (portRef I3 (instanceRef N_22_i)) + )) + (net (rename N_22_iZ0 "N_22_i") (joined + (portRef LO (instanceRef N_22_i)) + (portRef N_22_i) + )) + (net (rename un1_psum0_signed_49 "un1_psum0_signed(49)") (joined + (portRef (member un1_psum0_signed 21)) + (portRef I1 (instanceRef N_26_i)) + )) + (net (rename feedback_inc_data_18 "feedback_inc_data(18)") (joined + (portRef (member feedback_inc_data 17)) + (portRef I3 (instanceRef N_26_i)) + )) + (net (rename N_26_iZ0 "N_26_i") (joined + (portRef LO (instanceRef N_26_i)) + (portRef N_26_i) + )) + (net (rename un1_psum0_signed_48 "un1_psum0_signed(48)") (joined + (portRef (member un1_psum0_signed 22)) + (portRef I1 (instanceRef N_30_i)) + )) + (net (rename feedback_inc_data_17 "feedback_inc_data(17)") (joined + (portRef (member feedback_inc_data 18)) + (portRef I3 (instanceRef N_30_i)) + )) + (net (rename N_30_iZ0 "N_30_i") (joined + (portRef LO (instanceRef N_30_i)) + (portRef N_30_i) + )) + (net (rename un1_psum0_signed_47 "un1_psum0_signed(47)") (joined + (portRef (member un1_psum0_signed 23)) + (portRef I1 (instanceRef N_34_i)) + )) + (net (rename feedback_inc_data_16 "feedback_inc_data(16)") (joined + (portRef (member feedback_inc_data 19)) + (portRef I3 (instanceRef N_34_i)) + )) + (net (rename N_34_iZ0 "N_34_i") (joined + (portRef LO (instanceRef N_34_i)) + (portRef N_34_i) + )) + (net (rename un1_psum0_signed_37 "un1_psum0_signed(37)") (joined + (portRef (member un1_psum0_signed 33)) + (portRef I1 (instanceRef N_38_i)) + )) + (net (rename feedback_inc_data_6 "feedback_inc_data(6)") (joined + (portRef (member feedback_inc_data 29)) + (portRef I2 (instanceRef N_38_i)) + )) + (net (rename N_38_iZ0 "N_38_i") (joined + (portRef LO (instanceRef N_38_i)) + (portRef N_38_i) + )) + (net (rename un1_psum0_signed_36 "un1_psum0_signed(36)") (joined + (portRef (member un1_psum0_signed 34)) + (portRef I1 (instanceRef N_42_i)) + )) + (net (rename feedback_inc_data_5 "feedback_inc_data(5)") (joined + (portRef (member feedback_inc_data 30)) + (portRef I2 (instanceRef N_42_i)) + )) + (net (rename N_42_iZ0 "N_42_i") (joined + (portRef LO (instanceRef N_42_i)) + (portRef N_42_i) + )) + (net (rename un1_psum0_signed_32 "un1_psum0_signed(32)") (joined + (portRef (member un1_psum0_signed 38)) + (portRef I1 (instanceRef N_46_i)) + )) + (net (rename feedback_inc_data_1 "feedback_inc_data(1)") (joined + (portRef (member feedback_inc_data 34)) + (portRef I2 (instanceRef N_46_i)) + )) + (net (rename N_46_iZ0 "N_46_i") (joined + (portRef LO (instanceRef N_46_i)) + (portRef N_46_i) + )) + (net (rename feedback_data_12 "feedback_data(12)") (joined + (portRef (member feedback_data 16)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_12)) + )) + (net (rename data_out_final_3_12 "data_out_final_3(12)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_12)) + (portRef (member data_out_final_3 10)) + )) + (net (rename feedback_data_13 "feedback_data(13)") (joined + (portRef (member feedback_data 15)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_13)) + )) + (net (rename data_out_final_3_13 "data_out_final_3(13)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_13)) + (portRef (member data_out_final_3 9)) + )) + (net (rename feedback_data_14 "feedback_data(14)") (joined + (portRef (member feedback_data 14)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_14)) + )) + (net (rename data_out_final_3_14 "data_out_final_3(14)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_14)) + (portRef (member data_out_final_3 8)) + )) + (net (rename feedback_data_19 "feedback_data(19)") (joined + (portRef (member feedback_data 9)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_19)) + )) + (net (rename data_out_final_3_19 "data_out_final_3(19)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_19)) + (portRef (member data_out_final_3 3)) + )) + (net (rename feedback_data_28 "feedback_data(28)") (joined + (portRef (member feedback_data 0)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_28)) + )) + (net data_out_final_3_6_1 (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_28)) + (portRef data_out_final_3_6_1) + )) + (net (rename feedback_data_22 "feedback_data(22)") (joined + (portRef (member feedback_data 6)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_22)) + )) + (net (rename data_out_final_3_22 "data_out_final_3(22)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_22)) + (portRef (member data_out_final_3 0)) + )) + (net (rename feedback_data_15 "feedback_data(15)") (joined + (portRef (member feedback_data 13)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_15)) + )) + (net (rename data_out_final_3_15 "data_out_final_3(15)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_15)) + (portRef (member data_out_final_3 7)) + )) + (net (rename feedback_data_10 "feedback_data(10)") (joined + (portRef (member feedback_data 18)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_10)) + )) + (net (rename data_out_final_3_10 "data_out_final_3(10)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_10)) + (portRef (member data_out_final_3 12)) + )) + (net (rename feedback_data_9 "feedback_data(9)") (joined + (portRef (member feedback_data 19)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_9)) + )) + (net (rename data_out_final_3_9 "data_out_final_3(9)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_9)) + (portRef (member data_out_final_3 13)) + )) + (net (rename feedback_data_8 "feedback_data(8)") (joined + (portRef (member feedback_data 20)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_8)) + )) + (net (rename data_out_final_3_8 "data_out_final_3(8)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_8)) + (portRef (member data_out_final_3 14)) + )) + (net (rename feedback_data_7 "feedback_data(7)") (joined + (portRef (member feedback_data 21)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_7)) + )) + (net (rename data_out_final_3_7 "data_out_final_3(7)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_7)) + (portRef (member data_out_final_3 15)) + )) + (net (rename feedback_data_4 "feedback_data(4)") (joined + (portRef (member feedback_data 24)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_4)) + )) + (net (rename data_out_final_3_4 "data_out_final_3(4)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_4)) + (portRef (member data_out_final_3 18)) + )) + (net (rename feedback_data_3 "feedback_data(3)") (joined + (portRef (member feedback_data 25)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_3)) + )) + (net (rename data_out_final_3_3 "data_out_final_3(3)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_3)) + (portRef (member data_out_final_3 19)) + )) + (net (rename feedback_data_2 "feedback_data(2)") (joined + (portRef (member feedback_data 26)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_2)) + )) + (net (rename data_out_final_3_2 "data_out_final_3(2)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_2)) + (portRef (member data_out_final_3 20)) + )) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_35)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_0)) + )) + (net (rename un1_psum0_signed_31 "un1_psum0_signed(31)") (joined + (portRef (member un1_psum0_signed 39)) + (portRef I2 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_0)) + )) + (net (rename Z_i_0_o3Z0Z_28 "Z_i_0_o3_28") (joined + (portRef O (instanceRef Z_i_0_o3_28)) + (portRef I2 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_35)) + (portRef I3 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_0)) + (portRef Z_i_0_o3_28) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_35)) + (portRef I4 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_0)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_35)) + (portRef I1 (instanceRef N_68_i)) + (portRef I5 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_0)) + )) + (net (rename data_out_final_3_0 "data_out_final_3(0)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_0)) + (portRef (member data_out_final_3 22)) + )) + (net (rename N_68_iZ0 "N_68_i") (joined + (portRef LO (instanceRef N_68_i)) + (portRef N_68_i) + )) + (net (rename un1_psum0_signed_21 "un1_psum0_signed(21)") (joined + (portRef (member un1_psum0_signed 49)) + (portRef I0 (instanceRef Z_i_0_o3_28)) + )) + (net (rename Z_i_0_o3_28Z0Z_3 "Z_i_0_o3_28_3") (joined + (portRef O (instanceRef Z_i_0_o3_28_3)) + (portRef I1 (instanceRef Z_i_0_o3_28)) + )) + (net (rename un1_psum0_signed_22 "un1_psum0_signed(22)") (joined + (portRef (member un1_psum0_signed 48)) + (portRef I2 (instanceRef Z_i_0_o3_28)) + )) + (net (rename un1_psum0_signed_23 "un1_psum0_signed(23)") (joined + (portRef (member un1_psum0_signed 47)) + (portRef I3 (instanceRef Z_i_0_o3_28)) + )) + (net (rename un1_psum0_signed_24 "un1_psum0_signed(24)") (joined + (portRef (member un1_psum0_signed 46)) + (portRef I4 (instanceRef Z_i_0_o3_28)) + )) + (net (rename Z_i_0_o3_28_3Z0Z_4 "Z_i_0_o3_28_3_4") (joined + (portRef LO (instanceRef Z_i_0_o3_28_3_4)) + (portRef I5 (instanceRef Z_i_0_o3_28)) + )) + (net (rename psum1_saved_20 "psum1_saved(20)") (joined + (portRef (member psum1_saved 0)) + (portRef I0 (instanceRef Z_i_0_o3_28_3)) + )) + (net (rename psum1_saved_0 "psum1_saved(0)") (joined + (portRef (member psum1_saved 20)) + (portRef I1 (instanceRef Z_i_0_o3_28_3)) + )) + (net (rename psum1_saved_1 "psum1_saved(1)") (joined + (portRef (member psum1_saved 19)) + (portRef I2 (instanceRef Z_i_0_o3_28_3)) + )) + (net (rename Z_i_0_o3_28Z0Z_2 "Z_i_0_o3_28_2") (joined + (portRef O (instanceRef Z_i_0_o3_28_2)) + (portRef I3 (instanceRef Z_i_0_o3_28_3)) + )) + (net (rename Z_i_0_o3_28_3Z0Z_1 "Z_i_0_o3_28_3_1") (joined + (portRef O (instanceRef Z_i_0_o3_28_3_1)) + (portRef I4 (instanceRef Z_i_0_o3_28_3)) + )) + (net (rename b0_product_signed_0 "B0_product_signed_0") (joined + (portRef B0_product_signed_0) + (portRef I5 (instanceRef Z_i_0_o3_28_3)) + )) + (net (rename psum1_saved_8 "psum1_saved(8)") (joined + (portRef (member psum1_saved 12)) + (portRef I0 (instanceRef Z_i_0_o3_28_3_1)) + )) + (net (rename psum1_saved_9 "psum1_saved(9)") (joined + (portRef (member psum1_saved 11)) + (portRef I1 (instanceRef Z_i_0_o3_28_3_1)) + )) + (net (rename psum1_saved_10 "psum1_saved(10)") (joined + (portRef (member psum1_saved 10)) + (portRef I2 (instanceRef Z_i_0_o3_28_3_1)) + )) + (net (rename psum1_saved_11 "psum1_saved(11)") (joined + (portRef (member psum1_saved 9)) + (portRef I3 (instanceRef Z_i_0_o3_28_3_1)) + )) + (net (rename Z_i_0_o3_28_1Z0Z_2 "Z_i_0_o3_28_1_2") (joined + (portRef O (instanceRef Z_i_0_o3_28_1_2)) + (portRef I4 (instanceRef Z_i_0_o3_28_3_1)) + )) + (net (rename Z_i_0_o3_28Z0Z_0 "Z_i_0_o3_28_0") (joined + (portRef LO (instanceRef Z_i_0_o3_28_0)) + (portRef I5 (instanceRef Z_i_0_o3_28_3_1)) + )) + (net (rename psum1_saved_16 "psum1_saved(16)") (joined + (portRef (member psum1_saved 4)) + (portRef I0 (instanceRef Z_i_0_o3_28_0)) + )) + (net (rename psum1_saved_17 "psum1_saved(17)") (joined + (portRef (member psum1_saved 3)) + (portRef I1 (instanceRef Z_i_0_o3_28_0)) + )) + (net (rename psum1_saved_18 "psum1_saved(18)") (joined + (portRef (member psum1_saved 2)) + (portRef I2 (instanceRef Z_i_0_o3_28_0)) + )) + (net (rename psum1_saved_19 "psum1_saved(19)") (joined + (portRef (member psum1_saved 1)) + (portRef I3 (instanceRef Z_i_0_o3_28_0)) + )) + (net (rename psum1_saved_14 "psum1_saved(14)") (joined + (portRef (member psum1_saved 6)) + (portRef I4 (instanceRef Z_i_0_o3_28_0)) + )) + (net (rename psum1_saved_15 "psum1_saved(15)") (joined + (portRef (member psum1_saved 5)) + (portRef I5 (instanceRef Z_i_0_o3_28_0)) + )) + (net (rename psum1_saved_2 "psum1_saved(2)") (joined + (portRef (member psum1_saved 18)) + (portRef I0 (instanceRef Z_i_0_o3_28_2)) + )) + (net (rename psum1_saved_3 "psum1_saved(3)") (joined + (portRef (member psum1_saved 17)) + (portRef I1 (instanceRef Z_i_0_o3_28_2)) + )) + (net (rename psum1_saved_4 "psum1_saved(4)") (joined + (portRef (member psum1_saved 16)) + (portRef I2 (instanceRef Z_i_0_o3_28_2)) + )) + (net (rename psum1_saved_5 "psum1_saved(5)") (joined + (portRef (member psum1_saved 15)) + (portRef I3 (instanceRef Z_i_0_o3_28_2)) + )) + (net (rename psum1_saved_6 "psum1_saved(6)") (joined + (portRef (member psum1_saved 14)) + (portRef I4 (instanceRef Z_i_0_o3_28_2)) + )) + (net (rename psum1_saved_7 "psum1_saved(7)") (joined + (portRef (member psum1_saved 13)) + (portRef I5 (instanceRef Z_i_0_o3_28_2)) + )) + (net (rename un1_psum0_signed_26 "un1_psum0_signed(26)") (joined + (portRef (member un1_psum0_signed 44)) + (portRef I0 (instanceRef Z_i_0_o3_28_3_4)) + )) + (net (rename un1_psum0_signed_27 "un1_psum0_signed(27)") (joined + (portRef (member un1_psum0_signed 43)) + (portRef I1 (instanceRef Z_i_0_o3_28_3_4)) + )) + (net (rename un1_psum0_signed_28 "un1_psum0_signed(28)") (joined + (portRef (member un1_psum0_signed 42)) + (portRef I2 (instanceRef Z_i_0_o3_28_3_4)) + )) + (net (rename un1_psum0_signed_25 "un1_psum0_signed(25)") (joined + (portRef (member un1_psum0_signed 45)) + (portRef I3 (instanceRef Z_i_0_o3_28_3_4)) + )) + (net (rename un1_psum0_signed_29 "un1_psum0_signed(29)") (joined + (portRef (member un1_psum0_signed 41)) + (portRef I4 (instanceRef Z_i_0_o3_28_3_4)) + )) + (net (rename psum1_saved_13 "psum1_saved(13)") (joined + (portRef (member psum1_saved 7)) + (portRef I0 (instanceRef Z_i_0_o3_28_1_2)) + )) + (net (rename psum1_saved_12 "psum1_saved(12)") (joined + (portRef (member psum1_saved 8)) + (portRef I1 (instanceRef Z_i_0_o3_28_1_2)) + )) + (net (rename feedback_inc_data_35 "feedback_inc_data(35)") (joined + (portRef (member feedback_inc_data 0)) + (portRef I4 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_35)) + )) + (net data_out_final_3_36 (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_35)) + (portRef data_out_final_3_36) + )) + ) + (property orig_inst_of (string "GTECH_AND2")) + ) + ) + (cell GTECH_OR2_68 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_to_big (direction OUTPUT)) + (port Z_32_1 (direction INPUT)) + (port Z_32_0 (direction INPUT)) + (port g0_11 (direction INPUT)) + (port Z_33_2 (direction INPUT)) + ) + (contents + (instance g5 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h7FFF")) + ) + (instance g1_2 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hFFFE")) + ) + (instance g0_0 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFE54FA50FA50FA50")) + ) + (instance g2_9 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h8000000000000000")) + ) + (instance g2_4 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h8000")) + ) + (instance g2_10 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h8000000000000000")) + ) + (instance g2_14 (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h8000000000000000")) + ) + (net (rename un1_psum0_signed_66 "un1_psum0_signed(66)") (joined + (portRef (member un1_psum0_signed 4)) + (portRef I0 (instanceRef g1_2)) + (portRef I0 (instanceRef g5)) + )) + (net (rename un1_psum0_signed_67 "un1_psum0_signed(67)") (joined + (portRef (member un1_psum0_signed 3)) + (portRef I1 (instanceRef g1_2)) + (portRef I1 (instanceRef g5)) + )) + (net (rename un1_psum0_signed_68 "un1_psum0_signed(68)") (joined + (portRef (member un1_psum0_signed 2)) + (portRef I2 (instanceRef g1_2)) + (portRef I2 (instanceRef g5)) + )) + (net (rename un1_psum0_signed_69 "un1_psum0_signed(69)") (joined + (portRef (member un1_psum0_signed 1)) + (portRef I3 (instanceRef g1_2)) + (portRef I3 (instanceRef g5)) + )) + (net (rename gZ0Z5 "g5") (joined + (portRef O (instanceRef g5)) + (portRef I3 (instanceRef g0_0)) + )) + (net (rename g1Z0Z_2 "g1_2") (joined + (portRef O (instanceRef g1_2)) + (portRef I2 (instanceRef g0_0)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I0 (instanceRef g0_0)) + )) + (net (rename g2Z0Z_9 "g2_9") (joined + (portRef O (instanceRef g2_9)) + (portRef I1 (instanceRef g0_0)) + )) + (net (rename g2Z0Z_10 "g2_10") (joined + (portRef O (instanceRef g2_10)) + (portRef I4 (instanceRef g0_0)) + )) + (net (rename g2Z0Z_14 "g2_14") (joined + (portRef LO (instanceRef g2_14)) + (portRef I5 (instanceRef g0_0)) + )) + (net feedback_to_big (joined + (portRef O (instanceRef g0_0)) + (portRef feedback_to_big) + )) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef g2_9)) + )) + (net (rename un1_psum0_signed_32 "un1_psum0_signed(32)") (joined + (portRef (member un1_psum0_signed 38)) + (portRef I1 (instanceRef g2_9)) + )) + (net (rename un1_psum0_signed_33 "un1_psum0_signed(33)") (joined + (portRef (member un1_psum0_signed 37)) + (portRef I2 (instanceRef g2_9)) + )) + (net (rename g2Z0Z_4 "g2_4") (joined + (portRef O (instanceRef g2_4)) + (portRef I3 (instanceRef g2_9)) + )) + (net (rename un1_psum0_signed_48 "un1_psum0_signed(48)") (joined + (portRef (member un1_psum0_signed 22)) + (portRef I4 (instanceRef g2_9)) + )) + (net (rename z_32_1 "Z_32_1") (joined + (portRef Z_32_1) + (portRef I5 (instanceRef g2_9)) + )) + (net (rename un1_psum0_signed_36 "un1_psum0_signed(36)") (joined + (portRef (member un1_psum0_signed 34)) + (portRef I0 (instanceRef g2_4)) + )) + (net (rename un1_psum0_signed_34 "un1_psum0_signed(34)") (joined + (portRef (member un1_psum0_signed 36)) + (portRef I1 (instanceRef g2_4)) + )) + (net (rename un1_psum0_signed_31 "un1_psum0_signed(31)") (joined + (portRef (member un1_psum0_signed 39)) + (portRef I2 (instanceRef g2_4)) + )) + (net (rename un1_psum0_signed_35 "un1_psum0_signed(35)") (joined + (portRef (member un1_psum0_signed 35)) + (portRef I3 (instanceRef g2_4)) + )) + (net (rename un1_psum0_signed_50 "un1_psum0_signed(50)") (joined + (portRef (member un1_psum0_signed 20)) + (portRef I0 (instanceRef g2_10)) + )) + (net (rename un1_psum0_signed_49 "un1_psum0_signed(49)") (joined + (portRef (member un1_psum0_signed 21)) + (portRef I1 (instanceRef g2_10)) + )) + (net (rename un1_psum0_signed_64 "un1_psum0_signed(64)") (joined + (portRef (member un1_psum0_signed 6)) + (portRef I2 (instanceRef g2_10)) + )) + (net (rename un1_psum0_signed_59 "un1_psum0_signed(59)") (joined + (portRef (member un1_psum0_signed 11)) + (portRef I3 (instanceRef g2_10)) + )) + (net (rename un1_psum0_signed_57 "un1_psum0_signed(57)") (joined + (portRef (member un1_psum0_signed 13)) + (portRef I4 (instanceRef g2_10)) + )) + (net (rename un1_psum0_signed_63 "un1_psum0_signed(63)") (joined + (portRef (member un1_psum0_signed 7)) + (portRef I5 (instanceRef g2_10)) + )) + (net (rename un1_psum0_signed_37 "un1_psum0_signed(37)") (joined + (portRef (member un1_psum0_signed 33)) + (portRef I0 (instanceRef g2_14)) + )) + (net (rename un1_psum0_signed_38 "un1_psum0_signed(38)") (joined + (portRef (member un1_psum0_signed 32)) + (portRef I1 (instanceRef g2_14)) + )) + (net (rename un1_psum0_signed_65 "un1_psum0_signed(65)") (joined + (portRef (member un1_psum0_signed 5)) + (portRef I2 (instanceRef g2_14)) + )) + (net (rename z_32_0 "Z_32_0") (joined + (portRef Z_32_0) + (portRef I3 (instanceRef g2_14)) + )) + (net g0_11 (joined + (portRef g0_11) + (portRef I4 (instanceRef g2_14)) + )) + (net (rename z_33_2 "Z_33_2") (joined + (portRef Z_33_2) + (portRef I5 (instanceRef g2_14)) + )) + ) + (property orig_inst_of (string "GTECH_OR2")) + ) + ) + (cell GTECH_AND2_112 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:66)") 5) (direction INPUT)) + (port N_213 (direction OUTPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h7F00FF00")) + ) + (net (rename un1_psum0_signed_66 "un1_psum0_signed(66)") (joined + (portRef (member un1_psum0_signed 4)) + (portRef I0 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_67 "un1_psum0_signed(67)") (joined + (portRef (member un1_psum0_signed 3)) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_68 "un1_psum0_signed(68)") (joined + (portRef (member un1_psum0_signed 2)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_69 "un1_psum0_signed(69)") (joined + (portRef (member un1_psum0_signed 1)) + (portRef I4 (instanceRef Z)) + )) + (net (rename n_213 "N_213") (joined + (portRef O (instanceRef Z)) + (portRef N_213) + )) + ) + (property orig_inst_of (string "GTECH_AND2")) + ) + ) + (cell GTECH_MUX2_142 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(64:42)") 23) (direction INPUT)) + (port (array (rename feedback_inc_data "feedback_inc_data(33:11)") 23) (direction INPUT)) + (port rstn (direction INPUT)) + (port N_213 (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_153_i (direction OUTPUT)) + (port N_77_i (direction OUTPUT)) + (port N_75_i (direction OUTPUT)) + (port N_65_i (direction OUTPUT)) + (port N_61_i (direction OUTPUT)) + (port N_57_i (direction OUTPUT)) + (port N_53_i (direction OUTPUT)) + (port N_49_i (direction OUTPUT)) + (port N_45_i (direction OUTPUT)) + (port N_41_i (direction OUTPUT)) + ) + (contents + (instance N_153_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h080A080A0000080A")) + ) + (instance N_77_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h080A0000080A080A")) + ) + (instance N_75_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h080A0000080A080A")) + ) + (instance N_65_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h080A0000080A080A")) + ) + (instance N_61_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h080A0000080A080A")) + ) + (instance N_57_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h080A0000080A080A")) + ) + (instance N_53_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h080A0000080A080A")) + ) + (instance N_49_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h080A0000080A080A")) + ) + (instance N_45_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h008000A0008800AA")) + ) + (instance N_41_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h080C0A0F")) + ) + (net rstn (joined + (portRef rstn) + (portRef I0 (instanceRef N_45_i)) + (portRef I0 (instanceRef N_49_i)) + (portRef I0 (instanceRef N_53_i)) + (portRef I0 (instanceRef N_57_i)) + (portRef I0 (instanceRef N_61_i)) + (portRef I0 (instanceRef N_65_i)) + (portRef I0 (instanceRef N_75_i)) + (portRef I0 (instanceRef N_77_i)) + (portRef I0 (instanceRef N_153_i)) + )) + (net (rename un1_psum0_signed_64 "un1_psum0_signed(64)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I1 (instanceRef N_153_i)) + )) + (net (rename n_213 "N_213") (joined + (portRef N_213) + (portRef I2 (instanceRef N_41_i)) + (portRef I3 (instanceRef N_45_i)) + (portRef I2 (instanceRef N_49_i)) + (portRef I2 (instanceRef N_53_i)) + (portRef I2 (instanceRef N_57_i)) + (portRef I2 (instanceRef N_61_i)) + (portRef I2 (instanceRef N_65_i)) + (portRef I2 (instanceRef N_75_i)) + (portRef I2 (instanceRef N_77_i)) + (portRef I2 (instanceRef N_153_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I3 (instanceRef N_41_i)) + (portRef I4 (instanceRef N_45_i)) + (portRef I3 (instanceRef N_49_i)) + (portRef I3 (instanceRef N_53_i)) + (portRef I3 (instanceRef N_57_i)) + (portRef I3 (instanceRef N_61_i)) + (portRef I3 (instanceRef N_65_i)) + (portRef I3 (instanceRef N_75_i)) + (portRef I3 (instanceRef N_77_i)) + (portRef I3 (instanceRef N_153_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_41_i)) + (portRef I5 (instanceRef N_45_i)) + (portRef I5 (instanceRef N_49_i)) + (portRef I5 (instanceRef N_53_i)) + (portRef I5 (instanceRef N_57_i)) + (portRef I5 (instanceRef N_61_i)) + (portRef I5 (instanceRef N_65_i)) + (portRef I5 (instanceRef N_75_i)) + (portRef I5 (instanceRef N_77_i)) + (portRef I4 (instanceRef N_153_i)) + )) + (net (rename feedback_inc_data_33 "feedback_inc_data(33)") (joined + (portRef (member feedback_inc_data 0)) + (portRef I5 (instanceRef N_153_i)) + )) + (net (rename N_153_iZ0 "N_153_i") (joined + (portRef LO (instanceRef N_153_i)) + (portRef N_153_i) + )) + (net (rename un1_psum0_signed_63 "un1_psum0_signed(63)") (joined + (portRef (member un1_psum0_signed 1)) + (portRef I1 (instanceRef N_77_i)) + )) + (net (rename feedback_inc_data_32 "feedback_inc_data(32)") (joined + (portRef (member feedback_inc_data 1)) + (portRef I4 (instanceRef N_77_i)) + )) + (net (rename N_77_iZ0 "N_77_i") (joined + (portRef LO (instanceRef N_77_i)) + (portRef N_77_i) + )) + (net (rename un1_psum0_signed_61 "un1_psum0_signed(61)") (joined + (portRef (member un1_psum0_signed 3)) + (portRef I1 (instanceRef N_75_i)) + )) + (net (rename feedback_inc_data_30 "feedback_inc_data(30)") (joined + (portRef (member feedback_inc_data 3)) + (portRef I4 (instanceRef N_75_i)) + )) + (net (rename N_75_iZ0 "N_75_i") (joined + (portRef LO (instanceRef N_75_i)) + (portRef N_75_i) + )) + (net (rename un1_psum0_signed_58 "un1_psum0_signed(58)") (joined + (portRef (member un1_psum0_signed 6)) + (portRef I1 (instanceRef N_65_i)) + )) + (net (rename feedback_inc_data_27 "feedback_inc_data(27)") (joined + (portRef (member feedback_inc_data 6)) + (portRef I4 (instanceRef N_65_i)) + )) + (net (rename N_65_iZ0 "N_65_i") (joined + (portRef LO (instanceRef N_65_i)) + (portRef N_65_i) + )) + (net (rename un1_psum0_signed_57 "un1_psum0_signed(57)") (joined + (portRef (member un1_psum0_signed 7)) + (portRef I1 (instanceRef N_61_i)) + )) + (net (rename feedback_inc_data_26 "feedback_inc_data(26)") (joined + (portRef (member feedback_inc_data 7)) + (portRef I4 (instanceRef N_61_i)) + )) + (net (rename N_61_iZ0 "N_61_i") (joined + (portRef LO (instanceRef N_61_i)) + (portRef N_61_i) + )) + (net (rename un1_psum0_signed_56 "un1_psum0_signed(56)") (joined + (portRef (member un1_psum0_signed 8)) + (portRef I1 (instanceRef N_57_i)) + )) + (net (rename feedback_inc_data_25 "feedback_inc_data(25)") (joined + (portRef (member feedback_inc_data 8)) + (portRef I4 (instanceRef N_57_i)) + )) + (net (rename N_57_iZ0 "N_57_i") (joined + (portRef LO (instanceRef N_57_i)) + (portRef N_57_i) + )) + (net (rename un1_psum0_signed_55 "un1_psum0_signed(55)") (joined + (portRef (member un1_psum0_signed 9)) + (portRef I1 (instanceRef N_53_i)) + )) + (net (rename feedback_inc_data_24 "feedback_inc_data(24)") (joined + (portRef (member feedback_inc_data 9)) + (portRef I4 (instanceRef N_53_i)) + )) + (net (rename N_53_iZ0 "N_53_i") (joined + (portRef LO (instanceRef N_53_i)) + (portRef N_53_i) + )) + (net (rename un1_psum0_signed_54 "un1_psum0_signed(54)") (joined + (portRef (member un1_psum0_signed 10)) + (portRef I1 (instanceRef N_49_i)) + )) + (net (rename feedback_inc_data_23 "feedback_inc_data(23)") (joined + (portRef (member feedback_inc_data 10)) + (portRef I4 (instanceRef N_49_i)) + )) + (net (rename N_49_iZ0 "N_49_i") (joined + (portRef LO (instanceRef N_49_i)) + (portRef N_49_i) + )) + (net (rename un1_psum0_signed_42 "un1_psum0_signed(42)") (joined + (portRef (member un1_psum0_signed 22)) + (portRef I0 (instanceRef N_41_i)) + (portRef I1 (instanceRef N_45_i)) + )) + (net (rename feedback_inc_data_11 "feedback_inc_data(11)") (joined + (portRef (member feedback_inc_data 22)) + (portRef I1 (instanceRef N_41_i)) + (portRef I2 (instanceRef N_45_i)) + )) + (net (rename N_45_iZ0 "N_45_i") (joined + (portRef LO (instanceRef N_45_i)) + (portRef N_45_i) + )) + (net (rename N_41_iZ0 "N_41_i") (joined + (portRef O (instanceRef N_41_i)) + (portRef N_41_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_141 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port N_213 (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_28_i (direction OUTPUT)) + ) + (contents + (instance N_28_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h20302233")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_28_i)) + )) + (net (rename n_213 "N_213") (joined + (portRef N_213) + (portRef I1 (instanceRef N_28_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I2 (instanceRef N_28_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I3 (instanceRef N_28_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_28_i)) + )) + (net (rename N_28_iZ0 "N_28_i") (joined + (portRef O (instanceRef N_28_i)) + (portRef N_28_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_140 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port N_213 (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_33_i (direction OUTPUT)) + ) + (contents + (instance N_33_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_33_i)) + )) + (net (rename n_213 "N_213") (joined + (portRef N_213) + (portRef I1 (instanceRef N_33_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I2 (instanceRef N_33_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef N_33_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_33_i)) + )) + (net (rename N_33_iZ0 "N_33_i") (joined + (portRef O (instanceRef N_33_i)) + (portRef N_33_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_139 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port N_213 (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_12_i (direction OUTPUT)) + ) + (contents + (instance N_12_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_12_i)) + )) + (net (rename n_213 "N_213") (joined + (portRef N_213) + (portRef I1 (instanceRef N_12_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I2 (instanceRef N_12_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef N_12_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_12_i)) + )) + (net (rename N_12_iZ0 "N_12_i") (joined + (portRef O (instanceRef N_12_i)) + (portRef N_12_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_138 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port N_213 (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_44_i (direction OUTPUT)) + ) + (contents + (instance N_44_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h080C0A0F")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_44_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I1 (instanceRef N_44_i)) + )) + (net (rename n_213 "N_213") (joined + (portRef N_213) + (portRef I2 (instanceRef N_44_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I3 (instanceRef N_44_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_44_i)) + )) + (net (rename N_44_iZ0 "N_44_i") (joined + (portRef O (instanceRef N_44_i)) + (portRef N_44_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_137 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port N_213 (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_40_i (direction OUTPUT)) + ) + (contents + (instance N_40_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h080C0A0F")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_40_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I1 (instanceRef N_40_i)) + )) + (net (rename n_213 "N_213") (joined + (portRef N_213) + (portRef I2 (instanceRef N_40_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I3 (instanceRef N_40_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_40_i)) + )) + (net (rename N_40_iZ0 "N_40_i") (joined + (portRef O (instanceRef N_40_i)) + (portRef N_40_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_136 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h00FF6C66")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_31 "un1_psum0_signed(31)") (joined + (portRef (member un1_psum0_signed 39)) + (portRef I1 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I4 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_135 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000FFFFEC4CEE44")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_38 "un1_psum0_signed(38)") (joined + (portRef (member un1_psum0_signed 32)) + (portRef I1 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I2 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_134 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port N_213 (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_48_i (direction OUTPUT)) + ) + (contents + (instance N_48_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h080C0A0F")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_48_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I1 (instanceRef N_48_i)) + )) + (net (rename n_213 "N_213") (joined + (portRef N_213) + (portRef I2 (instanceRef N_48_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I3 (instanceRef N_48_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_48_i)) + )) + (net (rename N_48_iZ0 "N_48_i") (joined + (portRef O (instanceRef N_48_i)) + (portRef N_48_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_133 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF00FFECEE4C44")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_39 "un1_psum0_signed(39)") (joined + (portRef (member un1_psum0_signed 31)) + (portRef I1 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_132 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000FFFFE4CCE4E4")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_33 "un1_psum0_signed(33)") (joined + (portRef (member un1_psum0_signed 37)) + (portRef I1 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I2 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I3 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_131 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000FFFFE4CCE4E4")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_34 "un1_psum0_signed(34)") (joined + (portRef (member un1_psum0_signed 36)) + (portRef I1 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I2 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I3 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_130 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000FFFFE4CCE4E4")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_35 "un1_psum0_signed(35)") (joined + (portRef (member un1_psum0_signed 35)) + (portRef I1 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I2 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I3 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_129 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF00FFF8FA7050")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_41 "un1_psum0_signed(41)") (joined + (portRef (member un1_psum0_signed 29)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_128 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port N_213 (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_32_i (direction OUTPUT)) + ) + (contents + (instance N_32_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h20302233")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_32_i)) + )) + (net (rename n_213 "N_213") (joined + (portRef N_213) + (portRef I1 (instanceRef N_32_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I2 (instanceRef N_32_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I3 (instanceRef N_32_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_32_i)) + )) + (net (rename N_32_iZ0 "N_32_i") (joined + (portRef O (instanceRef N_32_i)) + (portRef N_32_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_127 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF00FFF8FA7050")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_53 "un1_psum0_signed(53)") (joined + (portRef (member un1_psum0_signed 17)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_126 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port N_213 (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_16_i (direction OUTPUT)) + ) + (contents + (instance N_16_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_16_i)) + )) + (net (rename n_213 "N_213") (joined + (portRef N_213) + (portRef I1 (instanceRef N_16_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I2 (instanceRef N_16_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef N_16_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_16_i)) + )) + (net (rename N_16_iZ0 "N_16_i") (joined + (portRef O (instanceRef N_16_i)) + (portRef N_16_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_125 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF00FFF8FA7050")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_43 "un1_psum0_signed(43)") (joined + (portRef (member un1_psum0_signed 27)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_124 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF00FFF8FA7050")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_50 "un1_psum0_signed(50)") (joined + (portRef (member un1_psum0_signed 20)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_123 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF00FFF8FA7050")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_44 "un1_psum0_signed(44)") (joined + (portRef (member un1_psum0_signed 26)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_122 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port N_213 (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_24_i (direction OUTPUT)) + ) + (contents + (instance N_24_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h20302233")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_24_i)) + )) + (net (rename n_213 "N_213") (joined + (portRef N_213) + (portRef I1 (instanceRef N_24_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I2 (instanceRef N_24_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I3 (instanceRef N_24_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_24_i)) + )) + (net (rename N_24_iZ0 "N_24_i") (joined + (portRef O (instanceRef N_24_i)) + (portRef N_24_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_121 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF00FFF8FA7050")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_45 "un1_psum0_signed(45)") (joined + (portRef (member un1_psum0_signed 25)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_120 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port N_213 (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_20_i (direction OUTPUT)) + ) + (contents + (instance N_20_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_20_i)) + )) + (net (rename n_213 "N_213") (joined + (portRef N_213) + (portRef I1 (instanceRef N_20_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I2 (instanceRef N_20_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef N_20_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_20_i)) + )) + (net (rename N_20_iZ0 "N_20_i") (joined + (portRef O (instanceRef N_20_i)) + (portRef N_20_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_119 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF00FFF8FA7050")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_46 "un1_psum0_signed(46)") (joined + (portRef (member un1_psum0_signed 24)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_118 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF00FFF8FA7050")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_40 "un1_psum0_signed(40)") (joined + (portRef (member un1_psum0_signed 30)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_117 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port N_213 (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_36_i (direction OUTPUT)) + ) + (contents + (instance N_36_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h20302233")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_36_i)) + )) + (net (rename n_213 "N_213") (joined + (portRef N_213) + (portRef I1 (instanceRef N_36_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I2 (instanceRef N_36_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I3 (instanceRef N_36_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_36_i)) + )) + (net (rename N_36_iZ0 "N_36_i") (joined + (portRef O (instanceRef N_36_i)) + (portRef N_36_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_116 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF00FFF8FA7050")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_59 "un1_psum0_signed(59)") (joined + (portRef (member un1_psum0_signed 11)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_115 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hF0FAF070")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I2 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I4 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_114 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port N_213 (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_21_i (direction OUTPUT)) + ) + (contents + (instance N_21_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_21_i)) + )) + (net (rename n_213 "N_213") (joined + (portRef N_213) + (portRef I1 (instanceRef N_21_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I2 (instanceRef N_21_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef N_21_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_21_i)) + )) + (net (rename N_21_iZ0 "N_21_i") (joined + (portRef O (instanceRef N_21_i)) + (portRef N_21_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_113 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port N_213 (direction INPUT)) + (port N_186 (direction OUTPUT)) + (port N_218 (direction OUTPUT)) + (port N_8_i (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port Z_32 (direction INPUT)) + ) + (contents + (instance Z_i_0_o3_1_RNI3GCV9 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (instance Z_i_0_o3_0_a0_1 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000000000001")) + ) + (instance Z_i_0_o3_0_a2 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h4000000000000000")) + ) + (instance Z_m2_0_a2_0 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h00000001")) + ) + (instance Z_i_0_o3_1 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hFFF4")) + ) + (instance g0_i_a4_0_4 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h8000000000000000")) + ) + (instance g0_i_a4_0_12 (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'h8000")) + ) + (instance Z_m2_0_a2_0_RNIBTI69 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hA8A820A8A8A8A8A8")) + ) + (instance g0_0_N_7L15 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h8000000000000000")) + ) + (instance g0_0_N_6L11 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h7FFFFFFF")) + ) + (instance g0_i_a4_0_13 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000080000000")) + ) + (instance g0_i_a4_0_13_N_4L5 (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'h7FFF")) + ) + (net (rename un1_psum0_signed_65 "un1_psum0_signed(65)") (joined + (portRef (member un1_psum0_signed 5)) + (portRef I0 (instanceRef Z_i_0_o3_1_RNI3GCV9)) + )) + (net (rename n_213 "N_213") (joined + (portRef N_213) + (portRef I1 (instanceRef Z_i_0_o3_1_RNI3GCV9)) + )) + (net (rename n_186 "N_186") (joined + (portRef O (instanceRef Z_i_0_o3_1)) + (portRef I2 (instanceRef Z_i_0_o3_1_RNI3GCV9)) + (portRef N_186) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef Z_i_0_o3_1_RNI3GCV9)) + )) + (net (rename n_218 "N_218") (joined + (portRef O (instanceRef Z_m2_0_a2_0_RNIBTI69)) + (portRef I4 (instanceRef Z_i_0_o3_1_RNI3GCV9)) + (portRef N_218) + )) + (net (rename n_8_i "N_8_i") (joined + (portRef O (instanceRef Z_i_0_o3_1_RNI3GCV9)) + (portRef N_8_i) + )) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z_m2_0_a2_0_RNIBTI69)) + (portRef I0 (instanceRef Z_i_0_o3_0_a2)) + (portRef I0 (instanceRef Z_i_0_o3_0_a0_1)) + )) + (net (rename un1_psum0_signed_66 "un1_psum0_signed(66)") (joined + (portRef (member un1_psum0_signed 4)) + (portRef I1 (instanceRef g0_0_N_7L15)) + (portRef I0 (instanceRef Z_m2_0_a2_0)) + (portRef I1 (instanceRef Z_i_0_o3_0_a2)) + (portRef I1 (instanceRef Z_i_0_o3_0_a0_1)) + )) + (net (rename un1_psum0_signed_67 "un1_psum0_signed(67)") (joined + (portRef (member un1_psum0_signed 3)) + (portRef I2 (instanceRef g0_0_N_7L15)) + (portRef I1 (instanceRef Z_m2_0_a2_0)) + (portRef I2 (instanceRef Z_i_0_o3_0_a2)) + (portRef I2 (instanceRef Z_i_0_o3_0_a0_1)) + )) + (net (rename un1_psum0_signed_68 "un1_psum0_signed(68)") (joined + (portRef (member un1_psum0_signed 2)) + (portRef I3 (instanceRef g0_0_N_7L15)) + (portRef I2 (instanceRef Z_m2_0_a2_0)) + (portRef I3 (instanceRef Z_i_0_o3_0_a2)) + (portRef I3 (instanceRef Z_i_0_o3_0_a0_1)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I4 (instanceRef g0_0_N_7L15)) + (portRef I1 (instanceRef Z_i_0_o3_1)) + (portRef I3 (instanceRef Z_m2_0_a2_0)) + (portRef I4 (instanceRef Z_i_0_o3_0_a2)) + (portRef I4 (instanceRef Z_i_0_o3_0_a0_1)) + )) + (net (rename un1_psum0_signed_69 "un1_psum0_signed(69)") (joined + (portRef (member un1_psum0_signed 1)) + (portRef I5 (instanceRef g0_0_N_7L15)) + (portRef I4 (instanceRef Z_m2_0_a2_0)) + (portRef I5 (instanceRef Z_i_0_o3_0_a2)) + (portRef I5 (instanceRef Z_i_0_o3_0_a0_1)) + )) + (net Z_i_0_o3_0_a1_1 (joined + (portRef O (instanceRef Z_i_0_o3_0_a0_1)) + (portRef I2 (instanceRef Z_i_0_o3_1)) + )) + (net Z_i_0_o3_0_a2_2 (joined + (portRef O (instanceRef Z_i_0_o3_0_a2)) + (portRef I3 (instanceRef Z_i_0_o3_1)) + )) + (net (rename Z_m2_0_a2Z0Z_0 "Z_m2_0_a2_0") (joined + (portRef O (instanceRef Z_m2_0_a2_0)) + (portRef I1 (instanceRef Z_m2_0_a2_0_RNIBTI69)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I0 (instanceRef g0_0_N_7L15)) + (portRef I0 (instanceRef Z_i_0_o3_1)) + )) + (net (rename un1_psum0_signed_36 "un1_psum0_signed(36)") (joined + (portRef (member un1_psum0_signed 34)) + (portRef I0 (instanceRef g0_i_a4_0_4)) + )) + (net (rename un1_psum0_signed_32 "un1_psum0_signed(32)") (joined + (portRef (member un1_psum0_signed 38)) + (portRef I1 (instanceRef g0_i_a4_0_4)) + )) + (net (rename un1_psum0_signed_31 "un1_psum0_signed(31)") (joined + (portRef (member un1_psum0_signed 39)) + (portRef I2 (instanceRef g0_i_a4_0_4)) + )) + (net (rename un1_psum0_signed_34 "un1_psum0_signed(34)") (joined + (portRef (member un1_psum0_signed 36)) + (portRef I3 (instanceRef g0_i_a4_0_4)) + )) + (net (rename un1_psum0_signed_35 "un1_psum0_signed(35)") (joined + (portRef (member un1_psum0_signed 35)) + (portRef I4 (instanceRef g0_i_a4_0_4)) + )) + (net (rename un1_psum0_signed_33 "un1_psum0_signed(33)") (joined + (portRef (member un1_psum0_signed 37)) + (portRef I5 (instanceRef g0_i_a4_0_4)) + )) + (net (rename g0_i_a4_0Z0Z_4 "g0_i_a4_0_4") (joined + (portRef O (instanceRef g0_i_a4_0_4)) + (portRef I0 (instanceRef g0_0_N_6L11)) + )) + (net (rename un1_psum0_signed_58 "un1_psum0_signed(58)") (joined + (portRef (member un1_psum0_signed 12)) + (portRef I0 (instanceRef g0_i_a4_0_12)) + )) + (net (rename un1_psum0_signed_59 "un1_psum0_signed(59)") (joined + (portRef (member un1_psum0_signed 11)) + (portRef I1 (instanceRef g0_i_a4_0_12)) + )) + (net (rename un1_psum0_signed_60 "un1_psum0_signed(60)") (joined + (portRef (member un1_psum0_signed 10)) + (portRef I2 (instanceRef g0_i_a4_0_12)) + )) + (net (rename un1_psum0_signed_61 "un1_psum0_signed(61)") (joined + (portRef (member un1_psum0_signed 9)) + (portRef I3 (instanceRef g0_i_a4_0_12)) + )) + (net (rename g0_i_a4_0Z0Z_12 "g0_i_a4_0_12") (joined + (portRef LO (instanceRef g0_i_a4_0_12)) + (portRef I4 (instanceRef g0_0_N_6L11)) + )) + (net g0_0_N_7L15_2 (joined + (portRef O (instanceRef g0_0_N_7L15)) + (portRef I2 (instanceRef Z_m2_0_a2_0_RNIBTI69)) + )) + (net (rename g0_i_a4_0Z0Z_13 "g0_i_a4_0_13") (joined + (portRef O (instanceRef g0_i_a4_0_13)) + (portRef I3 (instanceRef Z_m2_0_a2_0_RNIBTI69)) + )) + (net g0_0_N_6L11_2 (joined + (portRef O (instanceRef g0_0_N_6L11)) + (portRef I4 (instanceRef Z_m2_0_a2_0_RNIBTI69)) + )) + (net (rename z_32 "Z_32") (joined + (portRef Z_32) + (portRef I5 (instanceRef Z_m2_0_a2_0_RNIBTI69)) + )) + (net (rename un1_psum0_signed_50 "un1_psum0_signed(50)") (joined + (portRef (member un1_psum0_signed 20)) + (portRef I1 (instanceRef g0_0_N_6L11)) + )) + (net (rename un1_psum0_signed_49 "un1_psum0_signed(49)") (joined + (portRef (member un1_psum0_signed 21)) + (portRef I2 (instanceRef g0_0_N_6L11)) + )) + (net (rename un1_psum0_signed_57 "un1_psum0_signed(57)") (joined + (portRef (member un1_psum0_signed 13)) + (portRef I3 (instanceRef g0_0_N_6L11)) + )) + (net (rename un1_psum0_signed_37 "un1_psum0_signed(37)") (joined + (portRef (member un1_psum0_signed 33)) + (portRef I0 (instanceRef g0_i_a4_0_13)) + )) + (net (rename un1_psum0_signed_38 "un1_psum0_signed(38)") (joined + (portRef (member un1_psum0_signed 32)) + (portRef I1 (instanceRef g0_i_a4_0_13)) + )) + (net (rename un1_psum0_signed_51 "un1_psum0_signed(51)") (joined + (portRef (member un1_psum0_signed 19)) + (portRef I2 (instanceRef g0_i_a4_0_13)) + )) + (net (rename un1_psum0_signed_62 "un1_psum0_signed(62)") (joined + (portRef (member un1_psum0_signed 8)) + (portRef I3 (instanceRef g0_i_a4_0_13)) + )) + (net (rename un1_psum0_signed_53 "un1_psum0_signed(53)") (joined + (portRef (member un1_psum0_signed 17)) + (portRef I4 (instanceRef g0_i_a4_0_13)) + )) + (net g0_i_a4_0_13_N_4L5_2 (joined + (portRef LO (instanceRef g0_i_a4_0_13_N_4L5)) + (portRef I5 (instanceRef g0_i_a4_0_13)) + )) + (net (rename un1_psum0_signed_56 "un1_psum0_signed(56)") (joined + (portRef (member un1_psum0_signed 14)) + (portRef I0 (instanceRef g0_i_a4_0_13_N_4L5)) + )) + (net (rename un1_psum0_signed_52 "un1_psum0_signed(52)") (joined + (portRef (member un1_psum0_signed 18)) + (portRef I1 (instanceRef g0_i_a4_0_13_N_4L5)) + )) + (net (rename un1_psum0_signed_54 "un1_psum0_signed(54)") (joined + (portRef (member un1_psum0_signed 16)) + (portRef I2 (instanceRef g0_i_a4_0_13_N_4L5)) + )) + (net (rename un1_psum0_signed_55 "un1_psum0_signed(55)") (joined + (portRef (member un1_psum0_signed 15)) + (portRef I3 (instanceRef g0_i_a4_0_13_N_4L5)) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_112 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port N_213 (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_25_i (direction OUTPUT)) + ) + (contents + (instance N_25_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_25_i)) + )) + (net (rename n_213 "N_213") (joined + (portRef N_213) + (portRef I1 (instanceRef N_25_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I2 (instanceRef N_25_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef N_25_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_25_i)) + )) + (net (rename N_25_iZ0 "N_25_i") (joined + (portRef O (instanceRef N_25_i)) + (portRef N_25_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_111 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port N_213 (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_9_i (direction OUTPUT)) + ) + (contents + (instance N_9_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_9_i)) + )) + (net (rename n_213 "N_213") (joined + (portRef N_213) + (portRef I1 (instanceRef N_9_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I2 (instanceRef N_9_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef N_9_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_9_i)) + )) + (net (rename N_9_iZ0 "N_9_i") (joined + (portRef O (instanceRef N_9_i)) + (portRef N_9_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_110 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port N_213 (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_29_i (direction OUTPUT)) + ) + (contents + (instance N_29_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_29_i)) + )) + (net (rename n_213 "N_213") (joined + (portRef N_213) + (portRef I1 (instanceRef N_29_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I2 (instanceRef N_29_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef N_29_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_29_i)) + )) + (net (rename N_29_iZ0 "N_29_i") (joined + (portRef O (instanceRef N_29_i)) + (portRef N_29_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_109 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port N_213 (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_13_i (direction OUTPUT)) + ) + (contents + (instance N_13_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_13_i)) + )) + (net (rename n_213 "N_213") (joined + (portRef N_213) + (portRef I1 (instanceRef N_13_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I2 (instanceRef N_13_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef N_13_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_13_i)) + )) + (net (rename N_13_iZ0 "N_13_i") (joined + (portRef O (instanceRef N_13_i)) + (portRef N_13_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_108 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port N_213 (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_37_i (direction OUTPUT)) + ) + (contents + (instance N_37_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_37_i)) + )) + (net (rename n_213 "N_213") (joined + (portRef N_213) + (portRef I1 (instanceRef N_37_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I2 (instanceRef N_37_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef N_37_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_37_i)) + )) + (net (rename N_37_iZ0 "N_37_i") (joined + (portRef O (instanceRef N_37_i)) + (portRef N_37_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_107 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port N_213 (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_17_i (direction OUTPUT)) + ) + (contents + (instance N_17_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_17_i)) + )) + (net (rename n_213 "N_213") (joined + (portRef N_213) + (portRef I1 (instanceRef N_17_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I2 (instanceRef N_17_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef N_17_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_17_i)) + )) + (net (rename N_17_iZ0 "N_17_i") (joined + (portRef O (instanceRef N_17_i)) + (portRef N_17_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_AND2_108 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(65:39)") 27) (direction INPUT)) + (port Z_32_0 (direction OUTPUT)) + (port Z_33_2 (direction OUTPUT)) + (port Z_32_1 (direction OUTPUT)) + (port Z_32 (direction OUTPUT)) + ) + (contents + (instance Z_32_0 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h8000000000000000")) + ) + (instance Z_33_2 (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h8000000000000000")) + ) + (instance Z_32_1 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) + ) + (instance Z_32_0_RNIS9DR1 (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h8000000000000000")) + ) + (net (rename un1_psum0_signed_39 "un1_psum0_signed(39)") (joined + (portRef (member un1_psum0_signed 26)) + (portRef I0 (instanceRef Z_32_0)) + )) + (net (rename un1_psum0_signed_40 "un1_psum0_signed(40)") (joined + (portRef (member un1_psum0_signed 25)) + (portRef I1 (instanceRef Z_32_0)) + )) + (net (rename un1_psum0_signed_42 "un1_psum0_signed(42)") (joined + (portRef (member un1_psum0_signed 23)) + (portRef I2 (instanceRef Z_32_0)) + )) + (net (rename un1_psum0_signed_44 "un1_psum0_signed(44)") (joined + (portRef (member un1_psum0_signed 21)) + (portRef I3 (instanceRef Z_32_0)) + )) + (net (rename un1_psum0_signed_43 "un1_psum0_signed(43)") (joined + (portRef (member un1_psum0_signed 22)) + (portRef I4 (instanceRef Z_32_0)) + )) + (net (rename un1_psum0_signed_41 "un1_psum0_signed(41)") (joined + (portRef (member un1_psum0_signed 24)) + (portRef I5 (instanceRef Z_32_0)) + )) + (net (rename Z_32Z0Z_0 "Z_32_0") (joined + (portRef O (instanceRef Z_32_0)) + (portRef I5 (instanceRef Z_32_0_RNIS9DR1)) + (portRef Z_32_0) + )) + (net (rename un1_psum0_signed_51 "un1_psum0_signed(51)") (joined + (portRef (member un1_psum0_signed 14)) + (portRef I0 (instanceRef Z_33_2)) + )) + (net (rename un1_psum0_signed_56 "un1_psum0_signed(56)") (joined + (portRef (member un1_psum0_signed 9)) + (portRef I1 (instanceRef Z_33_2)) + )) + (net (rename un1_psum0_signed_52 "un1_psum0_signed(52)") (joined + (portRef (member un1_psum0_signed 13)) + (portRef I2 (instanceRef Z_33_2)) + )) + (net (rename un1_psum0_signed_54 "un1_psum0_signed(54)") (joined + (portRef (member un1_psum0_signed 11)) + (portRef I3 (instanceRef Z_33_2)) + )) + (net (rename un1_psum0_signed_55 "un1_psum0_signed(55)") (joined + (portRef (member un1_psum0_signed 10)) + (portRef I4 (instanceRef Z_33_2)) + )) + (net (rename un1_psum0_signed_53 "un1_psum0_signed(53)") (joined + (portRef (member un1_psum0_signed 12)) + (portRef I5 (instanceRef Z_33_2)) + )) + (net (rename Z_33Z0Z_2 "Z_33_2") (joined + (portRef LO (instanceRef Z_33_2)) + (portRef Z_33_2) + )) + (net (rename un1_psum0_signed_46 "un1_psum0_signed(46)") (joined + (portRef (member un1_psum0_signed 19)) + (portRef I0 (instanceRef Z_32_1)) + )) + (net (rename un1_psum0_signed_47 "un1_psum0_signed(47)") (joined + (portRef (member un1_psum0_signed 18)) + (portRef I1 (instanceRef Z_32_1)) + )) + (net (rename un1_psum0_signed_45 "un1_psum0_signed(45)") (joined + (portRef (member un1_psum0_signed 20)) + (portRef I2 (instanceRef Z_32_1)) + )) + (net (rename Z_32Z0Z_1 "Z_32_1") (joined + (portRef O (instanceRef Z_32_1)) + (portRef I4 (instanceRef Z_32_0_RNIS9DR1)) + (portRef Z_32_1) + )) + (net (rename un1_psum0_signed_48 "un1_psum0_signed(48)") (joined + (portRef (member un1_psum0_signed 17)) + (portRef I0 (instanceRef Z_32_0_RNIS9DR1)) + )) + (net (rename un1_psum0_signed_64 "un1_psum0_signed(64)") (joined + (portRef (member un1_psum0_signed 1)) + (portRef I1 (instanceRef Z_32_0_RNIS9DR1)) + )) + (net (rename un1_psum0_signed_63 "un1_psum0_signed(63)") (joined + (portRef (member un1_psum0_signed 2)) + (portRef I2 (instanceRef Z_32_0_RNIS9DR1)) + )) + (net (rename un1_psum0_signed_65 "un1_psum0_signed(65)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z_32_0_RNIS9DR1)) + )) + (net (rename z_32 "Z_32") (joined + (portRef LO (instanceRef Z_32_0_RNIS9DR1)) + (portRef Z_32) + )) + ) + (property orig_inst_of (string "GTECH_AND2")) + ) + ) + (cell GTECH_AND2_76 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename feedback_data "feedback_data(28:2)") 27) (direction INPUT)) + (port (array (rename data_out_final_3 "data_out_final_3(22:0)") 23) (direction OUTPUT)) + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:21)") 50) (direction INPUT)) + (port (array (rename psum1_saved "psum1_saved(20:0)") 21) (direction INPUT)) + (port (array (rename feedback_inc_data "feedback_inc_data(35:1)") 35) (direction INPUT)) + (port B0_product_signed_0 (direction INPUT)) + (port rstn (direction INPUT)) + (port N_213 (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_6_i (direction OUTPUT)) + (port N_10_i (direction OUTPUT)) + (port N_14_i (direction OUTPUT)) + (port N_18_i (direction OUTPUT)) + (port N_22_i (direction OUTPUT)) + (port N_26_i (direction OUTPUT)) + (port N_30_i (direction OUTPUT)) + (port N_34_i (direction OUTPUT)) + (port N_38_i (direction OUTPUT)) + (port N_42_i (direction OUTPUT)) + (port N_46_i (direction OUTPUT)) + (port data_out_final_3_6_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction OUTPUT)) + (port feedback_to_big (direction INPUT)) + (port N_68_i (direction OUTPUT)) + (port data_out_final_3_35 (direction OUTPUT)) + ) + (contents + (instance N_6_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h080A0000080A080A")) + ) + (instance N_10_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h080A0000080A080A")) + ) + (instance N_14_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h080A0000080A080A")) + ) + (instance N_18_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h080A0000080A080A")) + ) + (instance N_22_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h080A0000080A080A")) + ) + (instance N_26_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h08000A0008080A0A")) + ) + (instance N_30_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h08000A0008080A0A")) + ) + (instance N_34_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h08000A0008080A0A")) + ) + (instance N_38_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h008000A0008800AA")) + ) + (instance N_42_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h008000A0008800AA")) + ) + (instance N_46_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h008000A0008800AA")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_12 "gO_6.FINAL_OUT_REGS.data_out_final_3[12]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_14 "gO_6.FINAL_OUT_REGS.data_out_final_3[14]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_19 "gO_6.FINAL_OUT_REGS.data_out_final_3[19]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_22 "gO_6.FINAL_OUT_REGS.data_out_final_3[22]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_28 "gO_6.FINAL_OUT_REGS.data_out_final_3[28]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_15 "gO_6.FINAL_OUT_REGS.data_out_final_3[15]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_13 "gO_6.FINAL_OUT_REGS.data_out_final_3[13]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_10 "gO_6.FINAL_OUT_REGS.data_out_final_3[10]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_9 "gO_6.FINAL_OUT_REGS.data_out_final_3[9]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_8 "gO_6.FINAL_OUT_REGS.data_out_final_3[8]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_7 "gO_6.FINAL_OUT_REGS.data_out_final_3[7]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_4 "gO_6.FINAL_OUT_REGS.data_out_final_3[4]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_3 "gO_6.FINAL_OUT_REGS.data_out_final_3[3]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_2 "gO_6.FINAL_OUT_REGS.data_out_final_3[2]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_0 "gO_6.FINAL_OUT_REGS.data_out_final_3[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0000AAAA28A02828")) + ) + (instance N_68_i (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance Z_i_0_o3_28 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFFFFE")) + ) + (instance Z_i_0_o3_28_3 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFDFFFFFFFE")) + ) + (instance Z_i_0_o3_28_3_1 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFFFFE")) + ) + (instance Z_i_0_o3_28_0 (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFFFFE")) + ) + (instance Z_i_0_o3_28_2 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFFFFE")) + ) + (instance Z_i_0_o3_28_3_4 (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hFFFFFFFE")) + ) + (instance Z_i_0_o3_28_1_2 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'hE")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_35 "gO_6.FINAL_OUT_REGS.data_out_final_3[35]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hAA00AA00AA882A00")) + ) + (net rstn (joined + (portRef rstn) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_35)) + (portRef I0 (instanceRef N_68_i)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_0)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_2)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_3)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_4)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_7)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_8)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_9)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_10)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_13)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_15)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_28)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_22)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_19)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_14)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_12)) + (portRef I0 (instanceRef N_46_i)) + (portRef I0 (instanceRef N_42_i)) + (portRef I0 (instanceRef N_38_i)) + (portRef I0 (instanceRef N_34_i)) + (portRef I0 (instanceRef N_30_i)) + (portRef I0 (instanceRef N_26_i)) + (portRef I0 (instanceRef N_22_i)) + (portRef I0 (instanceRef N_18_i)) + (portRef I0 (instanceRef N_14_i)) + (portRef I0 (instanceRef N_10_i)) + (portRef I0 (instanceRef N_6_i)) + )) + (net (rename un1_psum0_signed_65 "un1_psum0_signed(65)") (joined + (portRef (member un1_psum0_signed 5)) + (portRef I1 (instanceRef N_6_i)) + )) + (net (rename n_213 "N_213") (joined + (portRef N_213) + (portRef I3 (instanceRef N_46_i)) + (portRef I3 (instanceRef N_42_i)) + (portRef I3 (instanceRef N_38_i)) + (portRef I2 (instanceRef N_34_i)) + (portRef I2 (instanceRef N_30_i)) + (portRef I2 (instanceRef N_26_i)) + (portRef I2 (instanceRef N_22_i)) + (portRef I2 (instanceRef N_18_i)) + (portRef I2 (instanceRef N_14_i)) + (portRef I2 (instanceRef N_10_i)) + (portRef I2 (instanceRef N_6_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I4 (instanceRef N_46_i)) + (portRef I4 (instanceRef N_42_i)) + (portRef I4 (instanceRef N_38_i)) + (portRef I4 (instanceRef N_34_i)) + (portRef I4 (instanceRef N_30_i)) + (portRef I4 (instanceRef N_26_i)) + (portRef I3 (instanceRef N_22_i)) + (portRef I3 (instanceRef N_18_i)) + (portRef I3 (instanceRef N_14_i)) + (portRef I3 (instanceRef N_10_i)) + (portRef I3 (instanceRef N_6_i)) + )) + (net (rename feedback_inc_data_34 "feedback_inc_data(34)") (joined + (portRef (member feedback_inc_data 1)) + (portRef I4 (instanceRef N_6_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I5 (instanceRef N_46_i)) + (portRef I5 (instanceRef N_42_i)) + (portRef I5 (instanceRef N_38_i)) + (portRef I5 (instanceRef N_34_i)) + (portRef I5 (instanceRef N_30_i)) + (portRef I5 (instanceRef N_26_i)) + (portRef I5 (instanceRef N_22_i)) + (portRef I5 (instanceRef N_18_i)) + (portRef I5 (instanceRef N_14_i)) + (portRef I5 (instanceRef N_10_i)) + (portRef I5 (instanceRef N_6_i)) + )) + (net (rename N_6_iZ0 "N_6_i") (joined + (portRef LO (instanceRef N_6_i)) + (portRef N_6_i) + )) + (net (rename un1_psum0_signed_62 "un1_psum0_signed(62)") (joined + (portRef (member un1_psum0_signed 8)) + (portRef I1 (instanceRef N_10_i)) + )) + (net (rename feedback_inc_data_31 "feedback_inc_data(31)") (joined + (portRef (member feedback_inc_data 4)) + (portRef I4 (instanceRef N_10_i)) + )) + (net (rename N_10_iZ0 "N_10_i") (joined + (portRef LO (instanceRef N_10_i)) + (portRef N_10_i) + )) + (net (rename un1_psum0_signed_60 "un1_psum0_signed(60)") (joined + (portRef (member un1_psum0_signed 10)) + (portRef I1 (instanceRef N_14_i)) + )) + (net (rename feedback_inc_data_29 "feedback_inc_data(29)") (joined + (portRef (member feedback_inc_data 6)) + (portRef I4 (instanceRef N_14_i)) + )) + (net (rename N_14_iZ0 "N_14_i") (joined + (portRef LO (instanceRef N_14_i)) + (portRef N_14_i) + )) + (net (rename un1_psum0_signed_52 "un1_psum0_signed(52)") (joined + (portRef (member un1_psum0_signed 18)) + (portRef I1 (instanceRef N_18_i)) + )) + (net (rename feedback_inc_data_21 "feedback_inc_data(21)") (joined + (portRef (member feedback_inc_data 14)) + (portRef I4 (instanceRef N_18_i)) + )) + (net (rename N_18_iZ0 "N_18_i") (joined + (portRef LO (instanceRef N_18_i)) + (portRef N_18_i) + )) + (net (rename un1_psum0_signed_51 "un1_psum0_signed(51)") (joined + (portRef (member un1_psum0_signed 19)) + (portRef I1 (instanceRef N_22_i)) + )) + (net (rename feedback_inc_data_20 "feedback_inc_data(20)") (joined + (portRef (member feedback_inc_data 15)) + (portRef I4 (instanceRef N_22_i)) + )) + (net (rename N_22_iZ0 "N_22_i") (joined + (portRef LO (instanceRef N_22_i)) + (portRef N_22_i) + )) + (net (rename un1_psum0_signed_49 "un1_psum0_signed(49)") (joined + (portRef (member un1_psum0_signed 21)) + (portRef I1 (instanceRef N_26_i)) + )) + (net (rename feedback_inc_data_18 "feedback_inc_data(18)") (joined + (portRef (member feedback_inc_data 17)) + (portRef I3 (instanceRef N_26_i)) + )) + (net (rename N_26_iZ0 "N_26_i") (joined + (portRef LO (instanceRef N_26_i)) + (portRef N_26_i) + )) + (net (rename un1_psum0_signed_48 "un1_psum0_signed(48)") (joined + (portRef (member un1_psum0_signed 22)) + (portRef I1 (instanceRef N_30_i)) + )) + (net (rename feedback_inc_data_17 "feedback_inc_data(17)") (joined + (portRef (member feedback_inc_data 18)) + (portRef I3 (instanceRef N_30_i)) + )) + (net (rename N_30_iZ0 "N_30_i") (joined + (portRef LO (instanceRef N_30_i)) + (portRef N_30_i) + )) + (net (rename un1_psum0_signed_47 "un1_psum0_signed(47)") (joined + (portRef (member un1_psum0_signed 23)) + (portRef I1 (instanceRef N_34_i)) + )) + (net (rename feedback_inc_data_16 "feedback_inc_data(16)") (joined + (portRef (member feedback_inc_data 19)) + (portRef I3 (instanceRef N_34_i)) + )) + (net (rename N_34_iZ0 "N_34_i") (joined + (portRef LO (instanceRef N_34_i)) + (portRef N_34_i) + )) + (net (rename un1_psum0_signed_37 "un1_psum0_signed(37)") (joined + (portRef (member un1_psum0_signed 33)) + (portRef I1 (instanceRef N_38_i)) + )) + (net (rename feedback_inc_data_6 "feedback_inc_data(6)") (joined + (portRef (member feedback_inc_data 29)) + (portRef I2 (instanceRef N_38_i)) + )) + (net (rename N_38_iZ0 "N_38_i") (joined + (portRef LO (instanceRef N_38_i)) + (portRef N_38_i) + )) + (net (rename un1_psum0_signed_36 "un1_psum0_signed(36)") (joined + (portRef (member un1_psum0_signed 34)) + (portRef I1 (instanceRef N_42_i)) + )) + (net (rename feedback_inc_data_5 "feedback_inc_data(5)") (joined + (portRef (member feedback_inc_data 30)) + (portRef I2 (instanceRef N_42_i)) + )) + (net (rename N_42_iZ0 "N_42_i") (joined + (portRef LO (instanceRef N_42_i)) + (portRef N_42_i) + )) + (net (rename un1_psum0_signed_32 "un1_psum0_signed(32)") (joined + (portRef (member un1_psum0_signed 38)) + (portRef I1 (instanceRef N_46_i)) + )) + (net (rename feedback_inc_data_1 "feedback_inc_data(1)") (joined + (portRef (member feedback_inc_data 34)) + (portRef I2 (instanceRef N_46_i)) + )) + (net (rename N_46_iZ0 "N_46_i") (joined + (portRef LO (instanceRef N_46_i)) + (portRef N_46_i) + )) + (net (rename feedback_data_12 "feedback_data(12)") (joined + (portRef (member feedback_data 16)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_12)) + )) + (net (rename data_out_final_3_12 "data_out_final_3(12)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_12)) + (portRef (member data_out_final_3 10)) + )) + (net (rename feedback_data_14 "feedback_data(14)") (joined + (portRef (member feedback_data 14)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_14)) + )) + (net (rename data_out_final_3_14 "data_out_final_3(14)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_14)) + (portRef (member data_out_final_3 8)) + )) + (net (rename feedback_data_19 "feedback_data(19)") (joined + (portRef (member feedback_data 9)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_19)) + )) + (net (rename data_out_final_3_19 "data_out_final_3(19)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_19)) + (portRef (member data_out_final_3 3)) + )) + (net (rename feedback_data_22 "feedback_data(22)") (joined + (portRef (member feedback_data 6)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_22)) + )) + (net (rename data_out_final_3_22 "data_out_final_3(22)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_22)) + (portRef (member data_out_final_3 0)) + )) + (net (rename feedback_data_28 "feedback_data(28)") (joined + (portRef (member feedback_data 0)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_28)) + )) + (net data_out_final_3_6_0 (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_28)) + (portRef data_out_final_3_6_0) + )) + (net (rename feedback_data_15 "feedback_data(15)") (joined + (portRef (member feedback_data 13)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_15)) + )) + (net (rename data_out_final_3_15 "data_out_final_3(15)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_15)) + (portRef (member data_out_final_3 7)) + )) + (net (rename feedback_data_13 "feedback_data(13)") (joined + (portRef (member feedback_data 15)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_13)) + )) + (net (rename data_out_final_3_13 "data_out_final_3(13)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_13)) + (portRef (member data_out_final_3 9)) + )) + (net (rename feedback_data_10 "feedback_data(10)") (joined + (portRef (member feedback_data 18)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_10)) + )) + (net (rename data_out_final_3_10 "data_out_final_3(10)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_10)) + (portRef (member data_out_final_3 12)) + )) + (net (rename feedback_data_9 "feedback_data(9)") (joined + (portRef (member feedback_data 19)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_9)) + )) + (net (rename data_out_final_3_9 "data_out_final_3(9)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_9)) + (portRef (member data_out_final_3 13)) + )) + (net (rename feedback_data_8 "feedback_data(8)") (joined + (portRef (member feedback_data 20)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_8)) + )) + (net (rename data_out_final_3_8 "data_out_final_3(8)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_8)) + (portRef (member data_out_final_3 14)) + )) + (net (rename feedback_data_7 "feedback_data(7)") (joined + (portRef (member feedback_data 21)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_7)) + )) + (net (rename data_out_final_3_7 "data_out_final_3(7)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_7)) + (portRef (member data_out_final_3 15)) + )) + (net (rename feedback_data_4 "feedback_data(4)") (joined + (portRef (member feedback_data 24)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_4)) + )) + (net (rename data_out_final_3_4 "data_out_final_3(4)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_4)) + (portRef (member data_out_final_3 18)) + )) + (net (rename feedback_data_3 "feedback_data(3)") (joined + (portRef (member feedback_data 25)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_3)) + )) + (net (rename data_out_final_3_3 "data_out_final_3(3)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_3)) + (portRef (member data_out_final_3 19)) + )) + (net (rename feedback_data_2 "feedback_data(2)") (joined + (portRef (member feedback_data 26)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_2)) + )) + (net (rename data_out_final_3_2 "data_out_final_3(2)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_2)) + (portRef (member data_out_final_3 20)) + )) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_35)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_0)) + )) + (net (rename un1_psum0_signed_31 "un1_psum0_signed(31)") (joined + (portRef (member un1_psum0_signed 39)) + (portRef I2 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_0)) + )) + (net (rename Z_i_0_o3Z0Z_28 "Z_i_0_o3_28") (joined + (portRef O (instanceRef Z_i_0_o3_28)) + (portRef I2 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_35)) + (portRef I3 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_0)) + (portRef Z_i_0_o3_28) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_35)) + (portRef I4 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_0)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_35)) + (portRef I1 (instanceRef N_68_i)) + (portRef I5 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_0)) + )) + (net (rename data_out_final_3_0 "data_out_final_3(0)") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_0)) + (portRef (member data_out_final_3 22)) + )) + (net (rename N_68_iZ0 "N_68_i") (joined + (portRef LO (instanceRef N_68_i)) + (portRef N_68_i) + )) + (net (rename un1_psum0_signed_21 "un1_psum0_signed(21)") (joined + (portRef (member un1_psum0_signed 49)) + (portRef I0 (instanceRef Z_i_0_o3_28)) + )) + (net (rename un1_psum0_signed_22 "un1_psum0_signed(22)") (joined + (portRef (member un1_psum0_signed 48)) + (portRef I1 (instanceRef Z_i_0_o3_28)) + )) + (net (rename Z_i_0_o3_28Z0Z_3 "Z_i_0_o3_28_3") (joined + (portRef O (instanceRef Z_i_0_o3_28_3)) + (portRef I2 (instanceRef Z_i_0_o3_28)) + )) + (net (rename un1_psum0_signed_23 "un1_psum0_signed(23)") (joined + (portRef (member un1_psum0_signed 47)) + (portRef I3 (instanceRef Z_i_0_o3_28)) + )) + (net (rename un1_psum0_signed_24 "un1_psum0_signed(24)") (joined + (portRef (member un1_psum0_signed 46)) + (portRef I4 (instanceRef Z_i_0_o3_28)) + )) + (net (rename Z_i_0_o3_28_3Z0Z_4 "Z_i_0_o3_28_3_4") (joined + (portRef LO (instanceRef Z_i_0_o3_28_3_4)) + (portRef I5 (instanceRef Z_i_0_o3_28)) + )) + (net (rename psum1_saved_20 "psum1_saved(20)") (joined + (portRef (member psum1_saved 0)) + (portRef I0 (instanceRef Z_i_0_o3_28_3)) + )) + (net (rename psum1_saved_5 "psum1_saved(5)") (joined + (portRef (member psum1_saved 15)) + (portRef I1 (instanceRef Z_i_0_o3_28_3)) + )) + (net (rename psum1_saved_6 "psum1_saved(6)") (joined + (portRef (member psum1_saved 14)) + (portRef I2 (instanceRef Z_i_0_o3_28_3)) + )) + (net (rename Z_i_0_o3_28Z0Z_2 "Z_i_0_o3_28_2") (joined + (portRef O (instanceRef Z_i_0_o3_28_2)) + (portRef I3 (instanceRef Z_i_0_o3_28_3)) + )) + (net (rename Z_i_0_o3_28_3Z0Z_1 "Z_i_0_o3_28_3_1") (joined + (portRef O (instanceRef Z_i_0_o3_28_3_1)) + (portRef I4 (instanceRef Z_i_0_o3_28_3)) + )) + (net (rename b0_product_signed_0 "B0_product_signed_0") (joined + (portRef B0_product_signed_0) + (portRef I5 (instanceRef Z_i_0_o3_28_3)) + )) + (net (rename psum1_saved_17 "psum1_saved(17)") (joined + (portRef (member psum1_saved 3)) + (portRef I0 (instanceRef Z_i_0_o3_28_3_1)) + )) + (net (rename psum1_saved_16 "psum1_saved(16)") (joined + (portRef (member psum1_saved 4)) + (portRef I1 (instanceRef Z_i_0_o3_28_3_1)) + )) + (net (rename psum1_saved_14 "psum1_saved(14)") (joined + (portRef (member psum1_saved 6)) + (portRef I2 (instanceRef Z_i_0_o3_28_3_1)) + )) + (net (rename psum1_saved_15 "psum1_saved(15)") (joined + (portRef (member psum1_saved 5)) + (portRef I3 (instanceRef Z_i_0_o3_28_3_1)) + )) + (net (rename Z_i_0_o3_28_1Z0Z_2 "Z_i_0_o3_28_1_2") (joined + (portRef O (instanceRef Z_i_0_o3_28_1_2)) + (portRef I4 (instanceRef Z_i_0_o3_28_3_1)) + )) + (net (rename Z_i_0_o3_28Z0Z_0 "Z_i_0_o3_28_0") (joined + (portRef LO (instanceRef Z_i_0_o3_28_0)) + (portRef I5 (instanceRef Z_i_0_o3_28_3_1)) + )) + (net (rename psum1_saved_7 "psum1_saved(7)") (joined + (portRef (member psum1_saved 13)) + (portRef I0 (instanceRef Z_i_0_o3_28_0)) + )) + (net (rename psum1_saved_8 "psum1_saved(8)") (joined + (portRef (member psum1_saved 12)) + (portRef I1 (instanceRef Z_i_0_o3_28_0)) + )) + (net (rename psum1_saved_0 "psum1_saved(0)") (joined + (portRef (member psum1_saved 20)) + (portRef I2 (instanceRef Z_i_0_o3_28_0)) + )) + (net (rename psum1_saved_9 "psum1_saved(9)") (joined + (portRef (member psum1_saved 11)) + (portRef I3 (instanceRef Z_i_0_o3_28_0)) + )) + (net (rename psum1_saved_10 "psum1_saved(10)") (joined + (portRef (member psum1_saved 10)) + (portRef I4 (instanceRef Z_i_0_o3_28_0)) + )) + (net (rename psum1_saved_11 "psum1_saved(11)") (joined + (portRef (member psum1_saved 9)) + (portRef I5 (instanceRef Z_i_0_o3_28_0)) + )) + (net (rename psum1_saved_18 "psum1_saved(18)") (joined + (portRef (member psum1_saved 2)) + (portRef I0 (instanceRef Z_i_0_o3_28_2)) + )) + (net (rename psum1_saved_19 "psum1_saved(19)") (joined + (portRef (member psum1_saved 1)) + (portRef I1 (instanceRef Z_i_0_o3_28_2)) + )) + (net (rename psum1_saved_1 "psum1_saved(1)") (joined + (portRef (member psum1_saved 19)) + (portRef I2 (instanceRef Z_i_0_o3_28_2)) + )) + (net (rename psum1_saved_2 "psum1_saved(2)") (joined + (portRef (member psum1_saved 18)) + (portRef I3 (instanceRef Z_i_0_o3_28_2)) + )) + (net (rename psum1_saved_3 "psum1_saved(3)") (joined + (portRef (member psum1_saved 17)) + (portRef I4 (instanceRef Z_i_0_o3_28_2)) + )) + (net (rename psum1_saved_4 "psum1_saved(4)") (joined + (portRef (member psum1_saved 16)) + (portRef I5 (instanceRef Z_i_0_o3_28_2)) + )) + (net (rename un1_psum0_signed_26 "un1_psum0_signed(26)") (joined + (portRef (member un1_psum0_signed 44)) + (portRef I0 (instanceRef Z_i_0_o3_28_3_4)) + )) + (net (rename un1_psum0_signed_28 "un1_psum0_signed(28)") (joined + (portRef (member un1_psum0_signed 42)) + (portRef I1 (instanceRef Z_i_0_o3_28_3_4)) + )) + (net (rename un1_psum0_signed_27 "un1_psum0_signed(27)") (joined + (portRef (member un1_psum0_signed 43)) + (portRef I2 (instanceRef Z_i_0_o3_28_3_4)) + )) + (net (rename un1_psum0_signed_25 "un1_psum0_signed(25)") (joined + (portRef (member un1_psum0_signed 45)) + (portRef I3 (instanceRef Z_i_0_o3_28_3_4)) + )) + (net (rename un1_psum0_signed_29 "un1_psum0_signed(29)") (joined + (portRef (member un1_psum0_signed 41)) + (portRef I4 (instanceRef Z_i_0_o3_28_3_4)) + )) + (net (rename psum1_saved_12 "psum1_saved(12)") (joined + (portRef (member psum1_saved 8)) + (portRef I0 (instanceRef Z_i_0_o3_28_1_2)) + )) + (net (rename psum1_saved_13 "psum1_saved(13)") (joined + (portRef (member psum1_saved 7)) + (portRef I1 (instanceRef Z_i_0_o3_28_1_2)) + )) + (net (rename feedback_inc_data_35 "feedback_inc_data(35)") (joined + (portRef (member feedback_inc_data 0)) + (portRef I4 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_35)) + )) + (net data_out_final_3_35 (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_35)) + (portRef data_out_final_3_35) + )) + ) + (property orig_inst_of (string "GTECH_AND2")) + ) + ) + (cell GTECH_OR2_33 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:66)") 5) (direction INPUT)) + (port g0_10 (direction INPUT)) + (port g0_11 (direction INPUT)) + (port g0_14 (direction INPUT)) + (port feedback_to_big (direction OUTPUT)) + ) + (contents + (instance g0 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFE54FA50FA50FA50")) + ) + (instance g5 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h7FFF")) + ) + (instance g1_2 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hFFFE")) + ) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I0 (instanceRef g0)) + )) + (net g0_10 (joined + (portRef g0_10) + (portRef I1 (instanceRef g0)) + )) + (net (rename g1Z0Z_2 "g1_2") (joined + (portRef O (instanceRef g1_2)) + (portRef I2 (instanceRef g0)) + )) + (net (rename gZ0Z5 "g5") (joined + (portRef O (instanceRef g5)) + (portRef I3 (instanceRef g0)) + )) + (net g0_11 (joined + (portRef g0_11) + (portRef I4 (instanceRef g0)) + )) + (net g0_14 (joined + (portRef g0_14) + (portRef I5 (instanceRef g0)) + )) + (net feedback_to_big (joined + (portRef O (instanceRef g0)) + (portRef feedback_to_big) + )) + (net (rename un1_psum0_signed_68 "un1_psum0_signed(68)") (joined + (portRef (member un1_psum0_signed 2)) + (portRef I0 (instanceRef g1_2)) + (portRef I0 (instanceRef g5)) + )) + (net (rename un1_psum0_signed_66 "un1_psum0_signed(66)") (joined + (portRef (member un1_psum0_signed 4)) + (portRef I1 (instanceRef g1_2)) + (portRef I1 (instanceRef g5)) + )) + (net (rename un1_psum0_signed_67 "un1_psum0_signed(67)") (joined + (portRef (member un1_psum0_signed 3)) + (portRef I2 (instanceRef g1_2)) + (portRef I2 (instanceRef g5)) + )) + (net (rename un1_psum0_signed_69 "un1_psum0_signed(69)") (joined + (portRef (member un1_psum0_signed 1)) + (portRef I3 (instanceRef g1_2)) + (portRef I3 (instanceRef g5)) + )) + ) + (property orig_inst_of (string "GTECH_OR2")) + ) + ) + (cell GTECH_AND2_55 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:66)") 5) (direction INPUT)) + (port feedback_to_big_neg (direction OUTPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h7F00FF00")) + ) + (net (rename un1_psum0_signed_66 "un1_psum0_signed(66)") (joined + (portRef (member un1_psum0_signed 4)) + (portRef I0 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_67 "un1_psum0_signed(67)") (joined + (portRef (member un1_psum0_signed 3)) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_68 "un1_psum0_signed(68)") (joined + (portRef (member un1_psum0_signed 2)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_69 "un1_psum0_signed(69)") (joined + (portRef (member un1_psum0_signed 1)) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big_neg (joined + (portRef O (instanceRef Z)) + (portRef feedback_to_big_neg) + )) + ) + (property orig_inst_of (string "GTECH_AND2")) + ) + ) + (cell GTECH_MUX2_70 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename feedback_inc_data "feedback_inc_data(33:11)") 23) (direction INPUT)) + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port psum0_ones_3_0 (direction INPUT)) + (port N_190 (direction OUTPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_153_i (direction OUTPUT)) + (port N_77_i (direction OUTPUT)) + (port N_75_i (direction OUTPUT)) + (port N_65_i (direction OUTPUT)) + (port N_61_i (direction OUTPUT)) + (port N_57_i (direction OUTPUT)) + (port N_53_i (direction OUTPUT)) + (port N_49_i (direction OUTPUT)) + (port N_45_i (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port rstn (direction INPUT)) + (port Z_32 (direction INPUT)) + (port Z_33 (direction INPUT)) + (port N_41_i (direction OUTPUT)) + ) + (contents + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIQ6Q6C_11 "gO_6.FINAL_OUT_REGS.data_out_final_3_i_o3_RNIQ6Q6C[11]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIEQD3C_11 "gO_6.FINAL_OUT_REGS.data_out_final_3_i_o3_RNIEQD3C[11]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIEQD3C_0_11 "gO_6.FINAL_OUT_REGS.data_out_final_3_i_o3_RNIEQD3C_0[11]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIOOD3C_11 "gO_6.FINAL_OUT_REGS.data_out_final_3_i_o3_RNIOOD3C[11]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIOOD3C_0_11 "gO_6.FINAL_OUT_REGS.data_out_final_3_i_o3_RNIOOD3C_0[11]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIOOD3C_1_11 "gO_6.FINAL_OUT_REGS.data_out_final_3_i_o3_RNIOOD3C_1[11]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIGOD3C_11 "gO_6.FINAL_OUT_REGS.data_out_final_3_i_o3_RNIGOD3C[11]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIGOD3C_0_11 "gO_6.FINAL_OUT_REGS.data_out_final_3_i_o3_RNIGOD3C_0[11]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIAMD3C_11 "gO_6.FINAL_OUT_REGS.data_out_final_3_i_o3_RNIAMD3C[11]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h080C0A0F")) + ) + (instance N_66_i_i_0_3 (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h00FB000000000000")) + ) + (instance N_66_i_i_3 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h27AFFFFF")) + ) + (instance N_66_i_i_0_1 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hCF55CFFFFF55FFFF")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_11 "gO_6.FINAL_OUT_REGS.data_out_final_3_i_o3[11]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h7FFF5555FFFF5555")) + ) + (instance N_66_i_i_a6 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h33333332")) + ) + (instance N_41_i (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h40FF")) + ) + (net (rename un1_psum0_signed_64 "un1_psum0_signed(64)") (joined + (portRef (member un1_psum0_signed 6)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIQ6Q6C_11)) + )) + (net (rename n_190 "N_190") (joined + (portRef O (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_11)) + (portRef I2 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIAMD3C_11)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIGOD3C_0_11)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIGOD3C_11)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIOOD3C_1_11)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIOOD3C_0_11)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIOOD3C_11)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIEQD3C_0_11)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIEQD3C_11)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIQ6Q6C_11)) + (portRef N_190) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I3 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIAMD3C_11)) + (portRef I2 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIGOD3C_0_11)) + (portRef I2 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIGOD3C_11)) + (portRef I2 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIOOD3C_1_11)) + (portRef I2 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIOOD3C_0_11)) + (portRef I2 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIOOD3C_11)) + (portRef I2 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIEQD3C_0_11)) + (portRef I2 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIEQD3C_11)) + (portRef I2 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIQ6Q6C_11)) + )) + (net (rename feedback_inc_data_33 "feedback_inc_data(33)") (joined + (portRef (member feedback_inc_data 0)) + (portRef I3 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIQ6Q6C_11)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIAMD3C_11)) + (portRef I4 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIGOD3C_0_11)) + (portRef I4 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIGOD3C_11)) + (portRef I4 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIOOD3C_1_11)) + (portRef I4 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIOOD3C_0_11)) + (portRef I4 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIOOD3C_11)) + (portRef I4 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIEQD3C_0_11)) + (portRef I4 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIEQD3C_11)) + (portRef I4 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIQ6Q6C_11)) + )) + (net (rename n_153_i "N_153_i") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIQ6Q6C_11)) + (portRef N_153_i) + )) + (net (rename un1_psum0_signed_63 "un1_psum0_signed(63)") (joined + (portRef (member un1_psum0_signed 7)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIEQD3C_11)) + )) + (net (rename feedback_inc_data_32 "feedback_inc_data(32)") (joined + (portRef (member feedback_inc_data 1)) + (portRef I3 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIEQD3C_11)) + )) + (net (rename n_77_i "N_77_i") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIEQD3C_11)) + (portRef N_77_i) + )) + (net (rename un1_psum0_signed_61 "un1_psum0_signed(61)") (joined + (portRef (member un1_psum0_signed 9)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIEQD3C_0_11)) + )) + (net (rename feedback_inc_data_30 "feedback_inc_data(30)") (joined + (portRef (member feedback_inc_data 3)) + (portRef I3 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIEQD3C_0_11)) + )) + (net (rename n_75_i "N_75_i") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIEQD3C_0_11)) + (portRef N_75_i) + )) + (net (rename un1_psum0_signed_58 "un1_psum0_signed(58)") (joined + (portRef (member un1_psum0_signed 12)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIOOD3C_11)) + )) + (net (rename feedback_inc_data_27 "feedback_inc_data(27)") (joined + (portRef (member feedback_inc_data 6)) + (portRef I3 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIOOD3C_11)) + )) + (net (rename n_65_i "N_65_i") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIOOD3C_11)) + (portRef N_65_i) + )) + (net (rename un1_psum0_signed_57 "un1_psum0_signed(57)") (joined + (portRef (member un1_psum0_signed 13)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIOOD3C_0_11)) + )) + (net (rename feedback_inc_data_26 "feedback_inc_data(26)") (joined + (portRef (member feedback_inc_data 7)) + (portRef I3 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIOOD3C_0_11)) + )) + (net (rename n_61_i "N_61_i") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIOOD3C_0_11)) + (portRef N_61_i) + )) + (net (rename un1_psum0_signed_56 "un1_psum0_signed(56)") (joined + (portRef (member un1_psum0_signed 14)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIOOD3C_1_11)) + )) + (net (rename feedback_inc_data_25 "feedback_inc_data(25)") (joined + (portRef (member feedback_inc_data 8)) + (portRef I3 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIOOD3C_1_11)) + )) + (net (rename n_57_i "N_57_i") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIOOD3C_1_11)) + (portRef N_57_i) + )) + (net (rename un1_psum0_signed_55 "un1_psum0_signed(55)") (joined + (portRef (member un1_psum0_signed 15)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIGOD3C_11)) + )) + (net (rename feedback_inc_data_24 "feedback_inc_data(24)") (joined + (portRef (member feedback_inc_data 9)) + (portRef I3 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIGOD3C_11)) + )) + (net (rename n_53_i "N_53_i") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIGOD3C_11)) + (portRef N_53_i) + )) + (net (rename un1_psum0_signed_54 "un1_psum0_signed(54)") (joined + (portRef (member un1_psum0_signed 16)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIGOD3C_0_11)) + )) + (net (rename feedback_inc_data_23 "feedback_inc_data(23)") (joined + (portRef (member feedback_inc_data 10)) + (portRef I3 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIGOD3C_0_11)) + )) + (net (rename n_49_i "N_49_i") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIGOD3C_0_11)) + (portRef N_49_i) + )) + (net (rename un1_psum0_signed_42 "un1_psum0_signed(42)") (joined + (portRef (member un1_psum0_signed 28)) + (portRef I2 (instanceRef N_66_i_i_0_1)) + (portRef I2 (instanceRef N_66_i_i_3)) + (portRef I1 (instanceRef N_66_i_i_0_3)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIAMD3C_11)) + )) + (net (rename feedback_inc_data_11 "feedback_inc_data(11)") (joined + (portRef (member feedback_inc_data 22)) + (portRef I4 (instanceRef N_66_i_i_0_1)) + (portRef I3 (instanceRef N_66_i_i_3)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIAMD3C_11)) + )) + (net (rename n_45_i "N_45_i") (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIAMD3C_11)) + (portRef N_45_i) + )) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef N_66_i_i_0_1)) + (portRef I0 (instanceRef N_66_i_i_3)) + (portRef I0 (instanceRef N_66_i_i_0_3)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I0 (instanceRef N_41_i)) + (portRef I1 (instanceRef N_66_i_i_a6)) + (portRef I4 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_11)) + (portRef I3 (instanceRef N_66_i_i_0_1)) + (portRef I2 (instanceRef N_66_i_i_0_3)) + )) + (net (rename N_66_i_i_aZ0Z6 "N_66_i_i_a6") (joined + (portRef O (instanceRef N_66_i_i_a6)) + (portRef I3 (instanceRef N_66_i_i_0_3)) + )) + (net (rename N_66_i_i_0Z0Z_1 "N_66_i_i_0_1") (joined + (portRef O (instanceRef N_66_i_i_0_1)) + (portRef I4 (instanceRef N_66_i_i_0_3)) + )) + (net N_66_i_i_2 (joined + (portRef O (instanceRef N_66_i_i_3)) + (portRef I5 (instanceRef N_66_i_i_0_3)) + )) + (net (rename N_66_i_i_0Z0Z_3 "N_66_i_i_0_3") (joined + (portRef LO (instanceRef N_66_i_i_0_3)) + (portRef I3 (instanceRef N_41_i)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef N_66_i_i_0_1)) + (portRef I1 (instanceRef N_66_i_i_3)) + )) + (net psum0_ones_3_0 (joined + (portRef psum0_ones_3_0) + (portRef I5 (instanceRef N_66_i_i_0_1)) + (portRef I4 (instanceRef N_66_i_i_3)) + )) + (net rstn (joined + (portRef rstn) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_11)) + )) + (net (rename un1_psum0_signed_66 "un1_psum0_signed(66)") (joined + (portRef (member un1_psum0_signed 4)) + (portRef I2 (instanceRef N_66_i_i_a6)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_11)) + )) + (net (rename un1_psum0_signed_67 "un1_psum0_signed(67)") (joined + (portRef (member un1_psum0_signed 3)) + (portRef I3 (instanceRef N_66_i_i_a6)) + (portRef I2 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_11)) + )) + (net (rename un1_psum0_signed_68 "un1_psum0_signed(68)") (joined + (portRef (member un1_psum0_signed 2)) + (portRef I0 (instanceRef N_66_i_i_a6)) + (portRef I3 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_11)) + )) + (net (rename un1_psum0_signed_69 "un1_psum0_signed(69)") (joined + (portRef (member un1_psum0_signed 1)) + (portRef I4 (instanceRef N_66_i_i_a6)) + (portRef I5 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_11)) + )) + (net (rename z_32 "Z_32") (joined + (portRef Z_32) + (portRef I1 (instanceRef N_41_i)) + )) + (net (rename z_33 "Z_33") (joined + (portRef Z_33) + (portRef I2 (instanceRef N_41_i)) + )) + (net (rename N_41_iZ0 "N_41_i") (joined + (portRef O (instanceRef N_41_i)) + (portRef N_41_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_69 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port Z_i_0_o3_0_a0_1 (direction INPUT)) + (port Z_i_0_o3_1_1 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_28_i (direction OUTPUT)) + ) + (contents + (instance N_28_i (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h2020203022222233")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_28_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_28_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I2 (instanceRef N_28_i)) + )) + (net (rename z_i_0_o3_0_a0_1 "Z_i_0_o3_0_a0_1") (joined + (portRef Z_i_0_o3_0_a0_1) + (portRef I3 (instanceRef N_28_i)) + )) + (net (rename z_i_0_o3_1_1 "Z_i_0_o3_1_1") (joined + (portRef Z_i_0_o3_1_1) + (portRef I4 (instanceRef N_28_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I5 (instanceRef N_28_i)) + )) + (net (rename N_28_iZ0 "N_28_i") (joined + (portRef O (instanceRef N_28_i)) + (portRef N_28_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_68 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_33_i (direction OUTPUT)) + ) + (contents + (instance N_33_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_33_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_33_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I2 (instanceRef N_33_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef N_33_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_33_i)) + )) + (net (rename N_33_iZ0 "N_33_i") (joined + (portRef O (instanceRef N_33_i)) + (portRef N_33_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_67 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_12_i (direction OUTPUT)) + ) + (contents + (instance N_12_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_12_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_12_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I2 (instanceRef N_12_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef N_12_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_12_i)) + )) + (net (rename N_12_iZ0 "N_12_i") (joined + (portRef O (instanceRef N_12_i)) + (portRef N_12_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_66 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port Z_i_0_a3_a1 (direction INPUT)) + (port N_186 (direction INPUT)) + (port Z_i_0_a3_a0 (direction INPUT)) + (port N_44_i (direction OUTPUT)) + ) + (contents + (instance N_44_i (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0A0A0F0F0A080F0C")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_44_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I1 (instanceRef N_44_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I2 (instanceRef N_44_i)) + )) + (net (rename z_i_0_a3_a1 "Z_i_0_a3_a1") (joined + (portRef Z_i_0_a3_a1) + (portRef I3 (instanceRef N_44_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I4 (instanceRef N_44_i)) + )) + (net (rename z_i_0_a3_a0 "Z_i_0_a3_a0") (joined + (portRef Z_i_0_a3_a0) + (portRef I5 (instanceRef N_44_i)) + )) + (net (rename N_44_iZ0 "N_44_i") (joined + (portRef O (instanceRef N_44_i)) + (portRef N_44_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_65 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port Z_i_0_a3_a1 (direction INPUT)) + (port N_186 (direction INPUT)) + (port Z_i_0_a3_a0 (direction INPUT)) + (port N_40_i (direction OUTPUT)) + ) + (contents + (instance N_40_i (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0A0A0F0F0A080F0C")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_40_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I1 (instanceRef N_40_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I2 (instanceRef N_40_i)) + )) + (net (rename z_i_0_a3_a1 "Z_i_0_a3_a1") (joined + (portRef Z_i_0_a3_a1) + (portRef I3 (instanceRef N_40_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I4 (instanceRef N_40_i)) + )) + (net (rename z_i_0_a3_a0 "Z_i_0_a3_a0") (joined + (portRef Z_i_0_a3_a0) + (portRef I5 (instanceRef N_40_i)) + )) + (net (rename N_40_iZ0 "N_40_i") (joined + (portRef O (instanceRef N_40_i)) + (portRef N_40_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_64 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h00FF6C66")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_31 "un1_psum0_signed(31)") (joined + (portRef (member un1_psum0_signed 39)) + (portRef I1 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I4 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_63 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000FFFFF870FA50")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_38 "un1_psum0_signed(38)") (joined + (portRef (member un1_psum0_signed 32)) + (portRef I2 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_62 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:32)") 39) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port psum0_ones_3_0 (direction INPUT)) + (port Z_i_0_a3_a1 (direction OUTPUT)) + (port Z_i_0_o3_1_1 (direction INPUT)) + (port Z_i_0_a3_a0 (direction OUTPUT)) + (port N_48_i (direction OUTPUT)) + (port Z_i_0_a3_2_0 (direction INPUT)) + (port Z_i_0_o3_0_a0_1 (direction INPUT)) + (port Z_32 (direction INPUT)) + (port Z_N_5_mux (direction INPUT)) + (port Z_33 (direction INPUT)) + (port Z_33_0 (direction INPUT)) + (port g0_10 (direction OUTPUT)) + (port g0_14 (direction OUTPUT)) + (port g0_11 (direction OUTPUT)) + (port r_N_3_mux_1 (direction OUTPUT)) + (port g0_0 (direction INPUT)) + (port g0_7 (direction INPUT)) + (port g0_8_0 (direction INPUT)) + ) + (contents + (instance Z_i_0_0_RNIT0S72 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00AA00FF00A800FC")) + ) + (instance Z_i_0_a3_a1 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h7FFFFFFEFFFFFFFF")) + ) + (instance Z_i_0_0 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h5D0C")) + ) + (instance Z_i_0_a3_a0 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) + ) + (instance g0_10 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h80000000")) + ) + (instance g0_0 (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h8000000000000000")) + ) + (instance g0_2 (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'h80")) + ) + (instance g0_3 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h8000")) + ) + (instance g0_12 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h8000")) + ) + (instance g0_13 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h8000")) + ) + (instance g0_4 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h8000")) + ) + (instance g0_5 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance g0_6 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h8000000000000000")) + ) + (instance g0_0_5 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) + ) + (instance g0_0_6 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) + ) + (instance g0_0_7 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h8000")) + ) + (instance g0_0_8 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance g0_0_9 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h0080")) + ) + (instance g0_0_9_N_2L1 (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'h7FFF")) + ) + (instance g0_11 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h80000000")) + ) + (net (rename un1_psum0_signed_32 "un1_psum0_signed(32)") (joined + (portRef (member un1_psum0_signed 38)) + (portRef I0 (instanceRef Z_i_0_0)) + (portRef I0 (instanceRef Z_i_0_0_RNIT0S72)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I1 (instanceRef Z_i_0_0_RNIT0S72)) + )) + (net (rename Z_i_0_a3_aZ0Z1 "Z_i_0_a3_a1") (joined + (portRef O (instanceRef Z_i_0_a3_a1)) + (portRef I2 (instanceRef Z_i_0_0_RNIT0S72)) + (portRef Z_i_0_a3_a1) + )) + (net (rename Z_i_0Z0Z_0 "Z_i_0_0") (joined + (portRef O (instanceRef Z_i_0_0)) + (portRef I3 (instanceRef Z_i_0_0_RNIT0S72)) + )) + (net (rename z_i_0_o3_1_1 "Z_i_0_o3_1_1") (joined + (portRef Z_i_0_o3_1_1) + (portRef I4 (instanceRef Z_i_0_0_RNIT0S72)) + )) + (net (rename Z_i_0_a3_aZ0Z0 "Z_i_0_a3_a0") (joined + (portRef O (instanceRef Z_i_0_a3_a0)) + (portRef I5 (instanceRef Z_i_0_0_RNIT0S72)) + (portRef Z_i_0_a3_a0) + )) + (net (rename n_48_i "N_48_i") (joined + (portRef O (instanceRef Z_i_0_0_RNIT0S72)) + (portRef N_48_i) + )) + (net (rename un1_psum0_signed_66 "un1_psum0_signed(66)") (joined + (portRef (member un1_psum0_signed 4)) + (portRef I0 (instanceRef Z_i_0_a3_a1)) + )) + (net (rename un1_psum0_signed_67 "un1_psum0_signed(67)") (joined + (portRef (member un1_psum0_signed 3)) + (portRef I1 (instanceRef Z_i_0_a3_a1)) + )) + (net (rename un1_psum0_signed_68 "un1_psum0_signed(68)") (joined + (portRef (member un1_psum0_signed 2)) + (portRef I2 (instanceRef Z_i_0_a3_a1)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I1 (instanceRef Z_i_0_0)) + (portRef I3 (instanceRef Z_i_0_a3_a1)) + )) + (net (rename un1_psum0_signed_69 "un1_psum0_signed(69)") (joined + (portRef (member un1_psum0_signed 1)) + (portRef I4 (instanceRef Z_i_0_a3_a1)) + )) + (net (rename z_i_0_a3_2_0 "Z_i_0_a3_2_0") (joined + (portRef Z_i_0_a3_2_0) + (portRef I5 (instanceRef Z_i_0_a3_a1)) + )) + (net psum0_ones_3_0 (joined + (portRef psum0_ones_3_0) + (portRef I2 (instanceRef Z_i_0_0)) + )) + (net (rename z_i_0_o3_0_a0_1 "Z_i_0_o3_0_a0_1") (joined + (portRef Z_i_0_o3_0_a0_1) + (portRef I3 (instanceRef Z_i_0_0)) + )) + (net (rename z_32 "Z_32") (joined + (portRef Z_32) + (portRef I0 (instanceRef Z_i_0_a3_a0)) + )) + (net (rename z_n_5_mux "Z_N_5_mux") (joined + (portRef Z_N_5_mux) + (portRef I1 (instanceRef Z_i_0_a3_a0)) + )) + (net (rename z_33 "Z_33") (joined + (portRef Z_33) + (portRef I2 (instanceRef Z_i_0_a3_a0)) + )) + (net (rename un1_psum0_signed_36 "un1_psum0_signed(36)") (joined + (portRef (member un1_psum0_signed 34)) + (portRef I0 (instanceRef g0_0_9_N_2L1)) + (portRef I0 (instanceRef g0_10)) + )) + (net (rename z_33_0 "Z_33_0") (joined + (portRef Z_33_0) + (portRef I1 (instanceRef g0_0_9_N_2L1)) + (portRef I1 (instanceRef g0_10)) + )) + (net (rename un1_psum0_signed_55 "un1_psum0_signed(55)") (joined + (portRef (member un1_psum0_signed 15)) + (portRef I2 (instanceRef g0_0_9_N_2L1)) + (portRef I2 (instanceRef g0_10)) + )) + (net (rename un1_psum0_signed_56 "un1_psum0_signed(56)") (joined + (portRef (member un1_psum0_signed 14)) + (portRef I3 (instanceRef g0_0_9_N_2L1)) + (portRef I3 (instanceRef g0_10)) + )) + (net (rename un1_psum0_signed_57 "un1_psum0_signed(57)") (joined + (portRef (member un1_psum0_signed 13)) + (portRef I0 (instanceRef g0_0_9)) + (portRef I4 (instanceRef g0_10)) + )) + (net (rename g0Z0Z_10 "g0_10") (joined + (portRef O (instanceRef g0_10)) + (portRef g0_10) + )) + (net g0_11_0 (joined + (portRef O (instanceRef g0_3)) + (portRef I0 (instanceRef g0_0)) + )) + (net (rename g0Z0Z_12 "g0_12") (joined + (portRef O (instanceRef g0_12)) + (portRef I1 (instanceRef g0_0)) + )) + (net (rename g0Z0Z_13 "g0_13") (joined + (portRef O (instanceRef g0_13)) + (portRef I2 (instanceRef g0_0)) + )) + (net g0_9_0 (joined + (portRef LO (instanceRef g0_5)) + (portRef I3 (instanceRef g0_0)) + )) + (net g0_14_0 (joined + (portRef O (instanceRef g0_4)) + (portRef I4 (instanceRef g0_0)) + )) + (net g0_10_0 (joined + (portRef LO (instanceRef g0_2)) + (portRef I5 (instanceRef g0_0)) + )) + (net g0_14 (joined + (portRef LO (instanceRef g0_0)) + (portRef g0_14) + )) + (net (rename un1_psum0_signed_37 "un1_psum0_signed(37)") (joined + (portRef (member un1_psum0_signed 33)) + (portRef I0 (instanceRef g0_0_5)) + (portRef I0 (instanceRef g0_2)) + )) + (net (rename un1_psum0_signed_39 "un1_psum0_signed(39)") (joined + (portRef (member un1_psum0_signed 31)) + (portRef I1 (instanceRef g0_2)) + )) + (net (rename un1_psum0_signed_65 "un1_psum0_signed(65)") (joined + (portRef (member un1_psum0_signed 5)) + (portRef I2 (instanceRef g0_0_5)) + (portRef I2 (instanceRef g0_2)) + )) + (net (rename un1_psum0_signed_38 "un1_psum0_signed(38)") (joined + (portRef (member un1_psum0_signed 32)) + (portRef I1 (instanceRef g0_0_5)) + (portRef I0 (instanceRef g0_3)) + )) + (net (rename un1_psum0_signed_40 "un1_psum0_signed(40)") (joined + (portRef (member un1_psum0_signed 30)) + (portRef I1 (instanceRef g0_3)) + )) + (net (rename un1_psum0_signed_41 "un1_psum0_signed(41)") (joined + (portRef (member un1_psum0_signed 29)) + (portRef I2 (instanceRef g0_3)) + )) + (net (rename un1_psum0_signed_43 "un1_psum0_signed(43)") (joined + (portRef (member un1_psum0_signed 27)) + (portRef I3 (instanceRef g0_3)) + )) + (net (rename un1_psum0_signed_44 "un1_psum0_signed(44)") (joined + (portRef (member un1_psum0_signed 26)) + (portRef I0 (instanceRef g0_12)) + )) + (net (rename un1_psum0_signed_47 "un1_psum0_signed(47)") (joined + (portRef (member un1_psum0_signed 23)) + (portRef I1 (instanceRef g0_12)) + )) + (net (rename un1_psum0_signed_42 "un1_psum0_signed(42)") (joined + (portRef (member un1_psum0_signed 28)) + (portRef I2 (instanceRef g0_12)) + )) + (net (rename un1_psum0_signed_45 "un1_psum0_signed(45)") (joined + (portRef (member un1_psum0_signed 25)) + (portRef I3 (instanceRef g0_12)) + )) + (net (rename un1_psum0_signed_48 "un1_psum0_signed(48)") (joined + (portRef (member un1_psum0_signed 22)) + (portRef I0 (instanceRef g0_13)) + )) + (net (rename un1_psum0_signed_50 "un1_psum0_signed(50)") (joined + (portRef (member un1_psum0_signed 20)) + (portRef I0 (instanceRef g0_0_6)) + (portRef I1 (instanceRef g0_13)) + )) + (net (rename un1_psum0_signed_46 "un1_psum0_signed(46)") (joined + (portRef (member un1_psum0_signed 24)) + (portRef I2 (instanceRef g0_13)) + )) + (net (rename un1_psum0_signed_49 "un1_psum0_signed(49)") (joined + (portRef (member un1_psum0_signed 21)) + (portRef I2 (instanceRef g0_0_6)) + (portRef I3 (instanceRef g0_13)) + )) + (net (rename un1_psum0_signed_51 "un1_psum0_signed(51)") (joined + (portRef (member un1_psum0_signed 19)) + (portRef I2 (instanceRef g0_0_7)) + (portRef I0 (instanceRef g0_4)) + )) + (net (rename un1_psum0_signed_52 "un1_psum0_signed(52)") (joined + (portRef (member un1_psum0_signed 18)) + (portRef I0 (instanceRef g0_0_7)) + (portRef I1 (instanceRef g0_4)) + )) + (net (rename un1_psum0_signed_54 "un1_psum0_signed(54)") (joined + (portRef (member un1_psum0_signed 16)) + (portRef I1 (instanceRef g0_0_7)) + (portRef I2 (instanceRef g0_4)) + )) + (net (rename un1_psum0_signed_53 "un1_psum0_signed(53)") (joined + (portRef (member un1_psum0_signed 17)) + (portRef I3 (instanceRef g0_0_7)) + (portRef I3 (instanceRef g0_4)) + )) + (net (rename un1_psum0_signed_64 "un1_psum0_signed(64)") (joined + (portRef (member un1_psum0_signed 6)) + (portRef I2 (instanceRef g0_0_9)) + (portRef I0 (instanceRef g0_5)) + )) + (net (rename un1_psum0_signed_63 "un1_psum0_signed(63)") (joined + (portRef (member un1_psum0_signed 7)) + (portRef I1 (instanceRef g0_0_9)) + (portRef I1 (instanceRef g0_5)) + )) + (net (rename g0_0Z0Z_6 "g0_0_6") (joined + (portRef O (instanceRef g0_0_6)) + (portRef I0 (instanceRef g0_6)) + )) + (net (rename g0_0Z0Z_7 "g0_0_7") (joined + (portRef O (instanceRef g0_0_7)) + (portRef I1 (instanceRef g0_6)) + )) + (net (rename g0_0Z0Z_5 "g0_0_5") (joined + (portRef O (instanceRef g0_0_5)) + (portRef I2 (instanceRef g0_6)) + )) + (net (rename g0Z0Z_11 "g0_11") (joined + (portRef O (instanceRef g0_11)) + (portRef I3 (instanceRef g0_6)) + (portRef g0_11) + )) + (net (rename g0_0Z0Z_8 "g0_0_8") (joined + (portRef O (instanceRef g0_0_8)) + (portRef I4 (instanceRef g0_6)) + )) + (net (rename g0_0Z0Z_9 "g0_0_9") (joined + (portRef O (instanceRef g0_0_9)) + (portRef I5 (instanceRef g0_6)) + )) + (net (rename r_n_3_mux_1 "r_N_3_mux_1") (joined + (portRef O (instanceRef g0_6)) + (portRef r_N_3_mux_1) + )) + (net (rename g0Z0Z_0 "g0_0") (joined + (portRef g0_0) + (portRef I1 (instanceRef g0_0_6)) + )) + (net g0_7 (joined + (portRef g0_7) + (portRef I0 (instanceRef g0_0_8)) + )) + (net g0_8_0 (joined + (portRef g0_8_0) + (portRef I1 (instanceRef g0_0_8)) + )) + (net (rename g0_0_9_N_2LZ0Z1 "g0_0_9_N_2L1") (joined + (portRef LO (instanceRef g0_0_9_N_2L1)) + (portRef I3 (instanceRef g0_0_9)) + )) + (net (rename un1_psum0_signed_58 "un1_psum0_signed(58)") (joined + (portRef (member un1_psum0_signed 12)) + (portRef I0 (instanceRef g0_11)) + )) + (net (rename un1_psum0_signed_59 "un1_psum0_signed(59)") (joined + (portRef (member un1_psum0_signed 11)) + (portRef I1 (instanceRef g0_11)) + )) + (net (rename un1_psum0_signed_60 "un1_psum0_signed(60)") (joined + (portRef (member un1_psum0_signed 10)) + (portRef I2 (instanceRef g0_11)) + )) + (net (rename un1_psum0_signed_62 "un1_psum0_signed(62)") (joined + (portRef (member un1_psum0_signed 8)) + (portRef I3 (instanceRef g0_11)) + )) + (net (rename un1_psum0_signed_61 "un1_psum0_signed(61)") (joined + (portRef (member un1_psum0_signed 9)) + (portRef I4 (instanceRef g0_11)) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_61 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF00FFF8FA7050")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_39 "un1_psum0_signed(39)") (joined + (portRef (member un1_psum0_signed 31)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_60 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000FFFFE4CCE4E4")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_33 "un1_psum0_signed(33)") (joined + (portRef (member un1_psum0_signed 37)) + (portRef I1 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I2 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I3 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_59 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000FFFFEC4CEE44")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_34 "un1_psum0_signed(34)") (joined + (portRef (member un1_psum0_signed 36)) + (portRef I1 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I2 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_58 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000FFFFEC4CEE44")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_35 "un1_psum0_signed(35)") (joined + (portRef (member un1_psum0_signed 35)) + (portRef I1 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I2 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_57 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF00FFF8FA7050")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_41 "un1_psum0_signed(41)") (joined + (portRef (member un1_psum0_signed 29)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_56 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port Z_i_0_o3_1_1 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_32_i (direction OUTPUT)) + ) + (contents + (instance N_32_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h080C0A0F")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_32_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I1 (instanceRef N_32_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I2 (instanceRef N_32_i)) + )) + (net (rename z_i_0_o3_1_1 "Z_i_0_o3_1_1") (joined + (portRef Z_i_0_o3_1_1) + (portRef I3 (instanceRef N_32_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_32_i)) + )) + (net (rename N_32_iZ0 "N_32_i") (joined + (portRef O (instanceRef N_32_i)) + (portRef N_32_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_55 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF00FFF8FA7050")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_53 "un1_psum0_signed(53)") (joined + (portRef (member un1_psum0_signed 17)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_54 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_16_i (direction OUTPUT)) + ) + (contents + (instance N_16_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_16_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_16_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I2 (instanceRef N_16_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef N_16_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_16_i)) + )) + (net (rename N_16_iZ0 "N_16_i") (joined + (portRef O (instanceRef N_16_i)) + (portRef N_16_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_53 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF00FFF8FA7050")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_43 "un1_psum0_signed(43)") (joined + (portRef (member un1_psum0_signed 27)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_52 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF00FFF8FA7050")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_50 "un1_psum0_signed(50)") (joined + (portRef (member un1_psum0_signed 20)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_51 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF00FFF8FA7050")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_44 "un1_psum0_signed(44)") (joined + (portRef (member un1_psum0_signed 26)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_50 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:51)") 20) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port Z_32 (direction INPUT)) + (port Z_i_0_o3_0_a0_1 (direction INPUT)) + (port Z_i_0_o3_0_a2 (direction INPUT)) + (port Z_i_0_a3_0_a1 (direction OUTPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_24_i (direction OUTPUT)) + ) + (contents + (instance Z_i_0_a3_0_a1 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h3333333310331010")) + ) + (instance Z_i_0_a3_0_a1_RNI1SECC (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000230000002323")) + ) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I0 (instanceRef Z_i_0_a3_0_a1)) + )) + (net (rename un1_psum0_signed_51 "un1_psum0_signed(51)") (joined + (portRef (member un1_psum0_signed 19)) + (portRef I0 (instanceRef Z_i_0_a3_0_a1_RNI1SECC)) + (portRef I1 (instanceRef Z_i_0_a3_0_a1)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I2 (instanceRef Z_i_0_a3_0_a1)) + )) + (net (rename z_32 "Z_32") (joined + (portRef Z_32) + (portRef I3 (instanceRef Z_i_0_a3_0_a1)) + )) + (net (rename z_i_0_o3_0_a0_1 "Z_i_0_o3_0_a0_1") (joined + (portRef Z_i_0_o3_0_a0_1) + (portRef I2 (instanceRef Z_i_0_a3_0_a1_RNI1SECC)) + (portRef I4 (instanceRef Z_i_0_a3_0_a1)) + )) + (net (rename z_i_0_o3_0_a2 "Z_i_0_o3_0_a2") (joined + (portRef Z_i_0_o3_0_a2) + (portRef I5 (instanceRef Z_i_0_a3_0_a1)) + )) + (net (rename Z_i_0_a3_0_aZ0Z1 "Z_i_0_a3_0_a1") (joined + (portRef O (instanceRef Z_i_0_a3_0_a1)) + (portRef I4 (instanceRef Z_i_0_a3_0_a1_RNI1SECC)) + (portRef Z_i_0_a3_0_a1) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef Z_i_0_a3_0_a1_RNI1SECC)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef Z_i_0_a3_0_a1_RNI1SECC)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I5 (instanceRef Z_i_0_a3_0_a1_RNI1SECC)) + )) + (net (rename n_24_i "N_24_i") (joined + (portRef O (instanceRef Z_i_0_a3_0_a1_RNI1SECC)) + (portRef N_24_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_49 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF00FFF8FA7050")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_45 "un1_psum0_signed(45)") (joined + (portRef (member un1_psum0_signed 25)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_48 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port Z_i_0_o3_0_a0_1 (direction INPUT)) + (port Z_i_0_o3_1_1 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_20_i (direction OUTPUT)) + ) + (contents + (instance N_20_i (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h2223000022232223")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_20_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_20_i)) + )) + (net (rename z_i_0_o3_0_a0_1 "Z_i_0_o3_0_a0_1") (joined + (portRef Z_i_0_o3_0_a0_1) + (portRef I2 (instanceRef N_20_i)) + )) + (net (rename z_i_0_o3_1_1 "Z_i_0_o3_1_1") (joined + (portRef Z_i_0_o3_1_1) + (portRef I3 (instanceRef N_20_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I4 (instanceRef N_20_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I5 (instanceRef N_20_i)) + )) + (net (rename N_20_iZ0 "N_20_i") (joined + (portRef O (instanceRef N_20_i)) + (portRef N_20_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_47 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF00FFF8FA7050")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_46 "un1_psum0_signed(46)") (joined + (portRef (member un1_psum0_signed 24)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_46 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF00FFF8FA7050")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_40 "un1_psum0_signed(40)") (joined + (portRef (member un1_psum0_signed 30)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_45 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:47)") 24) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port Z_N_5_mux (direction INPUT)) + (port d_N_5_mux_1 (direction INPUT)) + (port Z_33 (direction INPUT)) + (port Z_32 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_234 (direction OUTPUT)) + (port N_36_i (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port Z_i_0_o3_0_a2 (direction INPUT)) + (port Z_i_0_o3_0_a0_1 (direction INPUT)) + ) + (contents + (instance Z_i_1 (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'h1054")) + ) + (instance Z_i_1_RNIDUKM5 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00000000000000EF")) + ) + (instance Z_i_0_a3_1 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h3310331033333310")) + ) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I0 (instanceRef Z_i_1_RNIDUKM5)) + (portRef I0 (instanceRef Z_i_1)) + )) + (net (rename z_n_5_mux "Z_N_5_mux") (joined + (portRef Z_N_5_mux) + (portRef I2 (instanceRef Z_i_1_RNIDUKM5)) + (portRef I1 (instanceRef Z_i_1)) + )) + (net (rename d_n_5_mux_1 "d_N_5_mux_1") (joined + (portRef d_N_5_mux_1) + (portRef I2 (instanceRef Z_i_1)) + )) + (net (rename z_33 "Z_33") (joined + (portRef Z_33) + (portRef I3 (instanceRef Z_i_1)) + )) + (net (rename Z_iZ0Z_1 "Z_i_1") (joined + (portRef LO (instanceRef Z_i_1)) + (portRef I5 (instanceRef Z_i_1_RNIDUKM5)) + )) + (net (rename z_32 "Z_32") (joined + (portRef Z_32) + (portRef I5 (instanceRef Z_i_0_a3_1)) + (portRef I1 (instanceRef Z_i_1_RNIDUKM5)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I3 (instanceRef Z_i_1_RNIDUKM5)) + )) + (net (rename n_234 "N_234") (joined + (portRef O (instanceRef Z_i_0_a3_1)) + (portRef I4 (instanceRef Z_i_1_RNIDUKM5)) + (portRef N_234) + )) + (net (rename n_36_i "N_36_i") (joined + (portRef O (instanceRef Z_i_1_RNIDUKM5)) + (portRef N_36_i) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I0 (instanceRef Z_i_0_a3_1)) + )) + (net (rename un1_psum0_signed_47 "un1_psum0_signed(47)") (joined + (portRef (member un1_psum0_signed 23)) + (portRef I1 (instanceRef Z_i_0_a3_1)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I2 (instanceRef Z_i_0_a3_1)) + )) + (net (rename z_i_0_o3_0_a2 "Z_i_0_o3_0_a2") (joined + (portRef Z_i_0_o3_0_a2) + (portRef I3 (instanceRef Z_i_0_a3_1)) + )) + (net (rename z_i_0_o3_0_a0_1 "Z_i_0_o3_0_a0_1") (joined + (portRef Z_i_0_o3_0_a0_1) + (portRef I4 (instanceRef Z_i_0_a3_1)) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_44 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port feedback_to_big (direction INPUT)) + ) + (contents + (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF00FFF8FA7050")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_59 "un1_psum0_signed(59)") (joined + (portRef (member un1_psum0_signed 11)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I4 (instanceRef Z)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I5 (instanceRef Z)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z)) + (portRef feedback_data_0) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_42 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_21_i (direction OUTPUT)) + ) + (contents + (instance N_21_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_21_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_21_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I2 (instanceRef N_21_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef N_21_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_21_i)) + )) + (net (rename N_21_iZ0 "N_21_i") (joined + (portRef O (instanceRef N_21_i)) + (portRef N_21_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_41 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction OUTPUT)) + (port N_218 (direction OUTPUT)) + (port N_8_i (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port Z_i_0_o3_0_a2 (direction OUTPUT)) + (port Z_i_0_o3_0_a0_1 (direction OUTPUT)) + (port Z_32 (direction INPUT)) + (port Z_i_0_o3_1_1 (direction OUTPUT)) + (port g0_11 (direction INPUT)) + (port Z_i_0_a3_2_0 (direction OUTPUT)) + (port Z_N_5_mux (direction OUTPUT)) + (port g0_8_0 (direction INPUT)) + (port g0_7 (direction INPUT)) + (port Z_33_0 (direction INPUT)) + ) + (contents + (instance Z_i_0_o3_1_RNIUQBAC (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (instance Z_i_0_o3_1_1 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hF4F4FFF4")) + ) + (instance Z_i_0_o3_0_a0_1 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000000000001")) + ) + (instance Z_i_0_o3_0_a2 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h4000000000000000")) + ) + (instance g0_0 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFCF0FCF0FCF074F0")) + ) + (instance g1_5 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFF7FFFFFFFFFFF")) + ) + (instance g0_1 (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'h8A")) + ) + (instance g0_3 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h0002")) + ) + (instance g0_4 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h1")) + ) + (instance g1_2 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h7")) + ) + (instance g0_2 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000000000002")) + ) + (instance g1_6_N_2L1 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h7FFF")) + ) + (instance Z_i_0_o3_0_a0_1_x (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h00000001")) + ) + (instance Z_i_0_o3_0_a2_x (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h40000000")) + ) + (instance Z_i_0_o3_1 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hFC74")) + ) + (instance g1 (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'h0080")) + ) + (instance g1_N_2L1 (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'h7FFF")) + ) + (instance g1_6 (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFF7FFFFFFFFFFF")) + ) + (instance g1_6_N_3L4 (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h80000000")) + ) + (net (rename un1_psum0_signed_65 "un1_psum0_signed(65)") (joined + (portRef (member un1_psum0_signed 5)) + (portRef I5 (instanceRef g1_5)) + (portRef I0 (instanceRef Z_i_0_o3_1_RNIUQBAC)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef Z_i_0_o3_1_RNIUQBAC)) + )) + (net (rename n_186 "N_186") (joined + (portRef O (instanceRef Z_i_0_o3_1)) + (portRef I2 (instanceRef Z_i_0_o3_1_RNIUQBAC)) + (portRef N_186) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef Z_i_0_o3_1_RNIUQBAC)) + )) + (net (rename n_218 "N_218") (joined + (portRef O (instanceRef g0_0)) + (portRef I4 (instanceRef Z_i_0_o3_1_RNIUQBAC)) + (portRef N_218) + )) + (net (rename n_8_i "N_8_i") (joined + (portRef O (instanceRef Z_i_0_o3_1_RNIUQBAC)) + (portRef N_8_i) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef g1_N_2L1)) + (portRef I0 (instanceRef Z_i_0_o3_1)) + (portRef I1 (instanceRef g0_1)) + (portRef I0 (instanceRef Z_i_0_o3_1_1)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I1 (instanceRef g1)) + (portRef I1 (instanceRef Z_i_0_o3_1)) + (portRef I2 (instanceRef g0_2)) + (portRef I0 (instanceRef g0_4)) + (portRef I2 (instanceRef g0_1)) + (portRef I4 (instanceRef Z_i_0_o3_0_a2)) + (portRef I4 (instanceRef Z_i_0_o3_0_a0_1)) + (portRef I1 (instanceRef Z_i_0_o3_1_1)) + )) + (net (rename Z_i_0_o3_0_aZ0Z2 "Z_i_0_o3_0_a2") (joined + (portRef O (instanceRef Z_i_0_o3_0_a2)) + (portRef I2 (instanceRef Z_i_0_o3_1_1)) + (portRef Z_i_0_o3_0_a2) + )) + (net (rename Z_i_0_o3_0_a0Z0Z_1 "Z_i_0_o3_0_a0_1") (joined + (portRef O (instanceRef Z_i_0_o3_0_a0_1)) + (portRef I3 (instanceRef Z_i_0_o3_1_1)) + (portRef Z_i_0_o3_0_a0_1) + )) + (net (rename z_32 "Z_32") (joined + (portRef Z_32) + (portRef I4 (instanceRef Z_i_0_o3_1_1)) + )) + (net (rename Z_i_0_o3_1Z0Z_1 "Z_i_0_o3_1_1") (joined + (portRef O (instanceRef Z_i_0_o3_1_1)) + (portRef Z_i_0_o3_1_1) + )) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef g1_N_2L1)) + (portRef I0 (instanceRef Z_i_0_o3_0_a2_x)) + (portRef I0 (instanceRef Z_i_0_o3_0_a0_1_x)) + (portRef I0 (instanceRef g0_2)) + (portRef I0 (instanceRef g0_3)) + (portRef I0 (instanceRef g0_1)) + (portRef I0 (instanceRef Z_i_0_o3_0_a2)) + (portRef I0 (instanceRef Z_i_0_o3_0_a0_1)) + )) + (net (rename un1_psum0_signed_66 "un1_psum0_signed(66)") (joined + (portRef (member un1_psum0_signed 4)) + (portRef I2 (instanceRef g1_N_2L1)) + (portRef I1 (instanceRef Z_i_0_o3_0_a2_x)) + (portRef I1 (instanceRef Z_i_0_o3_0_a0_1_x)) + (portRef I3 (instanceRef g0_2)) + (portRef I1 (instanceRef g0_3)) + (portRef I1 (instanceRef Z_i_0_o3_0_a2)) + (portRef I1 (instanceRef Z_i_0_o3_0_a0_1)) + )) + (net (rename un1_psum0_signed_67 "un1_psum0_signed(67)") (joined + (portRef (member un1_psum0_signed 3)) + (portRef I3 (instanceRef g1_N_2L1)) + (portRef I2 (instanceRef Z_i_0_o3_0_a2_x)) + (portRef I2 (instanceRef Z_i_0_o3_0_a0_1_x)) + (portRef I4 (instanceRef g0_2)) + (portRef I2 (instanceRef g0_3)) + (portRef I2 (instanceRef Z_i_0_o3_0_a2)) + (portRef I2 (instanceRef Z_i_0_o3_0_a0_1)) + )) + (net (rename un1_psum0_signed_68 "un1_psum0_signed(68)") (joined + (portRef (member un1_psum0_signed 2)) + (portRef I0 (instanceRef g1)) + (portRef I3 (instanceRef Z_i_0_o3_0_a2_x)) + (portRef I3 (instanceRef Z_i_0_o3_0_a0_1_x)) + (portRef I1 (instanceRef g0_2)) + (portRef I3 (instanceRef g0_3)) + (portRef I3 (instanceRef Z_i_0_o3_0_a2)) + (portRef I3 (instanceRef Z_i_0_o3_0_a0_1)) + )) + (net (rename un1_psum0_signed_69 "un1_psum0_signed(69)") (joined + (portRef (member un1_psum0_signed 1)) + (portRef I2 (instanceRef g1)) + (portRef I4 (instanceRef Z_i_0_o3_0_a2_x)) + (portRef I4 (instanceRef Z_i_0_o3_0_a0_1_x)) + (portRef I5 (instanceRef g0_2)) + (portRef I1 (instanceRef g0_4)) + (portRef I5 (instanceRef Z_i_0_o3_0_a2)) + (portRef I5 (instanceRef Z_i_0_o3_0_a0_1)) + )) + (net g0_11 (joined + (portRef g0_11) + (portRef I0 (instanceRef g0_0)) + )) + (net (rename g0Z0Z_3 "g0_3") (joined + (portRef O (instanceRef g0_3)) + (portRef I1 (instanceRef g0_0)) + )) + (net (rename gZ0Z1 "g1") (joined + (portRef LO (instanceRef g1)) + (portRef I2 (instanceRef g0_0)) + )) + (net (rename g0Z0Z_4 "g0_4") (joined + (portRef O (instanceRef g0_4)) + (portRef I3 (instanceRef g0_0)) + )) + (net (rename g1Z0Z_5 "g1_5") (joined + (portRef O (instanceRef g1_5)) + (portRef I4 (instanceRef g0_0)) + )) + (net (rename g1Z0Z_6 "g1_6") (joined + (portRef LO (instanceRef g1_6)) + (portRef I5 (instanceRef g0_0)) + )) + (net (rename un1_psum0_signed_37 "un1_psum0_signed(37)") (joined + (portRef (member un1_psum0_signed 33)) + (portRef I0 (instanceRef g1_5)) + )) + (net (rename un1_psum0_signed_38 "un1_psum0_signed(38)") (joined + (portRef (member un1_psum0_signed 32)) + (portRef I1 (instanceRef g1_5)) + )) + (net (rename un1_psum0_signed_39 "un1_psum0_signed(39)") (joined + (portRef (member un1_psum0_signed 31)) + (portRef I2 (instanceRef g1_5)) + )) + (net (rename un1_psum0_signed_40 "un1_psum0_signed(40)") (joined + (portRef (member un1_psum0_signed 30)) + (portRef I3 (instanceRef g1_5)) + )) + (net (rename g1Z0Z_2 "g1_2") (joined + (portRef LO (instanceRef g1_2)) + (portRef I4 (instanceRef g1_5)) + )) + (net (rename z_i_0_a3_2_0 "Z_i_0_a3_2_0") (joined + (portRef LO (instanceRef g0_1)) + (portRef Z_i_0_a3_2_0) + )) + (net (rename un1_psum0_signed_50 "un1_psum0_signed(50)") (joined + (portRef (member un1_psum0_signed 20)) + (portRef I0 (instanceRef g1_2)) + )) + (net (rename un1_psum0_signed_49 "un1_psum0_signed(49)") (joined + (portRef (member un1_psum0_signed 21)) + (portRef I1 (instanceRef g1_2)) + )) + (net (rename z_n_5_mux "Z_N_5_mux") (joined + (portRef O (instanceRef g0_2)) + (portRef Z_N_5_mux) + )) + (net (rename un1_psum0_signed_52 "un1_psum0_signed(52)") (joined + (portRef (member un1_psum0_signed 18)) + (portRef I0 (instanceRef g1_6_N_2L1)) + )) + (net (rename un1_psum0_signed_54 "un1_psum0_signed(54)") (joined + (portRef (member un1_psum0_signed 16)) + (portRef I1 (instanceRef g1_6_N_2L1)) + )) + (net (rename un1_psum0_signed_53 "un1_psum0_signed(53)") (joined + (portRef (member un1_psum0_signed 17)) + (portRef I2 (instanceRef g1_6_N_2L1)) + )) + (net (rename un1_psum0_signed_51 "un1_psum0_signed(51)") (joined + (portRef (member un1_psum0_signed 19)) + (portRef I3 (instanceRef g1_6_N_2L1)) + )) + (net (rename g1_6_N_2LZ0Z1 "g1_6_N_2L1") (joined + (portRef O (instanceRef g1_6_N_2L1)) + (portRef I4 (instanceRef g1_6)) + )) + (net (rename Z_i_0_o3_0_a0_1_xZ0 "Z_i_0_o3_0_a0_1_x") (joined + (portRef O (instanceRef Z_i_0_o3_0_a0_1_x)) + (portRef I2 (instanceRef Z_i_0_o3_1)) + )) + (net (rename Z_i_0_o3_0_a2_xZ0 "Z_i_0_o3_0_a2_x") (joined + (portRef O (instanceRef Z_i_0_o3_0_a2_x)) + (portRef I3 (instanceRef Z_i_0_o3_1)) + )) + (net (rename g1_N_2LZ0Z1 "g1_N_2L1") (joined + (portRef LO (instanceRef g1_N_2L1)) + (portRef I3 (instanceRef g1)) + )) + (net (rename un1_psum0_signed_64 "un1_psum0_signed(64)") (joined + (portRef (member un1_psum0_signed 6)) + (portRef I0 (instanceRef g1_6)) + )) + (net (rename un1_psum0_signed_63 "un1_psum0_signed(63)") (joined + (portRef (member un1_psum0_signed 7)) + (portRef I1 (instanceRef g1_6)) + )) + (net g0_8_0 (joined + (portRef g0_8_0) + (portRef I2 (instanceRef g1_6)) + )) + (net g0_7 (joined + (portRef g0_7) + (portRef I3 (instanceRef g1_6)) + )) + (net (rename g1_6_N_3LZ0Z4 "g1_6_N_3L4") (joined + (portRef LO (instanceRef g1_6_N_3L4)) + (portRef I5 (instanceRef g1_6)) + )) + (net (rename un1_psum0_signed_36 "un1_psum0_signed(36)") (joined + (portRef (member un1_psum0_signed 34)) + (portRef I0 (instanceRef g1_6_N_3L4)) + )) + (net (rename z_33_0 "Z_33_0") (joined + (portRef Z_33_0) + (portRef I1 (instanceRef g1_6_N_3L4)) + )) + (net (rename un1_psum0_signed_55 "un1_psum0_signed(55)") (joined + (portRef (member un1_psum0_signed 15)) + (portRef I2 (instanceRef g1_6_N_3L4)) + )) + (net (rename un1_psum0_signed_56 "un1_psum0_signed(56)") (joined + (portRef (member un1_psum0_signed 14)) + (portRef I3 (instanceRef g1_6_N_3L4)) + )) + (net (rename un1_psum0_signed_57 "un1_psum0_signed(57)") (joined + (portRef (member un1_psum0_signed 13)) + (portRef I4 (instanceRef g1_6_N_3L4)) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_40 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_25_i (direction OUTPUT)) + ) + (contents + (instance N_25_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_25_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_25_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I2 (instanceRef N_25_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef N_25_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_25_i)) + )) + (net (rename N_25_iZ0 "N_25_i") (joined + (portRef O (instanceRef N_25_i)) + (portRef N_25_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_39 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_9_i (direction OUTPUT)) + ) + (contents + (instance N_9_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_9_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_9_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I2 (instanceRef N_9_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef N_9_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_9_i)) + )) + (net (rename N_9_iZ0 "N_9_i") (joined + (portRef O (instanceRef N_9_i)) + (portRef N_9_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_38 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_29_i (direction OUTPUT)) + ) + (contents + (instance N_29_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_29_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_29_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I2 (instanceRef N_29_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef N_29_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_29_i)) + )) + (net (rename N_29_iZ0 "N_29_i") (joined + (portRef O (instanceRef N_29_i)) + (portRef N_29_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_37 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_13_i (direction OUTPUT)) + ) + (contents + (instance N_13_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_13_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_13_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I2 (instanceRef N_13_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef N_13_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_13_i)) + )) + (net (rename N_13_iZ0 "N_13_i") (joined + (portRef O (instanceRef N_13_i)) + (portRef N_13_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_36 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_37_i (direction OUTPUT)) + ) + (contents + (instance N_37_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_37_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_37_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I2 (instanceRef N_37_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef N_37_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_37_i)) + )) + (net (rename N_37_iZ0 "N_37_i") (joined + (portRef O (instanceRef N_37_i)) + (portRef N_37_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_MUX2_35 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port un1_psum0_signed_0 (direction INPUT)) + (port feedback_inc_data_0 (direction INPUT)) + (port feedback_to_big_neg (direction INPUT)) + (port N_186 (direction INPUT)) + (port N_218 (direction INPUT)) + (port N_17_i (direction OUTPUT)) + ) + (contents + (instance N_17_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (net un1_psum0_signed_0 (joined + (portRef un1_psum0_signed_0) + (portRef I0 (instanceRef N_17_i)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_17_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I2 (instanceRef N_17_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef N_17_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I4 (instanceRef N_17_i)) + )) + (net (rename N_17_iZ0 "N_17_i") (joined + (portRef O (instanceRef N_17_i)) + (portRef N_17_i) + )) + ) + (property orig_inst_of (string "GTECH_MUX2")) + ) + ) + (cell GTECH_AND2_51 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(65:30)") 36) (direction INPUT)) + (port Z_33_0 (direction OUTPUT)) + (port Z_33 (direction OUTPUT)) + (port g0_7 (direction OUTPUT)) + (port g0_8_0 (direction OUTPUT)) + (port g0_0 (direction OUTPUT)) + (port Z_32 (direction OUTPUT)) + ) + (contents + (instance Z_33_0 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h8000000000000000")) + ) + (instance Z_33_1_4 (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'h80")) + ) + (instance Z_33_1_4_RNI6IJK4 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h8000000000000000")) + ) + (instance Z_33_0_RNI9NVG1 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h80000000")) + ) + (instance g0_8 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h8000")) + ) + (instance g0_9 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h8000")) + ) + (instance g0_7 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h8000")) + ) + (instance g0_1 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h8000")) + ) + (instance g0_3 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h8000000000000000")) + ) + (instance g0_0 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 35)) + (portRef I0 (instanceRef Z_33_0)) + )) + (net (rename un1_psum0_signed_31 "un1_psum0_signed(31)") (joined + (portRef (member un1_psum0_signed 34)) + (portRef I1 (instanceRef Z_33_0)) + )) + (net (rename un1_psum0_signed_32 "un1_psum0_signed(32)") (joined + (portRef (member un1_psum0_signed 33)) + (portRef I2 (instanceRef Z_33_0)) + )) + (net (rename un1_psum0_signed_34 "un1_psum0_signed(34)") (joined + (portRef (member un1_psum0_signed 31)) + (portRef I3 (instanceRef Z_33_0)) + )) + (net (rename un1_psum0_signed_35 "un1_psum0_signed(35)") (joined + (portRef (member un1_psum0_signed 30)) + (portRef I4 (instanceRef Z_33_0)) + )) + (net (rename un1_psum0_signed_33 "un1_psum0_signed(33)") (joined + (portRef (member un1_psum0_signed 32)) + (portRef I5 (instanceRef Z_33_0)) + )) + (net (rename Z_33Z0Z_0 "Z_33_0") (joined + (portRef O (instanceRef Z_33_0)) + (portRef I1 (instanceRef Z_33_0_RNI9NVG1)) + (portRef Z_33_0) + )) + (net (rename un1_psum0_signed_60 "un1_psum0_signed(60)") (joined + (portRef (member un1_psum0_signed 5)) + (portRef I0 (instanceRef Z_33_1_4)) + )) + (net (rename un1_psum0_signed_62 "un1_psum0_signed(62)") (joined + (portRef (member un1_psum0_signed 3)) + (portRef I1 (instanceRef Z_33_1_4)) + )) + (net (rename un1_psum0_signed_61 "un1_psum0_signed(61)") (joined + (portRef (member un1_psum0_signed 4)) + (portRef I2 (instanceRef Z_33_1_4)) + )) + (net (rename Z_33_1Z0Z_4 "Z_33_1_4") (joined + (portRef LO (instanceRef Z_33_1_4)) + (portRef I5 (instanceRef Z_33_1_4_RNI6IJK4)) + )) + (net (rename un1_psum0_signed_58 "un1_psum0_signed(58)") (joined + (portRef (member un1_psum0_signed 7)) + (portRef I0 (instanceRef Z_33_1_4_RNI6IJK4)) + )) + (net (rename un1_psum0_signed_59 "un1_psum0_signed(59)") (joined + (portRef (member un1_psum0_signed 6)) + (portRef I1 (instanceRef Z_33_1_4_RNI6IJK4)) + )) + (net (rename g0Z0Z_8 "g0_8") (joined + (portRef O (instanceRef g0_8)) + (portRef I2 (instanceRef Z_33_1_4_RNI6IJK4)) + )) + (net (rename g0Z0Z_9 "g0_9") (joined + (portRef O (instanceRef g0_9)) + (portRef I3 (instanceRef Z_33_1_4_RNI6IJK4)) + )) + (net g0_10 (joined + (portRef O (instanceRef Z_33_0_RNI9NVG1)) + (portRef I4 (instanceRef Z_33_1_4_RNI6IJK4)) + )) + (net (rename z_33 "Z_33") (joined + (portRef O (instanceRef Z_33_1_4_RNI6IJK4)) + (portRef Z_33) + )) + (net (rename un1_psum0_signed_36 "un1_psum0_signed(36)") (joined + (portRef (member un1_psum0_signed 29)) + (portRef I0 (instanceRef Z_33_0_RNI9NVG1)) + )) + (net (rename un1_psum0_signed_55 "un1_psum0_signed(55)") (joined + (portRef (member un1_psum0_signed 10)) + (portRef I2 (instanceRef Z_33_0_RNI9NVG1)) + )) + (net (rename un1_psum0_signed_56 "un1_psum0_signed(56)") (joined + (portRef (member un1_psum0_signed 9)) + (portRef I3 (instanceRef Z_33_0_RNI9NVG1)) + )) + (net (rename un1_psum0_signed_57 "un1_psum0_signed(57)") (joined + (portRef (member un1_psum0_signed 8)) + (portRef I4 (instanceRef Z_33_0_RNI9NVG1)) + )) + (net (rename un1_psum0_signed_37 "un1_psum0_signed(37)") (joined + (portRef (member un1_psum0_signed 28)) + (portRef I0 (instanceRef g0_8)) + )) + (net (rename un1_psum0_signed_50 "un1_psum0_signed(50)") (joined + (portRef (member un1_psum0_signed 15)) + (portRef I1 (instanceRef g0_8)) + )) + (net (rename un1_psum0_signed_38 "un1_psum0_signed(38)") (joined + (portRef (member un1_psum0_signed 27)) + (portRef I2 (instanceRef g0_8)) + )) + (net (rename un1_psum0_signed_49 "un1_psum0_signed(49)") (joined + (portRef (member un1_psum0_signed 16)) + (portRef I3 (instanceRef g0_8)) + )) + (net (rename un1_psum0_signed_51 "un1_psum0_signed(51)") (joined + (portRef (member un1_psum0_signed 14)) + (portRef I0 (instanceRef g0_9)) + )) + (net (rename un1_psum0_signed_52 "un1_psum0_signed(52)") (joined + (portRef (member un1_psum0_signed 13)) + (portRef I1 (instanceRef g0_9)) + )) + (net (rename un1_psum0_signed_54 "un1_psum0_signed(54)") (joined + (portRef (member un1_psum0_signed 11)) + (portRef I2 (instanceRef g0_9)) + )) + (net (rename un1_psum0_signed_53 "un1_psum0_signed(53)") (joined + (portRef (member un1_psum0_signed 12)) + (portRef I3 (instanceRef g0_9)) + )) + (net (rename un1_psum0_signed_44 "un1_psum0_signed(44)") (joined + (portRef (member un1_psum0_signed 21)) + (portRef I0 (instanceRef g0_7)) + )) + (net (rename un1_psum0_signed_41 "un1_psum0_signed(41)") (joined + (portRef (member un1_psum0_signed 24)) + (portRef I1 (instanceRef g0_7)) + )) + (net (rename un1_psum0_signed_43 "un1_psum0_signed(43)") (joined + (portRef (member un1_psum0_signed 22)) + (portRef I2 (instanceRef g0_7)) + )) + (net (rename un1_psum0_signed_45 "un1_psum0_signed(45)") (joined + (portRef (member un1_psum0_signed 20)) + (portRef I3 (instanceRef g0_7)) + )) + (net (rename g0Z0Z_7 "g0_7") (joined + (portRef O (instanceRef g0_7)) + (portRef I3 (instanceRef g0_3)) + (portRef g0_7) + )) + (net (rename un1_psum0_signed_48 "un1_psum0_signed(48)") (joined + (portRef (member un1_psum0_signed 17)) + (portRef I0 (instanceRef g0_1)) + )) + (net (rename un1_psum0_signed_46 "un1_psum0_signed(46)") (joined + (portRef (member un1_psum0_signed 19)) + (portRef I1 (instanceRef g0_1)) + )) + (net (rename un1_psum0_signed_47 "un1_psum0_signed(47)") (joined + (portRef (member un1_psum0_signed 18)) + (portRef I2 (instanceRef g0_1)) + )) + (net (rename un1_psum0_signed_42 "un1_psum0_signed(42)") (joined + (portRef (member un1_psum0_signed 23)) + (portRef I3 (instanceRef g0_1)) + )) + (net g0_8_0 (joined + (portRef O (instanceRef g0_1)) + (portRef I5 (instanceRef g0_3)) + (portRef g0_8_0) + )) + (net (rename g0Z0Z_0 "g0_0") (joined + (portRef O (instanceRef g0_0)) + (portRef I0 (instanceRef g0_3)) + (portRef g0_0) + )) + (net (rename un1_psum0_signed_64 "un1_psum0_signed(64)") (joined + (portRef (member un1_psum0_signed 1)) + (portRef I1 (instanceRef g0_3)) + )) + (net (rename un1_psum0_signed_65 "un1_psum0_signed(65)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I2 (instanceRef g0_3)) + )) + (net (rename un1_psum0_signed_63 "un1_psum0_signed(63)") (joined + (portRef (member un1_psum0_signed 2)) + (portRef I4 (instanceRef g0_3)) + )) + (net (rename z_32 "Z_32") (joined + (portRef O (instanceRef g0_3)) + (portRef Z_32) + )) + (net (rename un1_psum0_signed_39 "un1_psum0_signed(39)") (joined + (portRef (member un1_psum0_signed 26)) + (portRef I0 (instanceRef g0_0)) + )) + (net (rename un1_psum0_signed_40 "un1_psum0_signed(40)") (joined + (portRef (member un1_psum0_signed 25)) + (portRef I1 (instanceRef g0_0)) + )) + ) + (property orig_inst_of (string "GTECH_AND2")) + ) + ) + (cell GTECH_AND2_19 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename psum1_saved "psum1_saved(19:0)") 20) (direction INPUT)) + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:20)") 51) (direction INPUT)) + (port (array (rename feedback_inc_data "feedback_inc_data(35:1)") 35) (direction INPUT)) + (port feedback_data_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction OUTPUT)) + (port feedback_to_big (direction INPUT)) + (port N_190 (direction INPUT)) + (port Z_i_0_a3_a1 (direction INPUT)) + (port N_186 (direction INPUT)) + (port Z_i_0_a3_a0 (direction INPUT)) + (port N_38_i (direction OUTPUT)) + (port N_42_i (direction OUTPUT)) + (port N_46_i (direction OUTPUT)) + (port N_218 (direction INPUT)) + (port N_6_i (direction OUTPUT)) + (port N_10_i (direction OUTPUT)) + (port N_14_i (direction OUTPUT)) + (port N_26_i (direction OUTPUT)) + (port N_30_i (direction OUTPUT)) + (port rstn (direction INPUT)) + (port data_out_final_3_12 (direction OUTPUT)) + (port data_out_final_3_6 (direction OUTPUT)) + (port data_out_final_3_15 (direction OUTPUT)) + (port data_out_final_3_19 (direction OUTPUT)) + (port data_out_final_3_20 (direction OUTPUT)) + (port data_out_final_3_21 (direction OUTPUT)) + (port data_out_final_3_22 (direction OUTPUT)) + (port data_out_final_3_24 (direction OUTPUT)) + (port data_out_final_3_25 (direction OUTPUT)) + (port data_out_final_3_26 (direction OUTPUT)) + (port data_out_final_3_27 (direction OUTPUT)) + (port data_out_final_3_30 (direction OUTPUT)) + (port data_out_final_3_31 (direction OUTPUT)) + (port data_out_final_3_32 (direction OUTPUT)) + (port N_68_i (direction OUTPUT)) + (port Z_N_5_mux (direction INPUT)) + (port d_N_5_mux_1 (direction INPUT)) + (port r_N_3_mux_1 (direction INPUT)) + (port N_234 (direction INPUT)) + (port N_34_i (direction OUTPUT)) + (port data_out_final_3 (direction OUTPUT)) + (port data_out_final_3_34 (direction OUTPUT)) + (port Z_i_0_o3_0_a0_1 (direction INPUT)) + (port Z_i_0_o3_1_1 (direction INPUT)) + (port N_18_i (direction OUTPUT)) + (port Z_i_0_a3_0_a1 (direction INPUT)) + (port N_22_i (direction OUTPUT)) + ) + (contents + (instance N_38_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0A0A0F0F0A080F0C")) + ) + (instance N_42_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0A0A0F0F0A080F0C")) + ) + (instance N_46_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0A0A0F0F0A080F0C")) + ) + (instance N_6_i (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (instance N_10_i (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (instance N_14_i (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h23002323")) + ) + (instance N_26_i (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h20302233")) + ) + (instance N_30_i (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h080C0A0F")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_22 "gO_6.FINAL_OUT_REGS.data_out_final_3[22]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0A0A0A0A88AA8800")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_28 "gO_6.FINAL_OUT_REGS.data_out_final_3[28]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0A0A0A0A88AA8800")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_19 "gO_6.FINAL_OUT_REGS.data_out_final_3[19]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0A0A0A0A88AA8800")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_15 "gO_6.FINAL_OUT_REGS.data_out_final_3[15]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0A0A0A0A8888AA00")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_14 "gO_6.FINAL_OUT_REGS.data_out_final_3[14]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0A0A0A0A88AA8800")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_13 "gO_6.FINAL_OUT_REGS.data_out_final_3[13]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0A0A0A0A88AA8800")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_12 "gO_6.FINAL_OUT_REGS.data_out_final_3[12]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0A0A0A0A8888AA00")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_10 "gO_6.FINAL_OUT_REGS.data_out_final_3[10]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0A0A0A0A8888AA00")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_9 "gO_6.FINAL_OUT_REGS.data_out_final_3[9]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h00AA00AA8888A0A0")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_8 "gO_6.FINAL_OUT_REGS.data_out_final_3[8]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h00AA00AA8888A0A0")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_7 "gO_6.FINAL_OUT_REGS.data_out_final_3[7]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h00AA00AA8888A0A0")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_4 "gO_6.FINAL_OUT_REGS.data_out_final_3[4]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h00AA00AA8888A0A0")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_3 "gO_6.FINAL_OUT_REGS.data_out_final_3[3]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h00AA00AA8888A0A0")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_2 "gO_6.FINAL_OUT_REGS.data_out_final_3[2]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h00AA00AA8888A0A0")) + ) + (instance N_68_i (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance Z_i_0_o3_28 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFFFFE")) + ) + (instance Z_i_0_o3_28_4 (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hFFFFFFFE")) + ) + (instance Z_i_0_o3_28_3 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFFFFE")) + ) + (instance Z_i_0_o3_28_0 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFFFFE")) + ) + (instance Z_i_0_o3_28_1 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFFFFE")) + ) + (instance Z_i_0_o3_28_2 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFFFFE")) + ) + (instance N_34_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h000000000E0F0A0B")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_35 "gO_6.FINAL_OUT_REGS.data_out_final_3[35]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hAA00AA88AA002A00")) + ) + (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_0 "gO_6.FINAL_OUT_REGS.data_out_final_3[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0000AAAA28A02828")) + ) + (instance N_18_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h2200230022222323")) + ) + (instance N_22_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0000230000002323")) + ) + (instance Z_i_0_lut6_2_o6 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h75")) + (property HLUTNM (string "z_dsp_lutnm000360")) + ) + (instance Z_i_0_lut6_2_o5 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hF0F0FA70")) + (property HLUTNM (string "z_dsp_lutnm000360")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z_i_0_lut6_2_o5)) + (portRef I0 (instanceRef Z_i_0_lut6_2_o6)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_0)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_35)) + )) + (net (rename Z_i_0_o3Z0Z_28 "Z_i_0_o3_28") (joined + (portRef O (instanceRef Z_i_0_o3_28)) + (portRef I1 (instanceRef Z_i_0_lut6_2_o5)) + (portRef I1 (instanceRef Z_i_0_lut6_2_o6)) + (portRef I3 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_0)) + (portRef I2 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_35)) + (portRef Z_i_0_o3_28) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I2 (instanceRef Z_i_0_lut6_2_o5)) + (portRef I2 (instanceRef Z_i_0_lut6_2_o6)) + (portRef I4 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_0)) + (portRef I3 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_35)) + (portRef I3 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_2)) + (portRef I3 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_3)) + (portRef I3 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_4)) + (portRef I3 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_7)) + (portRef I3 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_8)) + (portRef I3 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_9)) + (portRef I2 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_10)) + (portRef I2 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_12)) + (portRef I2 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_13)) + (portRef I2 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_14)) + (portRef I2 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_15)) + (portRef I2 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_19)) + (portRef I2 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_28)) + (portRef I2 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_22)) + )) + (net (rename feedback_inc_data_35 "feedback_inc_data(35)") (joined + (portRef (member feedback_inc_data 0)) + (portRef I3 (instanceRef Z_i_0_lut6_2_o5)) + (portRef I5 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_35)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big) + (portRef I4 (instanceRef Z_i_0_lut6_2_o5)) + (portRef I5 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_0)) + (portRef I4 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_35)) + (portRef I1 (instanceRef N_68_i)) + (portRef I5 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_2)) + (portRef I5 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_3)) + (portRef I5 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_4)) + (portRef I5 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_7)) + (portRef I5 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_8)) + (portRef I5 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_9)) + (portRef I5 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_10)) + (portRef I5 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_12)) + (portRef I5 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_13)) + (portRef I5 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_14)) + (portRef I5 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_15)) + (portRef I5 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_19)) + (portRef I5 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_28)) + (portRef I5 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_22)) + )) + (net N_4 (joined + (portRef O (instanceRef Z_i_0_lut6_2_o6)) + (portRef I4 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_2)) + (portRef I4 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_3)) + (portRef I4 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_4)) + (portRef I4 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_7)) + (portRef I4 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_8)) + (portRef I4 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_9)) + (portRef I4 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_10)) + (portRef I4 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_12)) + (portRef I3 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_13)) + (portRef I3 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_14)) + (portRef I4 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_15)) + (portRef I3 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_19)) + (portRef I3 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_28)) + (portRef I3 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_22)) + )) + (net feedback_data_0 (joined + (portRef O (instanceRef Z_i_0_lut6_2_o5)) + (portRef feedback_data_0) + )) + (net (rename un1_psum0_signed_37 "un1_psum0_signed(37)") (joined + (portRef (member un1_psum0_signed 33)) + (portRef I0 (instanceRef N_38_i)) + )) + (net (rename feedback_inc_data_6 "feedback_inc_data(6)") (joined + (portRef (member feedback_inc_data 29)) + (portRef I1 (instanceRef N_38_i)) + )) + (net (rename n_190 "N_190") (joined + (portRef N_190) + (portRef I1 (instanceRef N_22_i)) + (portRef I1 (instanceRef N_18_i)) + (portRef I2 (instanceRef N_34_i)) + (portRef I2 (instanceRef N_30_i)) + (portRef I1 (instanceRef N_26_i)) + (portRef I1 (instanceRef N_14_i)) + (portRef I1 (instanceRef N_10_i)) + (portRef I1 (instanceRef N_6_i)) + (portRef I2 (instanceRef N_46_i)) + (portRef I2 (instanceRef N_42_i)) + (portRef I2 (instanceRef N_38_i)) + )) + (net (rename z_i_0_a3_a1 "Z_i_0_a3_a1") (joined + (portRef Z_i_0_a3_a1) + (portRef I3 (instanceRef N_46_i)) + (portRef I3 (instanceRef N_42_i)) + (portRef I3 (instanceRef N_38_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) + (portRef I3 (instanceRef N_30_i)) + (portRef I3 (instanceRef N_26_i)) + (portRef I2 (instanceRef N_14_i)) + (portRef I2 (instanceRef N_10_i)) + (portRef I2 (instanceRef N_6_i)) + (portRef I4 (instanceRef N_46_i)) + (portRef I4 (instanceRef N_42_i)) + (portRef I4 (instanceRef N_38_i)) + )) + (net (rename z_i_0_a3_a0 "Z_i_0_a3_a0") (joined + (portRef Z_i_0_a3_a0) + (portRef I5 (instanceRef N_46_i)) + (portRef I5 (instanceRef N_42_i)) + (portRef I5 (instanceRef N_38_i)) + )) + (net (rename N_38_iZ0 "N_38_i") (joined + (portRef LO (instanceRef N_38_i)) + (portRef N_38_i) + )) + (net (rename un1_psum0_signed_36 "un1_psum0_signed(36)") (joined + (portRef (member un1_psum0_signed 34)) + (portRef I0 (instanceRef N_42_i)) + )) + (net (rename feedback_inc_data_5 "feedback_inc_data(5)") (joined + (portRef (member feedback_inc_data 30)) + (portRef I1 (instanceRef N_42_i)) + )) + (net (rename N_42_iZ0 "N_42_i") (joined + (portRef LO (instanceRef N_42_i)) + (portRef N_42_i) + )) + (net (rename un1_psum0_signed_32 "un1_psum0_signed(32)") (joined + (portRef (member un1_psum0_signed 38)) + (portRef I0 (instanceRef N_46_i)) + )) + (net (rename feedback_inc_data_1 "feedback_inc_data(1)") (joined + (portRef (member feedback_inc_data 34)) + (portRef I1 (instanceRef N_46_i)) + )) + (net (rename N_46_iZ0 "N_46_i") (joined + (portRef LO (instanceRef N_46_i)) + (portRef N_46_i) + )) + (net (rename un1_psum0_signed_65 "un1_psum0_signed(65)") (joined + (portRef (member un1_psum0_signed 5)) + (portRef I0 (instanceRef N_6_i)) + )) + (net (rename feedback_inc_data_34 "feedback_inc_data(34)") (joined + (portRef (member feedback_inc_data 1)) + (portRef I3 (instanceRef N_6_i)) + )) + (net (rename n_218 "N_218") (joined + (portRef N_218) + (portRef I5 (instanceRef N_22_i)) + (portRef I5 (instanceRef N_18_i)) + (portRef I4 (instanceRef N_30_i)) + (portRef I4 (instanceRef N_26_i)) + (portRef I4 (instanceRef N_14_i)) + (portRef I4 (instanceRef N_10_i)) + (portRef I4 (instanceRef N_6_i)) + )) + (net (rename N_6_iZ0 "N_6_i") (joined + (portRef LO (instanceRef N_6_i)) + (portRef N_6_i) + )) + (net (rename un1_psum0_signed_62 "un1_psum0_signed(62)") (joined + (portRef (member un1_psum0_signed 8)) + (portRef I0 (instanceRef N_10_i)) + )) + (net (rename feedback_inc_data_31 "feedback_inc_data(31)") (joined + (portRef (member feedback_inc_data 4)) + (portRef I3 (instanceRef N_10_i)) + )) + (net (rename N_10_iZ0 "N_10_i") (joined + (portRef LO (instanceRef N_10_i)) + (portRef N_10_i) + )) + (net (rename un1_psum0_signed_60 "un1_psum0_signed(60)") (joined + (portRef (member un1_psum0_signed 10)) + (portRef I0 (instanceRef N_14_i)) + )) + (net (rename feedback_inc_data_29 "feedback_inc_data(29)") (joined + (portRef (member feedback_inc_data 6)) + (portRef I3 (instanceRef N_14_i)) + )) + (net (rename N_14_iZ0 "N_14_i") (joined + (portRef LO (instanceRef N_14_i)) + (portRef N_14_i) + )) + (net (rename un1_psum0_signed_49 "un1_psum0_signed(49)") (joined + (portRef (member un1_psum0_signed 21)) + (portRef I0 (instanceRef N_26_i)) + )) + (net (rename feedback_inc_data_18 "feedback_inc_data(18)") (joined + (portRef (member feedback_inc_data 17)) + (portRef I2 (instanceRef N_26_i)) + )) + (net (rename N_26_iZ0 "N_26_i") (joined + (portRef LO (instanceRef N_26_i)) + (portRef N_26_i) + )) + (net (rename un1_psum0_signed_48 "un1_psum0_signed(48)") (joined + (portRef (member un1_psum0_signed 22)) + (portRef I0 (instanceRef N_30_i)) + )) + (net (rename feedback_inc_data_17 "feedback_inc_data(17)") (joined + (portRef (member feedback_inc_data 18)) + (portRef I1 (instanceRef N_30_i)) + )) + (net (rename N_30_iZ0 "N_30_i") (joined + (portRef LO (instanceRef N_30_i)) + (portRef N_30_i) + )) + (net rstn (joined + (portRef rstn) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_0)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_35)) + (portRef I0 (instanceRef N_68_i)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_2)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_3)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_4)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_7)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_8)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_9)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_10)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_12)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_13)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_14)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_15)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_19)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_28)) + (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_22)) + )) + (net (rename un1_psum0_signed_53 "un1_psum0_signed(53)") (joined + (portRef (member un1_psum0_signed 17)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_22)) + )) + (net (rename feedback_inc_data_22 "feedback_inc_data(22)") (joined + (portRef (member feedback_inc_data 13)) + (portRef I4 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_22)) + )) + (net data_out_final_3_12 (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_22)) + (portRef data_out_final_3_12) + )) + (net (rename un1_psum0_signed_59 "un1_psum0_signed(59)") (joined + (portRef (member un1_psum0_signed 11)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_28)) + )) + (net (rename feedback_inc_data_28 "feedback_inc_data(28)") (joined + (portRef (member feedback_inc_data 7)) + (portRef I4 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_28)) + )) + (net data_out_final_3_6 (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_28)) + (portRef data_out_final_3_6) + )) + (net (rename un1_psum0_signed_50 "un1_psum0_signed(50)") (joined + (portRef (member un1_psum0_signed 20)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_19)) + )) + (net (rename feedback_inc_data_19 "feedback_inc_data(19)") (joined + (portRef (member feedback_inc_data 16)) + (portRef I4 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_19)) + )) + (net data_out_final_3_15 (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_19)) + (portRef data_out_final_3_15) + )) + (net (rename un1_psum0_signed_46 "un1_psum0_signed(46)") (joined + (portRef (member un1_psum0_signed 24)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_15)) + )) + (net (rename feedback_inc_data_15 "feedback_inc_data(15)") (joined + (portRef (member feedback_inc_data 20)) + (portRef I3 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_15)) + )) + (net data_out_final_3_19 (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_15)) + (portRef data_out_final_3_19) + )) + (net (rename un1_psum0_signed_45 "un1_psum0_signed(45)") (joined + (portRef (member un1_psum0_signed 25)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_14)) + )) + (net (rename feedback_inc_data_14 "feedback_inc_data(14)") (joined + (portRef (member feedback_inc_data 21)) + (portRef I4 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_14)) + )) + (net data_out_final_3_20 (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_14)) + (portRef data_out_final_3_20) + )) + (net (rename un1_psum0_signed_44 "un1_psum0_signed(44)") (joined + (portRef (member un1_psum0_signed 26)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_13)) + )) + (net (rename feedback_inc_data_13 "feedback_inc_data(13)") (joined + (portRef (member feedback_inc_data 22)) + (portRef I4 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_13)) + )) + (net data_out_final_3_21 (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_13)) + (portRef data_out_final_3_21) + )) + (net (rename un1_psum0_signed_43 "un1_psum0_signed(43)") (joined + (portRef (member un1_psum0_signed 27)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_12)) + )) + (net (rename feedback_inc_data_12 "feedback_inc_data(12)") (joined + (portRef (member feedback_inc_data 23)) + (portRef I3 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_12)) + )) + (net data_out_final_3_22 (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_12)) + (portRef data_out_final_3_22) + )) + (net (rename un1_psum0_signed_41 "un1_psum0_signed(41)") (joined + (portRef (member un1_psum0_signed 29)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_10)) + )) + (net (rename feedback_inc_data_10 "feedback_inc_data(10)") (joined + (portRef (member feedback_inc_data 25)) + (portRef I3 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_10)) + )) + (net data_out_final_3_24 (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_10)) + (portRef data_out_final_3_24) + )) + (net (rename un1_psum0_signed_40 "un1_psum0_signed(40)") (joined + (portRef (member un1_psum0_signed 30)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_9)) + )) + (net (rename feedback_inc_data_9 "feedback_inc_data(9)") (joined + (portRef (member feedback_inc_data 26)) + (portRef I2 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_9)) + )) + (net data_out_final_3_25 (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_9)) + (portRef data_out_final_3_25) + )) + (net (rename un1_psum0_signed_39 "un1_psum0_signed(39)") (joined + (portRef (member un1_psum0_signed 31)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_8)) + )) + (net (rename feedback_inc_data_8 "feedback_inc_data(8)") (joined + (portRef (member feedback_inc_data 27)) + (portRef I2 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_8)) + )) + (net data_out_final_3_26 (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_8)) + (portRef data_out_final_3_26) + )) + (net (rename un1_psum0_signed_38 "un1_psum0_signed(38)") (joined + (portRef (member un1_psum0_signed 32)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_7)) + )) + (net (rename feedback_inc_data_7 "feedback_inc_data(7)") (joined + (portRef (member feedback_inc_data 28)) + (portRef I2 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_7)) + )) + (net data_out_final_3_27 (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_7)) + (portRef data_out_final_3_27) + )) + (net (rename un1_psum0_signed_35 "un1_psum0_signed(35)") (joined + (portRef (member un1_psum0_signed 35)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_4)) + )) + (net (rename feedback_inc_data_4 "feedback_inc_data(4)") (joined + (portRef (member feedback_inc_data 31)) + (portRef I2 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_4)) + )) + (net data_out_final_3_30 (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_4)) + (portRef data_out_final_3_30) + )) + (net (rename un1_psum0_signed_34 "un1_psum0_signed(34)") (joined + (portRef (member un1_psum0_signed 36)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_3)) + )) + (net (rename feedback_inc_data_3 "feedback_inc_data(3)") (joined + (portRef (member feedback_inc_data 32)) + (portRef I2 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_3)) + )) + (net data_out_final_3_31 (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_3)) + (portRef data_out_final_3_31) + )) + (net (rename un1_psum0_signed_33 "un1_psum0_signed(33)") (joined + (portRef (member un1_psum0_signed 37)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_2)) + )) + (net (rename feedback_inc_data_2 "feedback_inc_data(2)") (joined + (portRef (member feedback_inc_data 33)) + (portRef I2 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_2)) + )) + (net data_out_final_3_32 (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_2)) + (portRef data_out_final_3_32) + )) + (net (rename N_68_iZ0 "N_68_i") (joined + (portRef LO (instanceRef N_68_i)) + (portRef N_68_i) + )) + (net (rename un1_psum0_signed_26 "un1_psum0_signed(26)") (joined + (portRef (member un1_psum0_signed 44)) + (portRef I0 (instanceRef Z_i_0_o3_28)) + )) + (net (rename un1_psum0_signed_27 "un1_psum0_signed(27)") (joined + (portRef (member un1_psum0_signed 43)) + (portRef I1 (instanceRef Z_i_0_o3_28)) + )) + (net (rename un1_psum0_signed_28 "un1_psum0_signed(28)") (joined + (portRef (member un1_psum0_signed 42)) + (portRef I2 (instanceRef Z_i_0_o3_28)) + )) + (net (rename un1_psum0_signed_25 "un1_psum0_signed(25)") (joined + (portRef (member un1_psum0_signed 45)) + (portRef I3 (instanceRef Z_i_0_o3_28)) + )) + (net (rename un1_psum0_signed_29 "un1_psum0_signed(29)") (joined + (portRef (member un1_psum0_signed 41)) + (portRef I4 (instanceRef Z_i_0_o3_28)) + )) + (net (rename Z_i_0_o3_28Z0Z_4 "Z_i_0_o3_28_4") (joined + (portRef LO (instanceRef Z_i_0_o3_28_4)) + (portRef I5 (instanceRef Z_i_0_o3_28)) + )) + (net (rename un1_psum0_signed_21 "un1_psum0_signed(21)") (joined + (portRef (member un1_psum0_signed 49)) + (portRef I0 (instanceRef Z_i_0_o3_28_4)) + )) + (net (rename un1_psum0_signed_22 "un1_psum0_signed(22)") (joined + (portRef (member un1_psum0_signed 48)) + (portRef I1 (instanceRef Z_i_0_o3_28_4)) + )) + (net (rename un1_psum0_signed_23 "un1_psum0_signed(23)") (joined + (portRef (member un1_psum0_signed 47)) + (portRef I2 (instanceRef Z_i_0_o3_28_4)) + )) + (net (rename un1_psum0_signed_24 "un1_psum0_signed(24)") (joined + (portRef (member un1_psum0_signed 46)) + (portRef I3 (instanceRef Z_i_0_o3_28_4)) + )) + (net (rename Z_i_0_o3_28Z0Z_3 "Z_i_0_o3_28_3") (joined + (portRef O (instanceRef Z_i_0_o3_28_3)) + (portRef I4 (instanceRef Z_i_0_o3_28_4)) + )) + (net (rename psum1_saved_0 "psum1_saved(0)") (joined + (portRef (member psum1_saved 19)) + (portRef I0 (instanceRef Z_i_0_o3_28_3)) + )) + (net (rename psum1_saved_1 "psum1_saved(1)") (joined + (portRef (member psum1_saved 18)) + (portRef I1 (instanceRef Z_i_0_o3_28_3)) + )) + (net (rename Z_i_0_o3_28Z0Z_0 "Z_i_0_o3_28_0") (joined + (portRef O (instanceRef Z_i_0_o3_28_0)) + (portRef I2 (instanceRef Z_i_0_o3_28_3)) + )) + (net (rename Z_i_0_o3_28Z0Z_2 "Z_i_0_o3_28_2") (joined + (portRef O (instanceRef Z_i_0_o3_28_2)) + (portRef I3 (instanceRef Z_i_0_o3_28_3)) + )) + (net (rename Z_i_0_o3_28Z0Z_1 "Z_i_0_o3_28_1") (joined + (portRef O (instanceRef Z_i_0_o3_28_1)) + (portRef I4 (instanceRef Z_i_0_o3_28_3)) + )) + (net (rename un1_psum0_signed_20 "un1_psum0_signed(20)") (joined + (portRef (member un1_psum0_signed 50)) + (portRef I5 (instanceRef Z_i_0_o3_28_3)) + )) + (net (rename psum1_saved_16 "psum1_saved(16)") (joined + (portRef (member psum1_saved 3)) + (portRef I0 (instanceRef Z_i_0_o3_28_0)) + )) + (net (rename psum1_saved_14 "psum1_saved(14)") (joined + (portRef (member psum1_saved 5)) + (portRef I1 (instanceRef Z_i_0_o3_28_0)) + )) + (net (rename psum1_saved_15 "psum1_saved(15)") (joined + (portRef (member psum1_saved 4)) + (portRef I2 (instanceRef Z_i_0_o3_28_0)) + )) + (net (rename psum1_saved_17 "psum1_saved(17)") (joined + (portRef (member psum1_saved 2)) + (portRef I3 (instanceRef Z_i_0_o3_28_0)) + )) + (net (rename psum1_saved_18 "psum1_saved(18)") (joined + (portRef (member psum1_saved 1)) + (portRef I4 (instanceRef Z_i_0_o3_28_0)) + )) + (net (rename psum1_saved_19 "psum1_saved(19)") (joined + (portRef (member psum1_saved 0)) + (portRef I5 (instanceRef Z_i_0_o3_28_0)) + )) + (net (rename psum1_saved_8 "psum1_saved(8)") (joined + (portRef (member psum1_saved 11)) + (portRef I0 (instanceRef Z_i_0_o3_28_1)) + )) + (net (rename psum1_saved_9 "psum1_saved(9)") (joined + (portRef (member psum1_saved 10)) + (portRef I1 (instanceRef Z_i_0_o3_28_1)) + )) + (net (rename psum1_saved_10 "psum1_saved(10)") (joined + (portRef (member psum1_saved 9)) + (portRef I2 (instanceRef Z_i_0_o3_28_1)) + )) + (net (rename psum1_saved_11 "psum1_saved(11)") (joined + (portRef (member psum1_saved 8)) + (portRef I3 (instanceRef Z_i_0_o3_28_1)) + )) + (net (rename psum1_saved_12 "psum1_saved(12)") (joined + (portRef (member psum1_saved 7)) + (portRef I4 (instanceRef Z_i_0_o3_28_1)) + )) + (net (rename psum1_saved_13 "psum1_saved(13)") (joined + (portRef (member psum1_saved 6)) + (portRef I5 (instanceRef Z_i_0_o3_28_1)) + )) + (net (rename psum1_saved_4 "psum1_saved(4)") (joined + (portRef (member psum1_saved 15)) + (portRef I0 (instanceRef Z_i_0_o3_28_2)) + )) + (net (rename psum1_saved_2 "psum1_saved(2)") (joined + (portRef (member psum1_saved 17)) + (portRef I1 (instanceRef Z_i_0_o3_28_2)) + )) + (net (rename psum1_saved_3 "psum1_saved(3)") (joined + (portRef (member psum1_saved 16)) + (portRef I2 (instanceRef Z_i_0_o3_28_2)) + )) + (net (rename psum1_saved_5 "psum1_saved(5)") (joined + (portRef (member psum1_saved 14)) + (portRef I3 (instanceRef Z_i_0_o3_28_2)) + )) + (net (rename psum1_saved_6 "psum1_saved(6)") (joined + (portRef (member psum1_saved 13)) + (portRef I4 (instanceRef Z_i_0_o3_28_2)) + )) + (net (rename psum1_saved_7 "psum1_saved(7)") (joined + (portRef (member psum1_saved 12)) + (portRef I5 (instanceRef Z_i_0_o3_28_2)) + )) + (net (rename feedback_inc_data_16 "feedback_inc_data(16)") (joined + (portRef (member feedback_inc_data 19)) + (portRef I0 (instanceRef N_34_i)) + )) + (net (rename z_n_5_mux "Z_N_5_mux") (joined + (portRef Z_N_5_mux) + (portRef I1 (instanceRef N_34_i)) + )) + (net (rename d_n_5_mux_1 "d_N_5_mux_1") (joined + (portRef d_N_5_mux_1) + (portRef I3 (instanceRef N_34_i)) + )) + (net (rename r_n_3_mux_1 "r_N_3_mux_1") (joined + (portRef r_N_3_mux_1) + (portRef I4 (instanceRef N_34_i)) + )) + (net (rename n_234 "N_234") (joined + (portRef N_234) + (portRef I5 (instanceRef N_34_i)) + )) + (net (rename N_34_iZ0 "N_34_i") (joined + (portRef LO (instanceRef N_34_i)) + (portRef N_34_i) + )) + (net data_out_final_3 (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_35)) + (portRef data_out_final_3) + )) + (net (rename un1_psum0_signed_31 "un1_psum0_signed(31)") (joined + (portRef (member un1_psum0_signed 39)) + (portRef I2 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_0)) + )) + (net data_out_final_3_34 (joined + (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_0)) + (portRef data_out_final_3_34) + )) + (net (rename un1_psum0_signed_52 "un1_psum0_signed(52)") (joined + (portRef (member un1_psum0_signed 18)) + (portRef I0 (instanceRef N_18_i)) + )) + (net (rename z_i_0_o3_0_a0_1 "Z_i_0_o3_0_a0_1") (joined + (portRef Z_i_0_o3_0_a0_1) + (portRef I2 (instanceRef N_22_i)) + (portRef I2 (instanceRef N_18_i)) + )) + (net (rename feedback_inc_data_21 "feedback_inc_data(21)") (joined + (portRef (member feedback_inc_data 14)) + (portRef I3 (instanceRef N_18_i)) + )) + (net (rename z_i_0_o3_1_1 "Z_i_0_o3_1_1") (joined + (portRef Z_i_0_o3_1_1) + (portRef I4 (instanceRef N_18_i)) + )) + (net (rename N_18_iZ0 "N_18_i") (joined + (portRef LO (instanceRef N_18_i)) + (portRef N_18_i) + )) + (net (rename un1_psum0_signed_51 "un1_psum0_signed(51)") (joined + (portRef (member un1_psum0_signed 19)) + (portRef I0 (instanceRef N_22_i)) + )) + (net (rename feedback_inc_data_20 "feedback_inc_data(20)") (joined + (portRef (member feedback_inc_data 15)) + (portRef I3 (instanceRef N_22_i)) + )) + (net (rename z_i_0_a3_0_a1 "Z_i_0_a3_0_a1") (joined + (portRef Z_i_0_a3_0_a1) + (portRef I4 (instanceRef N_22_i)) + )) + (net (rename N_22_iZ0 "N_22_i") (joined + (portRef LO (instanceRef N_22_i)) + (portRef N_22_i) + )) + ) + (property orig_inst_of (string "GTECH_AND2")) + ) + ) + (cell GTECH_AND2_17 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename un1_psum0_signed "un1_psum0_signed(70:30)") 41) (direction INPUT)) + (port psum0_ones_3_0 (direction OUTPUT)) + (port Z_i_0_o3_28 (direction INPUT)) + (port d_N_5_mux_1 (direction OUTPUT)) + ) + (contents + (instance Z_3_RNIMUA31 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h8000")) + ) + (instance Z_3 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h8000")) + ) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z_3_RNIMUA31)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z_3_RNIMUA31)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I2 (instanceRef Z_3_RNIMUA31)) + )) + (net psum0_ones_3_0 (joined + (portRef O (instanceRef Z_3)) + (portRef I3 (instanceRef Z_3_RNIMUA31)) + (portRef psum0_ones_3_0) + )) + (net (rename d_n_5_mux_1 "d_N_5_mux_1") (joined + (portRef O (instanceRef Z_3_RNIMUA31)) + (portRef d_N_5_mux_1) + )) + (net (rename un1_psum0_signed_68 "un1_psum0_signed(68)") (joined + (portRef (member un1_psum0_signed 2)) + (portRef I0 (instanceRef Z_3)) + )) + (net (rename un1_psum0_signed_66 "un1_psum0_signed(66)") (joined + (portRef (member un1_psum0_signed 4)) + (portRef I1 (instanceRef Z_3)) + )) + (net (rename un1_psum0_signed_67 "un1_psum0_signed(67)") (joined + (portRef (member un1_psum0_signed 3)) + (portRef I2 (instanceRef Z_3)) + )) + (net (rename un1_psum0_signed_69 "un1_psum0_signed(69)") (joined + (portRef (member un1_psum0_signed 1)) + (portRef I3 (instanceRef Z_3)) + )) + ) + (property orig_inst_of (string "GTECH_AND2")) + ) + ) + ) + (library dw03 + (edifLevel 0) + (technology (numberDefinition )) + (cell (rename dw03_dw_iir_dc_mult_16_36_20_31_0_1_1_data_in_web33up_widthfrac_coef_widthsaturation_modeout_reg_5_4 "dw03_dw_iir_dc_mult_16_36_20_31_0_1_1_data_in_widthdata_out_widthfrac_data_out_widthfeedback_widthmax_coef_widthfrac_coef_widthsaturation_modeout_reg_5_4") (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename b0_re "b0_re(31:0)") 32) (direction INPUT)) + (port (array (rename gated_in_data "gated_in_data(15:0)") 16) (direction OUTPUT)) + (port (array (rename a0_re "a0_re(31:0)") 32) (direction INPUT)) + (port (array (rename dout_0 "dout_0(35:0)") 36) (direction OUTPUT)) + (port (array (rename IIRin_re "IIRin_re(15:0)") 16) (direction INPUT)) + (port rstn (direction INPUT)) + (port en (direction INPUT)) + (port clk (direction INPUT)) + (port rstn_i (direction INPUT)) + (port saturation_0 (direction OUTPUT)) + ) + (contents + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_43 "PSUM2AND1_REGS.psum1_saved_3_lut[43]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_42 "PSUM2AND1_REGS.psum1_saved_3_lut[42]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_41 "PSUM2AND1_REGS.psum1_saved_3_lut[41]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_39 "PSUM2AND1_REGS.psum1_saved_3_lut[39]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_37 "PSUM2AND1_REGS.psum1_saved_3_lut[37]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_38 "PSUM2AND1_REGS.psum1_saved_3_lut[38]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_40 "PSUM2AND1_REGS.psum1_saved_3_lut[40]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_34 "PSUM2AND1_REGS.psum1_saved_3_lut[34]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_35 "PSUM2AND1_REGS.psum1_saved_3_lut[35]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_36 "PSUM2AND1_REGS.psum1_saved_3_lut[36]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_33 "PSUM2AND1_REGS.psum1_saved_3_lut[33]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_31 "PSUM2AND1_REGS.psum1_saved_3_lut[31]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_32 "PSUM2AND1_REGS.psum1_saved_3_lut[32]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_30 "PSUM2AND1_REGS.psum1_saved_3_lut[30]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_29 "PSUM2AND1_REGS.psum1_saved_3_lut[29]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_27 "PSUM2AND1_REGS.psum1_saved_3_lut[27]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_28 "PSUM2AND1_REGS.psum1_saved_3_lut[28]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_26 "PSUM2AND1_REGS.psum1_saved_3_lut[26]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_25 "PSUM2AND1_REGS.psum1_saved_3_lut[25]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_24 "PSUM2AND1_REGS.psum1_saved_3_lut[24]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_22 "PSUM2AND1_REGS.psum1_saved_3_lut[22]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_23 "PSUM2AND1_REGS.psum1_saved_3_lut[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_21 "PSUM2AND1_REGS.psum1_saved_3_lut[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_20 "PSUM2AND1_REGS.psum1_saved_3_lut[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_19 "PSUM2AND1_REGS.psum1_saved_3_lut[19]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_18 "PSUM2AND1_REGS.psum1_saved_3_lut[18]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_17 "PSUM2AND1_REGS.psum1_saved_3_lut[17]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_16 "PSUM2AND1_REGS.psum1_saved_3_lut[16]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_15 "PSUM2AND1_REGS.psum1_saved_3_lut[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_14 "PSUM2AND1_REGS.psum1_saved_3_lut[14]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_13 "PSUM2AND1_REGS.psum1_saved_3_lut[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_10 "PSUM2AND1_REGS.psum1_saved_3_lut[10]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_9 "PSUM2AND1_REGS.psum1_saved_3_lut[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_11 "PSUM2AND1_REGS.psum1_saved_3_lut[11]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_12 "PSUM2AND1_REGS.psum1_saved_3_lut[12]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_7 "PSUM2AND1_REGS.psum1_saved_3_lut[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_8 "PSUM2AND1_REGS.psum1_saved_3_lut[8]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_5 "PSUM2AND1_REGS.psum1_saved_3_lut[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_6 "PSUM2AND1_REGS.psum1_saved_3_lut[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_4 "PSUM2AND1_REGS.psum1_saved_3_lut[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_3 "PSUM2AND1_REGS.psum1_saved_3_lut[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_1 "PSUM2AND1_REGS.psum1_saved_3_lut[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_2 "PSUM2AND1_REGS.psum1_saved_3_lut[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_65 "PSUM2AND1_REGS.psum1_saved_3[65]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'h28")) + ) + (instance (rename A1_product_signedAdd_0_42_0 "A1_product_signedAdd_0[42:0]") (viewRef PRIM (cellRef DSP48E2 (libraryRef VIRTEXR))) + (property ADREG (integer 0)) + (property BMULTSEL (string "B")) + (property PREADDINSEL (string "A")) + (property AMULTSEL (string "A")) + (property INMODEREG (integer 0)) + (property DREG (integer 0)) + (property AUTORESET_PATDET (string "NO_RESET")) + (property USE_SIMD (string "ONE48")) + (property A_INPUT (string "CASCADE")) + (property B_INPUT (string "DIRECT")) + (property ALUMODEREG (integer 0)) + (property CARRYINREG (integer 0)) + (property CARRYINSELREG (integer 0)) + (property OPMODEREG (integer 0)) + (property MREG (integer 0)) + (property PREG (integer 0)) + (property CREG (integer 0)) + (property BCASCREG (integer 0)) + (property BREG (integer 0)) + (property ACASCREG (integer 0)) + (property AREG (integer 0)) + (property USE_MULT (string "MULTIPLY")) + ) + (instance (rename A1_product_signedAdd_1_34_0 "A1_product_signedAdd_1[34:0]") (viewRef PRIM (cellRef DSP48E2 (libraryRef VIRTEXR))) + (property ADREG (integer 0)) + (property BMULTSEL (string "B")) + (property PREADDINSEL (string "A")) + (property AMULTSEL (string "A")) + (property INMODEREG (integer 0)) + (property DREG (integer 0)) + (property AUTORESET_PATDET (string "NO_RESET")) + (property USE_SIMD (string "ONE48")) + (property A_INPUT (string "DIRECT")) + (property B_INPUT (string "DIRECT")) + (property ALUMODEREG (integer 0)) + (property CARRYINREG (integer 0)) + (property CARRYINSELREG (integer 0)) + (property OPMODEREG (integer 0)) + (property MREG (integer 0)) + (property PREG (integer 0)) + (property CREG (integer 0)) + (property BCASCREG (integer 0)) + (property BREG (integer 0)) + (property ACASCREG (integer 0)) + (property AREG (integer 0)) + (property USE_MULT (string "MULTIPLY")) + ) + (instance (rename B0_product_signedAdd_0_30_0 "B0_product_signedAdd_0[30:0]") (viewRef PRIM (cellRef DSP48E2 (libraryRef VIRTEXR))) + (property ADREG (integer 0)) + (property BMULTSEL (string "B")) + (property PREADDINSEL (string "A")) + (property AMULTSEL (string "A")) + (property INMODEREG (integer 0)) + (property DREG (integer 0)) + (property AUTORESET_PATDET (string "NO_RESET")) + (property USE_SIMD (string "ONE48")) + (property A_INPUT (string "DIRECT")) + (property B_INPUT (string "DIRECT")) + (property ALUMODEREG (integer 0)) + (property CARRYINREG (integer 0)) + (property CARRYINSELREG (integer 0)) + (property OPMODEREG (integer 0)) + (property MREG (integer 0)) + (property PREG (integer 0)) + (property CREG (integer 0)) + (property BCASCREG (integer 0)) + (property BREG (integer 0)) + (property ACASCREG (integer 0)) + (property AREG (integer 0)) + (property USE_MULT (string "MULTIPLY")) + ) + (instance (rename A1_product_signedAdd_2_24_0 "A1_product_signedAdd_2[24:0]") (viewRef PRIM (cellRef DSP48E2 (libraryRef VIRTEXR))) + (property ADREG (integer 0)) + (property BMULTSEL (string "B")) + (property PREADDINSEL (string "A")) + (property AMULTSEL (string "A")) + (property INMODEREG (integer 0)) + (property DREG (integer 0)) + (property AUTORESET_PATDET (string "NO_RESET")) + (property USE_SIMD (string "ONE48")) + (property A_INPUT (string "DIRECT")) + (property B_INPUT (string "DIRECT")) + (property ALUMODEREG (integer 0)) + (property CARRYINREG (integer 0)) + (property CARRYINSELREG (integer 0)) + (property OPMODEREG (integer 0)) + (property MREG (integer 0)) + (property PREG (integer 0)) + (property CREG (integer 0)) + (property BCASCREG (integer 0)) + (property BREG (integer 0)) + (property ACASCREG (integer 0)) + (property AREG (integer 0)) + (property USE_MULT (string "MULTIPLY")) + ) + (instance (rename A1_product_signed_0_44_0 "A1_product_signed_0[44:0]") (viewRef PRIM (cellRef DSP48E2 (libraryRef VIRTEXR))) + (property ADREG (integer 0)) + (property BMULTSEL (string "B")) + (property PREADDINSEL (string "A")) + (property AMULTSEL (string "A")) + (property INMODEREG (integer 0)) + (property DREG (integer 0)) + (property AUTORESET_PATDET (string "NO_RESET")) + (property USE_SIMD (string "ONE48")) + (property A_INPUT (string "DIRECT")) + (property B_INPUT (string "DIRECT")) + (property ALUMODEREG (integer 0)) + (property CARRYINREG (integer 0)) + (property CARRYINSELREG (integer 0)) + (property OPMODEREG (integer 0)) + (property MREG (integer 0)) + (property PREG (integer 0)) + (property CREG (integer 0)) + (property BCASCREG (integer 0)) + (property BREG (integer 0)) + (property ACASCREG (integer 0)) + (property AREG (integer 0)) + (property USE_MULT (string "MULTIPLY")) + ) + (instance (rename B0_product_signed_0_33_0 "B0_product_signed_0[33:0]") (viewRef PRIM (cellRef DSP48E2 (libraryRef VIRTEXR))) + (property ADREG (integer 0)) + (property BMULTSEL (string "B")) + (property PREADDINSEL (string "A")) + (property AMULTSEL (string "A")) + (property INMODEREG (integer 0)) + (property DREG (integer 0)) + (property AUTORESET_PATDET (string "NO_RESET")) + (property USE_SIMD (string "ONE48")) + (property A_INPUT (string "DIRECT")) + (property B_INPUT (string "DIRECT")) + (property ALUMODEREG (integer 0)) + (property CARRYINREG (integer 0)) + (property CARRYINSELREG (integer 0)) + (property OPMODEREG (integer 0)) + (property MREG (integer 0)) + (property PREG (integer 0)) + (property CREG (integer 0)) + (property BCASCREG (integer 0)) + (property BREG (integer 0)) + (property ACASCREG (integer 0)) + (property AREG (integer 0)) + (property USE_MULT (string "MULTIPLY")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_69 "PSUM2AND1_REGS.psum1_saved_3[69]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_68 "PSUM2AND1_REGS.psum1_saved_3[68]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_67 "PSUM2AND1_REGS.psum1_saved_3[67]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_66 "PSUM2AND1_REGS.psum1_saved_3[66]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_64 "PSUM2AND1_REGS.psum1_saved_3[64]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_63 "PSUM2AND1_REGS.psum1_saved_3[63]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_62 "PSUM2AND1_REGS.psum1_saved_3[62]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_61 "PSUM2AND1_REGS.psum1_saved_3[61]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_60 "PSUM2AND1_REGS.psum1_saved_3[60]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_59 "PSUM2AND1_REGS.psum1_saved_3[59]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_58 "PSUM2AND1_REGS.psum1_saved_3[58]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_57 "PSUM2AND1_REGS.psum1_saved_3[57]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_56 "PSUM2AND1_REGS.psum1_saved_3[56]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_55 "PSUM2AND1_REGS.psum1_saved_3[55]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_54 "PSUM2AND1_REGS.psum1_saved_3[54]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_53 "PSUM2AND1_REGS.psum1_saved_3[53]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_52 "PSUM2AND1_REGS.psum1_saved_3[52]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_51 "PSUM2AND1_REGS.psum1_saved_3[51]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_50 "PSUM2AND1_REGS.psum1_saved_3[50]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_49 "PSUM2AND1_REGS.psum1_saved_3[49]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_48 "PSUM2AND1_REGS.psum1_saved_3[48]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_47 "PSUM2AND1_REGS.psum1_saved_3[47]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_46 "PSUM2AND1_REGS.psum1_saved_3[46]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_45 "PSUM2AND1_REGS.psum1_saved_3[45]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_44 "PSUM2AND1_REGS.psum1_saved_3[44]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_0 "PSUM2AND1_REGS.psum1_saved_3[0]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_un1_enable "PSUM2AND1_REGS.un1_enable") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'hB")) + ) + (instance un1_psum0_signed_axb_70 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_cry_59_RNI99SN (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h8000")) + ) + (instance psum1_signed_s_44_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_45_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_46_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_47_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_48_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_49_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_50_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_51_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_52_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_53_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_54_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_55_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_56_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_57_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_58_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_59_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_60_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_61_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_62_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_63_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_64_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_65_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_66_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_69_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance (rename psum1_saved_0 "psum1_saved[0]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_1 "psum1_saved[1]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_2 "psum1_saved[2]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_3 "psum1_saved[3]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_4 "psum1_saved[4]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_5 "psum1_saved[5]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_6 "psum1_saved[6]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_7 "psum1_saved[7]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_8 "psum1_saved[8]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_9 "psum1_saved[9]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_10 "psum1_saved[10]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_11 "psum1_saved[11]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_12 "psum1_saved[12]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_13 "psum1_saved[13]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_14 "psum1_saved[14]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_15 "psum1_saved[15]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_16 "psum1_saved[16]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_17 "psum1_saved[17]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_18 "psum1_saved[18]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_19 "psum1_saved[19]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_20 "psum1_saved[20]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_21 "psum1_saved[21]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_22 "psum1_saved[22]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_23 "psum1_saved[23]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_24 "psum1_saved[24]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_25 "psum1_saved[25]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_26 "psum1_saved[26]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_27 "psum1_saved[27]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_28 "psum1_saved[28]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_29 "psum1_saved[29]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_30 "psum1_saved[30]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_31 "psum1_saved[31]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_32 "psum1_saved[32]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_33 "psum1_saved[33]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_34 "psum1_saved[34]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_35 "psum1_saved[35]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_36 "psum1_saved[36]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_37 "psum1_saved[37]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_38 "psum1_saved[38]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_39 "psum1_saved[39]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_40 "psum1_saved[40]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_41 "psum1_saved[41]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_42 "psum1_saved[42]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_43 "psum1_saved[43]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_44 "psum1_saved[44]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_45 "psum1_saved[45]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_46 "psum1_saved[46]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_47 "psum1_saved[47]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_48 "psum1_saved[48]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_49 "psum1_saved[49]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_50 "psum1_saved[50]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_51 "psum1_saved[51]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_52 "psum1_saved[52]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_53 "psum1_saved[53]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_54 "psum1_saved[54]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_55 "psum1_saved[55]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_56 "psum1_saved[56]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_57 "psum1_saved[57]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_58 "psum1_saved[58]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_59 "psum1_saved[59]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_60 "psum1_saved[60]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_61 "psum1_saved[61]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_62 "psum1_saved[62]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_63 "psum1_saved[63]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_64 "psum1_saved[64]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_65 "psum1_saved[65]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_66 "psum1_saved[66]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_67 "psum1_saved[67]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_68 "psum1_saved[68]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_69 "psum1_saved[69]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance saturation_final (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_0 "data_out_final[0]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_1 "data_out_final[1]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_2 "data_out_final[2]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_3 "data_out_final[3]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_4 "data_out_final[4]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_5 "data_out_final[5]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_6 "data_out_final[6]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_7 "data_out_final[7]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_8 "data_out_final[8]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_9 "data_out_final[9]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_10 "data_out_final[10]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_11 "data_out_final[11]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_12 "data_out_final[12]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_13 "data_out_final[13]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_14 "data_out_final[14]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_15 "data_out_final[15]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_16 "data_out_final[16]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_17 "data_out_final[17]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_18 "data_out_final[18]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_19 "data_out_final[19]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_20 "data_out_final[20]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_21 "data_out_final[21]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_22 "data_out_final[22]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_23 "data_out_final[23]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_24 "data_out_final[24]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_25 "data_out_final[25]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_26 "data_out_final[26]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_27 "data_out_final[27]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_28 "data_out_final[28]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_29 "data_out_final[29]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_30 "data_out_final[30]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_31 "data_out_final[31]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_32 "data_out_final[32]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_33 "data_out_final[33]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_34 "data_out_final[34]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_35 "data_out_final[35]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance psum1_signed_s_66 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_64 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_63 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_62 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_61 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_60 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_59 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_58 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_57 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_56 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_55 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_54 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_53 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_52 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_51 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_50 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_49 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_48 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_47 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_46 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_45 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_44 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_69 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_68 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_67 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_66 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_65 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_64 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_63 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_62 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_61 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_60 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_59 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_58 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_57 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_56 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_55 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_54 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_53 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_52 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_51 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_50 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_49 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_48 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_47 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_46 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_45 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_44 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_43 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_42 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_41 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_40 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_39 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_38 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_37 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_36 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_35 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_34 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_33 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_32 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_31 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_30 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_29 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_28 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_27 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_26 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_25 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_24 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_23 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_22 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_21 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_20 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_69_RNO (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_67_RNO (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_66_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_65_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_64_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_63_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_62_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_61_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_60_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_59_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_58_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_57_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_56_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_55_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_54_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_53_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_52_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_51_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_50_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_49_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_48_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_47_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_46_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_45_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_44_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_43_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_42_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_41_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_40_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_39_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_38_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_37_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_36_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_35_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_34_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_33_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_32_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_31_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_30_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_29_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_28_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_27_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_26_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_25_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_24_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_23_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_22_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_21_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_20_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_19_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_18_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_17_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_16_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_15_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_14_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_13_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_12_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_11_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_10_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_9_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_8_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_7_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_6_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_5_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_4_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_3_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_2_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_1_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_0_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_psum0_signed_cry_23 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + (property xcn_c4chain_base (integer 1)) + ) + (instance un1_psum0_signed_cry_27 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_31 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_35 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_39 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_43 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_47 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_51 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_55 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_59 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_63 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_67 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_s_70 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_3 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + (property xcn_c4chain_base (integer 1)) + ) + (instance psum1_signed_cry_7 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_11 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_15 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_19 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_23 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_27 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_31 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_35 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_39 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_43 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_47 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_51 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_55 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_59 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_63 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_67 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_s_69 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance (rename g1_13_AN1 "g1.13.AN1") (viewRef netlist (cellRef GTECH_AND2_284 (libraryRef gtech))) + ) + (instance (rename g1_14_AN1 "g1.14.AN1") (viewRef netlist (cellRef GTECH_AND2_285 (libraryRef gtech))) + ) + (instance (rename g1_15_AN1 "g1.15.AN1") (viewRef netlist (cellRef GTECH_AND2_286 (libraryRef gtech))) + ) + (instance (rename g1_10_AN1 "g1.10.AN1") (viewRef netlist (cellRef GTECH_AND2_287 (libraryRef gtech))) + ) + (instance (rename g1_4_AN1 "g1.4.AN1") (viewRef netlist (cellRef GTECH_AND2_288 (libraryRef gtech))) + ) + (instance (rename g1_2_AN1 "g1.2.AN1") (viewRef netlist (cellRef GTECH_AND2_289 (libraryRef gtech))) + ) + (instance (rename g1_9_AN1 "g1.9.AN1") (viewRef netlist (cellRef GTECH_AND2_290 (libraryRef gtech))) + ) + (instance (rename g1_3_AN1 "g1.3.AN1") (viewRef netlist (cellRef GTECH_AND2_291 (libraryRef gtech))) + ) + (instance (rename g1_6_AN1 "g1.6.AN1") (viewRef netlist (cellRef GTECH_AND2_292 (libraryRef gtech))) + ) + (instance (rename g1_0_AN1 "g1.0.AN1") (viewRef netlist (cellRef GTECH_AND2_293 (libraryRef gtech))) + ) + (instance (rename g1_7_AN1 "g1.7.AN1") (viewRef netlist (cellRef GTECH_AND2_294 (libraryRef gtech))) + ) + (instance (rename g1_1_AN1 "g1.1.AN1") (viewRef netlist (cellRef GTECH_AND2_295 (libraryRef gtech))) + ) + (instance (rename g1_8_AN1 "g1.8.AN1") (viewRef netlist (cellRef GTECH_AND2_296 (libraryRef gtech))) + ) + (instance (rename g1_11_AN1 "g1.11.AN1") (viewRef netlist (cellRef GTECH_AND2_297 (libraryRef gtech))) + ) + (instance (rename g1_5_AN1 "g1.5.AN1") (viewRef netlist (cellRef GTECH_AND2_298 (libraryRef gtech))) + ) + (instance (rename g1_12_AN1 "g1.12.AN1") (viewRef netlist (cellRef GTECH_AND2_299 (libraryRef gtech))) + ) + (instance (rename gO_2_gO_2cN_AN8 "gO_2.gO_2cN.AN8") (viewRef netlist (cellRef GTECH_AND2_304 (libraryRef gtech))) + ) + (instance (rename gO_2_gO_2d_INC2 "gO_2.gO_2d.INC2") (viewRef netlist (cellRef DW01_inc_36_4 (libraryRef dw01))) + ) + (instance (rename gO_2_gO_2d_gO_2d1_0_AN11 "gO_2.gO_2d.gO_2d1.0.AN11") (viewRef netlist (cellRef GTECH_AND2_336 (libraryRef gtech))) + ) + (instance (rename gO_4_30_MUX12 "gO_4.30.MUX12") (viewRef netlist (cellRef GTECH_MUX2_395 (libraryRef gtech))) + ) + (instance (rename gO_4_23_MUX12 "gO_4.23.MUX12") (viewRef netlist (cellRef GTECH_MUX2_396 (libraryRef gtech))) + ) + (instance (rename gO_4_32_MUX12 "gO_4.32.MUX12") (viewRef netlist (cellRef GTECH_MUX2_397 (libraryRef gtech))) + ) + (instance (rename gO_4_25_MUX12 "gO_4.25.MUX12") (viewRef netlist (cellRef GTECH_MUX2_398 (libraryRef gtech))) + ) + (instance (rename gO_4_33_MUX12 "gO_4.33.MUX12") (viewRef netlist (cellRef GTECH_MUX2_399 (libraryRef gtech))) + ) + (instance (rename gO_4_26_MUX12 "gO_4.26.MUX12") (viewRef netlist (cellRef GTECH_MUX2_400 (libraryRef gtech))) + ) + (instance (rename gO_4_34_MUX12 "gO_4.34.MUX12") (viewRef netlist (cellRef GTECH_MUX2_401 (libraryRef gtech))) + ) + (instance (rename gO_4_27_MUX12 "gO_4.27.MUX12") (viewRef netlist (cellRef GTECH_MUX2_402 (libraryRef gtech))) + ) + (instance (rename gO_4_35_MUX12 "gO_4.35.MUX12") (viewRef netlist (cellRef GTECH_MUX2_403 (libraryRef gtech))) + ) + (instance (rename gO_4_28_MUX12 "gO_4.28.MUX12") (viewRef netlist (cellRef GTECH_MUX2_404 (libraryRef gtech))) + ) + (instance (rename gO_4_16_MUX12 "gO_4.16.MUX12") (viewRef netlist (cellRef GTECH_MUX2_405 (libraryRef gtech))) + ) + (instance (rename gO_4_9_MUX12 "gO_4.9.MUX12") (viewRef netlist (cellRef GTECH_MUX2_406 (libraryRef gtech))) + ) + (instance (rename gO_4_15_MUX12 "gO_4.15.MUX12") (viewRef netlist (cellRef GTECH_MUX2_407 (libraryRef gtech))) + ) + (instance (rename gO_4_21_MUX12 "gO_4.21.MUX12") (viewRef netlist (cellRef GTECH_MUX2_408 (libraryRef gtech))) + ) + (instance (rename gO_4_14_MUX12 "gO_4.14.MUX12") (viewRef netlist (cellRef GTECH_MUX2_409 (libraryRef gtech))) + ) + (instance (rename gO_4_20_MUX12 "gO_4.20.MUX12") (viewRef netlist (cellRef GTECH_MUX2_410 (libraryRef gtech))) + ) + (instance (rename gO_4_13_MUX12 "gO_4.13.MUX12") (viewRef netlist (cellRef GTECH_MUX2_411 (libraryRef gtech))) + ) + (instance (rename gO_4_19_MUX12 "gO_4.19.MUX12") (viewRef netlist (cellRef GTECH_MUX2_412 (libraryRef gtech))) + ) + (instance (rename gO_4_12_MUX12 "gO_4.12.MUX12") (viewRef netlist (cellRef GTECH_MUX2_413 (libraryRef gtech))) + ) + (instance (rename gO_4_29_MUX12 "gO_4.29.MUX12") (viewRef netlist (cellRef GTECH_MUX2_414 (libraryRef gtech))) + ) + (instance (rename gO_4_22_MUX12 "gO_4.22.MUX12") (viewRef netlist (cellRef GTECH_MUX2_415 (libraryRef gtech))) + ) + (instance (rename gO_4_17_MUX12 "gO_4.17.MUX12") (viewRef netlist (cellRef GTECH_MUX2_416 (libraryRef gtech))) + ) + (instance (rename gO_4_10_MUX12 "gO_4.10.MUX12") (viewRef netlist (cellRef GTECH_MUX2_417 (libraryRef gtech))) + ) + (instance (rename gO_4_4_MUX12 "gO_4.4.MUX12") (viewRef netlist (cellRef GTECH_MUX2_418 (libraryRef gtech))) + ) + (instance (rename gO_4_3_MUX12 "gO_4.3.MUX12") (viewRef netlist (cellRef GTECH_MUX2_419 (libraryRef gtech))) + ) + (instance (rename gO_4_2_MUX12 "gO_4.2.MUX12") (viewRef netlist (cellRef GTECH_MUX2_420 (libraryRef gtech))) + ) + (instance (rename gO_4_8_MUX12 "gO_4.8.MUX12") (viewRef netlist (cellRef GTECH_MUX2_421 (libraryRef gtech))) + ) + (instance (rename gO_4_1_MUX12 "gO_4.1.MUX12") (viewRef netlist (cellRef GTECH_MUX2_422 (libraryRef gtech))) + ) + (instance (rename gO_4_7_MUX12 "gO_4.7.MUX12") (viewRef netlist (cellRef GTECH_MUX2_423 (libraryRef gtech))) + ) + (instance (rename gO_4_0_MUX12 "gO_4.0.MUX12") (viewRef netlist (cellRef GTECH_MUX2_424 (libraryRef gtech))) + ) + (instance (rename gO_4_6_MUX12 "gO_4.6.MUX12") (viewRef netlist (cellRef GTECH_MUX2_425 (libraryRef gtech))) + ) + (instance (rename gO_4_5_MUX12 "gO_4.5.MUX12") (viewRef netlist (cellRef GTECH_MUX2_426 (libraryRef gtech))) + ) + (instance (rename gO_4_31_MUX12 "gO_4.31.MUX12") (viewRef netlist (cellRef GTECH_MUX2_427 (libraryRef gtech))) + ) + (instance (rename gO_4_24_MUX12 "gO_4.24.MUX12") (viewRef netlist (cellRef GTECH_MUX2_428 (libraryRef gtech))) + ) + (instance (rename gO_4_18_MUX12 "gO_4.18.MUX12") (viewRef netlist (cellRef GTECH_MUX2_429 (libraryRef gtech))) + ) + (instance (rename gO_4_11_MUX12 "gO_4.11.MUX12") (viewRef netlist (cellRef GTECH_MUX2_430 (libraryRef gtech))) + ) + (instance AN24 (viewRef netlist (cellRef GTECH_AND2_340 (libraryRef gtech))) + ) + (instance OR25 (viewRef netlist (cellRef GTECH_OR2_208 (libraryRef gtech))) + ) + (instance GND (viewRef PRIM (cellRef GND (libraryRef UNILIB))) ) + (instance VCC (viewRef PRIM (cellRef VCC (libraryRef UNILIB))) ) + (net (rename A1_product_signed_43 "A1_product_signed(43)") (joined + (portRef (member P 47) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_43)) + (portRef I0 (instanceRef psum1_signed_cry_43_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_43)) + )) + (net psum1_signed_cry_42 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_43)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_43)) + )) + (net rstn (joined + (portRef rstn) + (portRef rstn (instanceRef gO_4_11_MUX12)) + (portRef rstn (instanceRef gO_2_gO_2cN_AN8)) + (portRef rstn (instanceRef g1_12_AN1)) + (portRef rstn (instanceRef g1_5_AN1)) + (portRef rstn (instanceRef g1_11_AN1)) + (portRef rstn (instanceRef g1_8_AN1)) + (portRef rstn (instanceRef g1_1_AN1)) + (portRef rstn (instanceRef g1_7_AN1)) + (portRef rstn (instanceRef g1_0_AN1)) + (portRef rstn (instanceRef g1_6_AN1)) + (portRef rstn (instanceRef g1_3_AN1)) + (portRef rstn (instanceRef g1_9_AN1)) + (portRef rstn (instanceRef g1_2_AN1)) + (portRef rstn (instanceRef g1_4_AN1)) + (portRef rstn (instanceRef g1_10_AN1)) + (portRef rstn (instanceRef g1_15_AN1)) + (portRef rstn (instanceRef g1_14_AN1)) + (portRef rstn (instanceRef g1_13_AN1)) + (portRef I1 (instanceRef PSUM2AND1_REGS_un1_enable)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_0)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_44)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_45)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_46)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_47)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_48)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_49)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_50)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_51)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_52)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_53)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_54)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_55)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_56)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_57)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_58)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_59)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_60)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_61)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_62)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_63)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_64)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_66)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_67)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_68)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_69)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_65)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_2)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_1)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_3)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_8)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_12)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_11)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_10)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_13)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_14)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_15)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_16)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_17)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_18)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_19)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_20)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_23)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_24)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_25)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_26)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_28)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_27)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_29)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_30)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_32)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_31)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_33)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_36)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_35)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_34)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_40)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_38)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_37)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_39)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_41)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_42)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_43)) + )) + (net (rename psum1_saved_3_43 "psum1_saved_3(43)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_43)) + (portRef D (instanceRef psum1_saved_43)) + )) + (net (rename A1_product_signed_42 "A1_product_signed(42)") (joined + (portRef (member P 31) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_43)) + (portRef I0 (instanceRef psum1_signed_cry_42_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_42)) + )) + (net psum1_signed_cry_41 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_43)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_42)) + )) + (net (rename psum1_saved_3_42 "psum1_saved_3(42)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_42)) + (portRef D (instanceRef psum1_saved_42)) + )) + (net (rename A1_product_signed_41 "A1_product_signed(41)") (joined + (portRef (member P 32) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_43)) + (portRef I0 (instanceRef psum1_signed_cry_41_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_41)) + )) + (net psum1_signed_cry_40 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_43)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_41)) + )) + (net (rename psum1_saved_3_41 "psum1_saved_3(41)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_41)) + (portRef D (instanceRef psum1_saved_41)) + )) + (net (rename A1_product_signed_39 "A1_product_signed(39)") (joined + (portRef (member P 34) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_39)) + (portRef I0 (instanceRef psum1_signed_cry_39_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_39)) + )) + (net psum1_signed_cry_38 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_39)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_39)) + )) + (net (rename psum1_saved_3_39 "psum1_saved_3(39)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_39)) + (portRef D (instanceRef psum1_saved_39)) + )) + (net (rename A1_product_signed_37 "A1_product_signed(37)") (joined + (portRef (member P 36) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_39)) + (portRef I0 (instanceRef psum1_signed_cry_37_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_37)) + )) + (net psum1_signed_cry_36 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_39)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_37)) + )) + (net (rename psum1_saved_3_37 "psum1_saved_3(37)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_37)) + (portRef D (instanceRef psum1_saved_37)) + )) + (net (rename A1_product_signed_38 "A1_product_signed(38)") (joined + (portRef (member P 35) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_39)) + (portRef I0 (instanceRef psum1_signed_cry_38_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_38)) + )) + (net psum1_signed_cry_37 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_39)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_38)) + )) + (net (rename psum1_saved_3_38 "psum1_saved_3(38)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_38)) + (portRef D (instanceRef psum1_saved_38)) + )) + (net (rename A1_product_signed_40 "A1_product_signed(40)") (joined + (portRef (member P 33) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_43)) + (portRef I0 (instanceRef psum1_signed_cry_40_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_40)) + )) + (net (rename psum1_signed_cryZ0Z_39 "psum1_signed_cry_39") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_39)) + (portRef CI (instanceRef psum1_signed_cry_43)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_40)) + )) + (net (rename psum1_saved_3_40 "psum1_saved_3(40)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_40)) + (portRef D (instanceRef psum1_saved_40)) + )) + (net (rename A1_product_signed_34 "A1_product_signed(34)") (joined + (portRef (member P 39) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_35)) + (portRef I0 (instanceRef psum1_signed_cry_34_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_34)) + )) + (net psum1_signed_cry_33 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_35)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_34)) + )) + (net (rename psum1_saved_3_34 "psum1_saved_3(34)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_34)) + (portRef D (instanceRef psum1_saved_34)) + )) + (net (rename A1_product_signed_35 "A1_product_signed(35)") (joined + (portRef (member P 38) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_35)) + (portRef I0 (instanceRef psum1_signed_cry_35_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_35)) + )) + (net psum1_signed_cry_34 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_35)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_35)) + )) + (net (rename psum1_saved_3_35 "psum1_saved_3(35)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_35)) + (portRef D (instanceRef psum1_saved_35)) + )) + (net (rename A1_product_signed_36 "A1_product_signed(36)") (joined + (portRef (member P 37) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_39)) + (portRef I0 (instanceRef psum1_signed_cry_36_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_36)) + )) + (net (rename psum1_signed_cryZ0Z_35 "psum1_signed_cry_35") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_35)) + (portRef CI (instanceRef psum1_signed_cry_39)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_36)) + )) + (net (rename psum1_saved_3_36 "psum1_saved_3(36)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_36)) + (portRef D (instanceRef psum1_saved_36)) + )) + (net (rename A1_product_signed_33 "A1_product_signed(33)") (joined + (portRef (member P 40) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_35)) + (portRef I0 (instanceRef psum1_signed_cry_33_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_33)) + )) + (net psum1_signed_cry_32 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_35)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_33)) + )) + (net (rename psum1_saved_3_33 "psum1_saved_3(33)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_33)) + (portRef D (instanceRef psum1_saved_33)) + )) + (net (rename A1_product_signed_31 "A1_product_signed(31)") (joined + (portRef (member P 42) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_31)) + (portRef I0 (instanceRef psum1_signed_cry_31_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_31)) + )) + (net psum1_signed_cry_30 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_31)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_31)) + )) + (net (rename psum1_saved_3_31 "psum1_saved_3(31)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_31)) + (portRef D (instanceRef psum1_saved_31)) + )) + (net (rename A1_product_signed_32 "A1_product_signed(32)") (joined + (portRef (member P 41) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_35)) + (portRef I0 (instanceRef psum1_signed_cry_32_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_32)) + )) + (net (rename psum1_signed_cryZ0Z_31 "psum1_signed_cry_31") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_31)) + (portRef CI (instanceRef psum1_signed_cry_35)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_32)) + )) + (net (rename psum1_saved_3_32 "psum1_saved_3(32)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_32)) + (portRef D (instanceRef psum1_saved_32)) + )) + (net (rename A1_product_signed_30 "A1_product_signed(30)") (joined + (portRef (member P 43) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_31)) + (portRef I0 (instanceRef psum1_signed_cry_30_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_30)) + )) + (net psum1_signed_cry_29 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_31)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_30)) + )) + (net (rename psum1_saved_3_30 "psum1_saved_3(30)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_30)) + (portRef D (instanceRef psum1_saved_30)) + )) + (net (rename A1_product_signed_29 "A1_product_signed(29)") (joined + (portRef (member P 44) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_31)) + (portRef I0 (instanceRef psum1_signed_cry_29_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_29)) + )) + (net psum1_signed_cry_28 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_31)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_29)) + )) + (net (rename psum1_saved_3_29 "psum1_saved_3(29)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_29)) + (portRef D (instanceRef psum1_saved_29)) + )) + (net (rename A1_product_signed_27 "A1_product_signed(27)") (joined + (portRef (member P 46) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_27)) + (portRef I0 (instanceRef psum1_signed_cry_27_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_27)) + )) + (net psum1_signed_cry_26 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_27)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_27)) + )) + (net (rename psum1_saved_3_27 "psum1_saved_3(27)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_27)) + (portRef D (instanceRef psum1_saved_27)) + )) + (net (rename A1_product_signed_28 "A1_product_signed(28)") (joined + (portRef (member P 45) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_31)) + (portRef I0 (instanceRef psum1_signed_cry_28_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_28)) + )) + (net (rename psum1_signed_cryZ0Z_27 "psum1_signed_cry_27") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_27)) + (portRef CI (instanceRef psum1_signed_cry_31)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_28)) + )) + (net (rename psum1_saved_3_28 "psum1_saved_3(28)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_28)) + (portRef D (instanceRef psum1_saved_28)) + )) + (net (rename A1_product_signed_26 "A1_product_signed(26)") (joined + (portRef (member P 47) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_27)) + (portRef I0 (instanceRef psum1_signed_cry_26_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_26)) + )) + (net psum1_signed_cry_25 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_27)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_26)) + )) + (net (rename psum1_saved_3_26 "psum1_saved_3(26)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_26)) + (portRef D (instanceRef psum1_saved_26)) + )) + (net (rename A1_product_signed_25 "A1_product_signed(25)") (joined + (portRef (member P 39) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_27)) + (portRef I0 (instanceRef psum1_signed_cry_25_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_25)) + )) + (net psum1_signed_cry_24 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_27)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_25)) + )) + (net (rename psum1_saved_3_25 "psum1_saved_3(25)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_25)) + (portRef D (instanceRef psum1_saved_25)) + )) + (net (rename A1_product_signed_24 "A1_product_signed(24)") (joined + (portRef (member P 40) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_27)) + (portRef I0 (instanceRef psum1_signed_cry_24_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_24)) + )) + (net (rename psum1_signed_cryZ0Z_23 "psum1_signed_cry_23") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_23)) + (portRef CI (instanceRef psum1_signed_cry_27)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_24)) + )) + (net (rename psum1_saved_3_24 "psum1_saved_3(24)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_24)) + (portRef D (instanceRef psum1_saved_24)) + )) + (net (rename A1_product_signed_22 "A1_product_signed(22)") (joined + (portRef (member P 42) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_23)) + (portRef I0 (instanceRef psum1_signed_cry_22_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) + )) + (net psum1_signed_cry_21 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_23)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) + )) + (net (rename psum1_saved_3_22 "psum1_saved_3(22)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) + (portRef D (instanceRef psum1_saved_22)) + )) + (net (rename A1_product_signed_23 "A1_product_signed(23)") (joined + (portRef (member P 41) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_23)) + (portRef I0 (instanceRef psum1_signed_cry_23_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_23)) + )) + (net psum1_signed_cry_22 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_23)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_23)) + )) + (net (rename psum1_saved_3_23 "psum1_saved_3(23)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_23)) + (portRef D (instanceRef psum1_saved_23)) + )) + (net (rename A1_product_signed_21 "A1_product_signed(21)") (joined + (portRef (member P 43) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_23)) + (portRef I0 (instanceRef psum1_signed_cry_21_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) + )) + (net psum1_signed_cry_20 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_23)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) + )) + (net (rename psum1_saved_3_21 "psum1_saved_3(21)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) + (portRef D (instanceRef psum1_saved_21)) + )) + (net (rename A1_product_signed_20 "A1_product_signed(20)") (joined + (portRef (member P 44) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_23)) + (portRef I0 (instanceRef psum1_signed_cry_20_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_20)) + )) + (net (rename psum1_signed_cryZ0Z_19 "psum1_signed_cry_19") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_19)) + (portRef CI (instanceRef psum1_signed_cry_23)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_20)) + )) + (net (rename psum1_saved_3_20 "psum1_saved_3(20)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_20)) + (portRef D (instanceRef psum1_saved_20)) + )) + (net (rename A1_product_signed_19 "A1_product_signed(19)") (joined + (portRef (member P 45) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_19)) + (portRef I0 (instanceRef psum1_signed_cry_19_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_19)) + )) + (net psum1_signed_cry_18 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_19)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_19)) + )) + (net (rename psum1_saved_3_19 "psum1_saved_3(19)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_19)) + (portRef D (instanceRef psum1_saved_19)) + )) + (net (rename A1_product_signed_18 "A1_product_signed(18)") (joined + (portRef (member P 46) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_19)) + (portRef I0 (instanceRef psum1_signed_cry_18_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_18)) + )) + (net psum1_signed_cry_17 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_19)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_18)) + )) + (net (rename psum1_saved_3_18 "psum1_saved_3(18)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_18)) + (portRef D (instanceRef psum1_saved_18)) + )) + (net (rename A1_product_signed_17 "A1_product_signed(17)") (joined + (portRef (member P 47) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_19)) + (portRef I0 (instanceRef psum1_signed_cry_17_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_17)) + )) + (net psum1_signed_cry_16 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_19)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_17)) + )) + (net (rename psum1_saved_3_17 "psum1_saved_3(17)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_17)) + (portRef D (instanceRef psum1_saved_17)) + )) + (net (rename A1_product_signed_16 "A1_product_signed(16)") (joined + (portRef (member P 31) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_19)) + (portRef I0 (instanceRef psum1_signed_cry_16_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_16)) + )) + (net (rename psum1_signed_cryZ0Z_15 "psum1_signed_cry_15") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_15)) + (portRef CI (instanceRef psum1_signed_cry_19)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_16)) + )) + (net (rename psum1_saved_3_16 "psum1_saved_3(16)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_16)) + (portRef D (instanceRef psum1_saved_16)) + )) + (net (rename A1_product_signed_15 "A1_product_signed(15)") (joined + (portRef (member P 32) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_15)) + (portRef I0 (instanceRef psum1_signed_cry_15_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_15)) + )) + (net psum1_signed_cry_14 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_15)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_15)) + )) + (net (rename psum1_saved_3_15 "psum1_saved_3(15)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_15)) + (portRef D (instanceRef psum1_saved_15)) + )) + (net (rename A1_product_signed_14 "A1_product_signed(14)") (joined + (portRef (member P 33) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_15)) + (portRef I0 (instanceRef psum1_signed_cry_14_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_14)) + )) + (net psum1_signed_cry_13 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_15)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_14)) + )) + (net (rename psum1_saved_3_14 "psum1_saved_3(14)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_14)) + (portRef D (instanceRef psum1_saved_14)) + )) + (net (rename A1_product_signed_13 "A1_product_signed(13)") (joined + (portRef (member P 34) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_15)) + (portRef I0 (instanceRef psum1_signed_cry_13_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_13)) + )) + (net psum1_signed_cry_12 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_15)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_13)) + )) + (net (rename psum1_saved_3_13 "psum1_saved_3(13)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_13)) + (portRef D (instanceRef psum1_saved_13)) + )) + (net (rename A1_product_signed_10 "A1_product_signed(10)") (joined + (portRef (member P 37) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_11)) + (portRef I0 (instanceRef psum1_signed_cry_10_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_10)) + )) + (net psum1_signed_cry_9 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_11)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_10)) + )) + (net (rename psum1_saved_3_10 "psum1_saved_3(10)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_10)) + (portRef D (instanceRef psum1_saved_10)) + )) + (net (rename A1_product_signed_9 "A1_product_signed(9)") (joined + (portRef (member P 38) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_11)) + (portRef I0 (instanceRef psum1_signed_cry_9_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) + )) + (net psum1_signed_cry_8 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_11)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) + )) + (net (rename psum1_saved_3_9 "psum1_saved_3(9)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) + (portRef D (instanceRef psum1_saved_9)) + )) + (net (rename A1_product_signed_11 "A1_product_signed(11)") (joined + (portRef (member P 36) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_11)) + (portRef I0 (instanceRef psum1_signed_cry_11_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_11)) + )) + (net psum1_signed_cry_10 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_11)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_11)) + )) + (net (rename psum1_saved_3_11 "psum1_saved_3(11)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_11)) + (portRef D (instanceRef psum1_saved_11)) + )) + (net (rename A1_product_signed_12 "A1_product_signed(12)") (joined + (portRef (member P 35) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_15)) + (portRef I0 (instanceRef psum1_signed_cry_12_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_12)) + )) + (net (rename psum1_signed_cryZ0Z_11 "psum1_signed_cry_11") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_11)) + (portRef CI (instanceRef psum1_signed_cry_15)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_12)) + )) + (net (rename psum1_saved_3_12 "psum1_saved_3(12)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_12)) + (portRef D (instanceRef psum1_saved_12)) + )) + (net (rename A1_product_signed_7 "A1_product_signed(7)") (joined + (portRef (member P 40) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_7)) + (portRef I0 (instanceRef psum1_signed_cry_7_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) + )) + (net psum1_signed_cry_6 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_7)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) + )) + (net (rename psum1_saved_3_7 "psum1_saved_3(7)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) + (portRef D (instanceRef psum1_saved_7)) + )) + (net (rename A1_product_signed_8 "A1_product_signed(8)") (joined + (portRef (member P 39) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_11)) + (portRef I0 (instanceRef psum1_signed_cry_8_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_8)) + )) + (net (rename psum1_signed_cryZ0Z_7 "psum1_signed_cry_7") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_7)) + (portRef CI (instanceRef psum1_signed_cry_11)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_8)) + )) + (net (rename psum1_saved_3_8 "psum1_saved_3(8)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_8)) + (portRef D (instanceRef psum1_saved_8)) + )) + (net (rename A1_product_signed_5 "A1_product_signed(5)") (joined + (portRef (member P 42) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_7)) + (portRef I0 (instanceRef psum1_signed_cry_5_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) + )) + (net psum1_signed_cry_4 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_7)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) + )) + (net (rename psum1_saved_3_5 "psum1_saved_3(5)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) + (portRef D (instanceRef psum1_saved_5)) + )) + (net (rename A1_product_signed_6 "A1_product_signed(6)") (joined + (portRef (member P 41) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_7)) + (portRef I0 (instanceRef psum1_signed_cry_6_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) + )) + (net psum1_signed_cry_5 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_7)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) + )) + (net (rename psum1_saved_3_6 "psum1_saved_3(6)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) + (portRef D (instanceRef psum1_saved_6)) + )) + (net (rename A1_product_signed_4 "A1_product_signed(4)") (joined + (portRef (member P 43) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_7)) + (portRef I0 (instanceRef psum1_signed_cry_4_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) + )) + (net (rename psum1_signed_cryZ0Z_3 "psum1_signed_cry_3") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_3)) + (portRef CI (instanceRef psum1_signed_cry_7)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) + )) + (net (rename psum1_saved_3_4 "psum1_saved_3(4)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) + (portRef D (instanceRef psum1_saved_4)) + )) + (net (rename A1_product_signed_3 "A1_product_signed(3)") (joined + (portRef (member P 44) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_3)) + (portRef I0 (instanceRef psum1_signed_cry_3_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_3)) + )) + (net psum1_signed_cry_2 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_3)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_3)) + )) + (net (rename psum1_saved_3_3 "psum1_saved_3(3)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_3)) + (portRef D (instanceRef psum1_saved_3)) + )) + (net (rename A1_product_signed_1 "A1_product_signed(1)") (joined + (portRef (member P 46) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_3)) + (portRef I0 (instanceRef psum1_signed_cry_1_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_1)) + )) + (net psum1_signed_cry_0 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_3)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_1)) + )) + (net (rename psum1_saved_3_1 "psum1_saved_3(1)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_1)) + (portRef D (instanceRef psum1_saved_1)) + )) + (net (rename A1_product_signed_2 "A1_product_signed(2)") (joined + (portRef (member P 45) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_3)) + (portRef I0 (instanceRef psum1_signed_cry_2_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_2)) + )) + (net psum1_signed_cry_1 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_3)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_2)) + )) + (net (rename psum1_saved_3_2 "psum1_saved_3(2)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_2)) + (portRef D (instanceRef psum1_saved_2)) + )) + (net (rename A1_product_signed_1_65 "A1_product_signed_1(65)") (joined + (portRef O (instanceRef psum1_signed_s_65_thru)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_65)) + )) + (net psum1_signed_cry_64 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_67)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_65)) + )) + (net psum1_saved_3_7_4 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_65)) + (portRef D (instanceRef psum1_saved_65)) + )) + (net (rename A1_product_signedAdd_0_9 "A1_product_signedAdd_0(9)") (joined + (portRef (member P 38) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 47) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_10 "A1_product_signedAdd_0(10)") (joined + (portRef (member P 37) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 46) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_11 "A1_product_signedAdd_0(11)") (joined + (portRef (member P 36) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 45) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_12 "A1_product_signedAdd_0(12)") (joined + (portRef (member P 35) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 44) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_13 "A1_product_signedAdd_0(13)") (joined + (portRef (member P 34) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 43) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_14 "A1_product_signedAdd_0(14)") (joined + (portRef (member P 33) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 42) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_15 "A1_product_signedAdd_0(15)") (joined + (portRef (member P 32) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 41) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_16 "A1_product_signedAdd_0(16)") (joined + (portRef (member P 31) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 40) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_17 "A1_product_signedAdd_0(17)") (joined + (portRef (member P 30) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 39) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_18 "A1_product_signedAdd_0(18)") (joined + (portRef (member P 29) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 38) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_19 "A1_product_signedAdd_0(19)") (joined + (portRef (member P 28) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 37) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_20 "A1_product_signedAdd_0(20)") (joined + (portRef (member P 27) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 36) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_21 "A1_product_signedAdd_0(21)") (joined + (portRef (member P 26) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 35) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_22 "A1_product_signedAdd_0(22)") (joined + (portRef (member P 25) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 34) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_23 "A1_product_signedAdd_0(23)") (joined + (portRef (member P 24) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 33) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_24 "A1_product_signedAdd_0(24)") (joined + (portRef (member P 23) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 32) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_25 "A1_product_signedAdd_0(25)") (joined + (portRef (member P 22) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 31) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_26 "A1_product_signedAdd_0(26)") (joined + (portRef (member P 21) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 30) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_27 "A1_product_signedAdd_0(27)") (joined + (portRef (member P 20) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 29) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_28 "A1_product_signedAdd_0(28)") (joined + (portRef (member P 19) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 28) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_29 "A1_product_signedAdd_0(29)") (joined + (portRef (member P 18) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 27) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_30 "A1_product_signedAdd_0(30)") (joined + (portRef (member P 17) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 26) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_31 "A1_product_signedAdd_0(31)") (joined + (portRef (member P 16) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 25) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_32 "A1_product_signedAdd_0(32)") (joined + (portRef (member P 15) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 24) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_33 "A1_product_signedAdd_0(33)") (joined + (portRef (member P 14) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 23) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_34 "A1_product_signedAdd_0(34)") (joined + (portRef (member P 13) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 22) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_35 "A1_product_signedAdd_0(35)") (joined + (portRef (member P 12) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 21) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_36 "A1_product_signedAdd_0(36)") (joined + (portRef (member P 11) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 20) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_37 "A1_product_signedAdd_0(37)") (joined + (portRef (member P 10) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 19) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_38 "A1_product_signedAdd_0(38)") (joined + (portRef (member P 9) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 18) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_39 "A1_product_signedAdd_0(39)") (joined + (portRef (member P 8) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 17) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_40 "A1_product_signedAdd_0(40)") (joined + (portRef (member P 7) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 16) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_41 "A1_product_signedAdd_0(41)") (joined + (portRef (member P 6) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 15) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_42 "A1_product_signedAdd_0(42)") (joined + (portRef (member P 5) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 5) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 6) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 7) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 8) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 9) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 10) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 11) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 12) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 13) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 14) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename GNDZ0 "GND") (joined + (portRef G (instanceRef GND)) + (portRef (member S 0) (instanceRef psum1_signed_s_69)) + (portRef (member S 1) (instanceRef psum1_signed_s_69)) + (portRef (member DI 0) (instanceRef psum1_signed_s_69)) + (portRef (member DI 1) (instanceRef psum1_signed_s_69)) + (portRef (member DI 2) (instanceRef psum1_signed_s_69)) + (portRef (member DI 3) (instanceRef psum1_signed_s_69)) + (portRef CYINIT (instanceRef psum1_signed_s_69)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_67)) + (portRef CYINIT (instanceRef psum1_signed_cry_67)) + (portRef CYINIT (instanceRef psum1_signed_cry_63)) + (portRef CYINIT (instanceRef psum1_signed_cry_59)) + (portRef CYINIT (instanceRef psum1_signed_cry_55)) + (portRef CYINIT (instanceRef psum1_signed_cry_51)) + (portRef CYINIT (instanceRef psum1_signed_cry_47)) + (portRef CYINIT (instanceRef psum1_signed_cry_43)) + (portRef CYINIT (instanceRef psum1_signed_cry_39)) + (portRef CYINIT (instanceRef psum1_signed_cry_35)) + (portRef CYINIT (instanceRef psum1_signed_cry_31)) + (portRef CYINIT (instanceRef psum1_signed_cry_27)) + (portRef CYINIT (instanceRef psum1_signed_cry_23)) + (portRef CYINIT (instanceRef psum1_signed_cry_19)) + (portRef CYINIT (instanceRef psum1_signed_cry_15)) + (portRef CYINIT (instanceRef psum1_signed_cry_11)) + (portRef CYINIT (instanceRef psum1_signed_cry_7)) + (portRef CYINIT (instanceRef psum1_signed_cry_3)) + (portRef CI (instanceRef psum1_signed_cry_3)) + (portRef (member S 0) (instanceRef un1_psum0_signed_s_70)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_s_70)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_s_70)) + (portRef CYINIT (instanceRef un1_psum0_signed_s_70)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_67)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_63)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_59)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_55)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_51)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_47)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_43)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_39)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_35)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_31)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_27)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_23)) + (portRef CI (instanceRef un1_psum0_signed_cry_23)) + (portRef RSTP (instanceRef B0_product_signed_0_33_0)) + (portRef RSTM (instanceRef B0_product_signed_0_33_0)) + (portRef RSTINMODE (instanceRef B0_product_signed_0_33_0)) + (portRef RSTD (instanceRef B0_product_signed_0_33_0)) + (portRef RSTCTRL (instanceRef B0_product_signed_0_33_0)) + (portRef RSTC (instanceRef B0_product_signed_0_33_0)) + (portRef RSTB (instanceRef B0_product_signed_0_33_0)) + (portRef RSTALUMODE (instanceRef B0_product_signed_0_33_0)) + (portRef RSTALLCARRYIN (instanceRef B0_product_signed_0_33_0)) + (portRef RSTA (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 5) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 6) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 7) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 8) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 9) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 10) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 11) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 12) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 13) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 14) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 15) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 16) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 17) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 18) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 19) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 20) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 21) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 22) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 23) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 24) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 25) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 26) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 27) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 28) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 29) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 30) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 31) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 32) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 33) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 34) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 35) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 36) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 37) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 38) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 39) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 40) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 41) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 42) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 43) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 44) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 45) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 46) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 47) (instanceRef B0_product_signed_0_33_0)) + (portRef (member OPMODE 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member OPMODE 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member OPMODE 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member OPMODE 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member OPMODE 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member OPMODE 5) (instanceRef B0_product_signed_0_33_0)) + (portRef (member OPMODE 7) (instanceRef B0_product_signed_0_33_0)) + (portRef MULTSIGNIN (instanceRef B0_product_signed_0_33_0)) + (portRef (member INMODE 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member INMODE 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member INMODE 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member INMODE 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member INMODE 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 5) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 6) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 7) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 8) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 9) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 10) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 11) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 12) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 13) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 14) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 15) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 16) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 17) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 18) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 19) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 20) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 21) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 22) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 23) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 24) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 25) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 26) (instanceRef B0_product_signed_0_33_0)) + (portRef CLK (instanceRef B0_product_signed_0_33_0)) + (portRef CEP (instanceRef B0_product_signed_0_33_0)) + (portRef CEM (instanceRef B0_product_signed_0_33_0)) + (portRef CEINMODE (instanceRef B0_product_signed_0_33_0)) + (portRef CED (instanceRef B0_product_signed_0_33_0)) + (portRef CECTRL (instanceRef B0_product_signed_0_33_0)) + (portRef CECARRYIN (instanceRef B0_product_signed_0_33_0)) + (portRef CEC (instanceRef B0_product_signed_0_33_0)) + (portRef CEB2 (instanceRef B0_product_signed_0_33_0)) + (portRef CEB1 (instanceRef B0_product_signed_0_33_0)) + (portRef CEALUMODE (instanceRef B0_product_signed_0_33_0)) + (portRef CEAD (instanceRef B0_product_signed_0_33_0)) + (portRef CEA2 (instanceRef B0_product_signed_0_33_0)) + (portRef CEA1 (instanceRef B0_product_signed_0_33_0)) + (portRef (member CARRYINSEL 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member CARRYINSEL 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member CARRYINSEL 2) (instanceRef B0_product_signed_0_33_0)) + (portRef CARRYIN (instanceRef B0_product_signed_0_33_0)) + (portRef CARRYCASCIN (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 5) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 6) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 7) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 8) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 9) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 10) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 11) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 12) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 13) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 14) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 15) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 16) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 17) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 18) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 19) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 20) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 21) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 22) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 23) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 24) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 25) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 26) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 27) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 28) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 29) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 30) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 31) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 32) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 33) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 34) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 35) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 36) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 37) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 38) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 39) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 40) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 41) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 42) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 43) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 44) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 45) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 46) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 47) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 5) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 6) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 7) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 8) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 9) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 10) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 11) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 12) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 13) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 14) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 15) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 16) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 17) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ALUMODE 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ALUMODE 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ALUMODE 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ALUMODE 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 5) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 6) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 7) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 8) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 9) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 10) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 11) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 12) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 13) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 14) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 15) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 16) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 17) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 18) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 19) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 20) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 21) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 22) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 23) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 24) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 25) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 26) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 27) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 28) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 29) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 5) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 6) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 7) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 8) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 9) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 10) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 11) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 12) (instanceRef B0_product_signed_0_33_0)) + (portRef RSTP (instanceRef A1_product_signed_0_44_0)) + (portRef RSTM (instanceRef A1_product_signed_0_44_0)) + (portRef RSTINMODE (instanceRef A1_product_signed_0_44_0)) + (portRef RSTD (instanceRef A1_product_signed_0_44_0)) + (portRef RSTCTRL (instanceRef A1_product_signed_0_44_0)) + (portRef RSTC (instanceRef A1_product_signed_0_44_0)) + (portRef RSTB (instanceRef A1_product_signed_0_44_0)) + (portRef RSTALUMODE (instanceRef A1_product_signed_0_44_0)) + (portRef RSTALLCARRYIN (instanceRef A1_product_signed_0_44_0)) + (portRef RSTA (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 5) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 6) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 7) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 8) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 9) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 10) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 11) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 12) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 13) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 14) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 15) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 16) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 17) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 18) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 19) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 20) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 21) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 22) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 23) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 24) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 25) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 26) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 27) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 28) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 29) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 30) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 31) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 32) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 33) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 34) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 35) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 36) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 37) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 38) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 39) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 40) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 41) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 42) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 43) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 44) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 45) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 46) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 47) (instanceRef A1_product_signed_0_44_0)) + (portRef (member OPMODE 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member OPMODE 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member OPMODE 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member OPMODE 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member OPMODE 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member OPMODE 5) (instanceRef A1_product_signed_0_44_0)) + (portRef (member OPMODE 7) (instanceRef A1_product_signed_0_44_0)) + (portRef MULTSIGNIN (instanceRef A1_product_signed_0_44_0)) + (portRef (member INMODE 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member INMODE 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member INMODE 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member INMODE 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member INMODE 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 5) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 6) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 7) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 8) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 9) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 10) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 11) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 12) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 13) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 14) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 15) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 16) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 17) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 18) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 19) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 20) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 21) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 22) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 23) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 24) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 25) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 26) (instanceRef A1_product_signed_0_44_0)) + (portRef CLK (instanceRef A1_product_signed_0_44_0)) + (portRef CEP (instanceRef A1_product_signed_0_44_0)) + (portRef CEM (instanceRef A1_product_signed_0_44_0)) + (portRef CEINMODE (instanceRef A1_product_signed_0_44_0)) + (portRef CED (instanceRef A1_product_signed_0_44_0)) + (portRef CECTRL (instanceRef A1_product_signed_0_44_0)) + (portRef CECARRYIN (instanceRef A1_product_signed_0_44_0)) + (portRef CEC (instanceRef A1_product_signed_0_44_0)) + (portRef CEB2 (instanceRef A1_product_signed_0_44_0)) + (portRef CEB1 (instanceRef A1_product_signed_0_44_0)) + (portRef CEALUMODE (instanceRef A1_product_signed_0_44_0)) + (portRef CEAD (instanceRef A1_product_signed_0_44_0)) + (portRef CEA2 (instanceRef A1_product_signed_0_44_0)) + (portRef CEA1 (instanceRef A1_product_signed_0_44_0)) + (portRef (member CARRYINSEL 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member CARRYINSEL 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member CARRYINSEL 2) (instanceRef A1_product_signed_0_44_0)) + (portRef CARRYIN (instanceRef A1_product_signed_0_44_0)) + (portRef CARRYCASCIN (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 5) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 6) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 7) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 8) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 9) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 10) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 11) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 12) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 13) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 14) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 15) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 16) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 17) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 18) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 19) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 20) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 21) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 22) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 23) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 24) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 25) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 26) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 27) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 28) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 29) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 30) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 31) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 32) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 33) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 34) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 35) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 36) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 37) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 38) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 39) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 40) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 41) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 42) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 43) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 44) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 45) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 46) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 47) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 5) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 6) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 7) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 8) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 9) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 10) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 11) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 12) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 13) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 14) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 15) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 16) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 17) (instanceRef A1_product_signed_0_44_0)) + (portRef (member B 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ALUMODE 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ALUMODE 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ALUMODE 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ALUMODE 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 5) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 6) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 7) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 8) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 9) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 10) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 11) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 12) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 13) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 14) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 15) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 16) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 17) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 18) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 19) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 20) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 21) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 22) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 23) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 24) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 25) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 26) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 27) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 28) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 29) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 3) (instanceRef A1_product_signed_0_44_0)) + (portRef RSTP (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTM (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTINMODE (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTD (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTCTRL (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTC (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTB (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTALUMODE (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTALLCARRYIN (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTA (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member OPMODE 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member OPMODE 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member OPMODE 3) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member OPMODE 5) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member OPMODE 7) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef MULTSIGNIN (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member INMODE 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member INMODE 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member INMODE 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member INMODE 3) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member INMODE 4) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 3) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 4) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 5) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 6) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 7) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 8) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 9) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 10) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 11) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 12) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 13) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 14) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 15) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 16) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 17) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 18) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 19) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 20) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 21) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 22) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 23) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 24) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 25) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 26) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CLK (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEP (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEM (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEINMODE (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CED (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CECTRL (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CECARRYIN (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEC (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEB2 (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEB1 (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEALUMODE (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEAD (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEA2 (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEA1 (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member CARRYINSEL 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member CARRYINSEL 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member CARRYINSEL 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CARRYIN (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CARRYCASCIN (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 3) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 4) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 5) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 6) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 7) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 8) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 9) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 10) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 11) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 12) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 13) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 14) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 15) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 16) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 17) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 18) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 19) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 20) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 21) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 22) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 23) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 24) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 25) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 26) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 27) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 28) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 29) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 30) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 31) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 32) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 33) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 34) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 35) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 36) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 37) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 38) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 39) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 40) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 41) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 42) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 43) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 44) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 45) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 46) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 47) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 3) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 4) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 5) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 6) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 7) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 8) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 9) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 10) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 11) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 12) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 13) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 14) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 15) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 16) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 17) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ALUMODE 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ALUMODE 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ALUMODE 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ALUMODE 3) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 3) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 4) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 5) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 6) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 7) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 8) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 9) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 10) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 11) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 12) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 13) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 14) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 15) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 16) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 17) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 18) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 19) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 20) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 21) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 22) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 23) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 24) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 25) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 26) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 27) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 28) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 29) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTP (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTM (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTINMODE (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTD (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTCTRL (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTC (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTB (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTALUMODE (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTALLCARRYIN (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTA (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member OPMODE 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member OPMODE 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member OPMODE 3) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member OPMODE 5) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member OPMODE 7) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef MULTSIGNIN (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member INMODE 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member INMODE 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member INMODE 2) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member INMODE 3) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member INMODE 4) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 2) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 3) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 4) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 5) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 6) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 7) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 8) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 9) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 10) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 11) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 12) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 13) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 14) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 15) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 16) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 17) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 18) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 19) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 20) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 21) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 22) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 23) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 24) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 25) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 26) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CLK (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEP (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEM (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEINMODE (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CED (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CECTRL (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CECARRYIN (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEC (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEB2 (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEB1 (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEALUMODE (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEAD (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEA2 (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEA1 (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member CARRYINSEL 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member CARRYINSEL 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member CARRYINSEL 2) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CARRYIN (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CARRYCASCIN (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 2) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 3) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 4) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 5) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 6) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 7) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 8) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 9) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 10) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 11) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 12) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 13) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 14) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 15) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 16) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 17) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 18) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 19) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 20) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 21) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 22) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 23) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 24) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 25) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 26) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 27) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 28) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 29) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 30) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 31) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 32) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 33) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 34) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 35) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 36) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 37) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 38) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 39) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 40) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 41) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 42) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 43) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 44) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 45) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 46) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 47) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 2) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 3) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 4) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 5) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 6) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 7) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 8) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 9) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 10) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 11) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 12) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 13) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 14) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 15) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 16) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 17) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ALUMODE 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ALUMODE 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ALUMODE 2) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ALUMODE 3) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 2) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 3) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 4) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 5) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 6) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 7) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 8) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 9) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 10) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 11) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 12) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 13) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 14) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 15) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 16) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 17) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 18) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 19) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 20) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 21) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 22) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 23) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 24) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 25) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 26) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 27) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 28) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 29) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTP (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTM (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTINMODE (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTD (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTCTRL (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTC (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTB (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTALUMODE (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTALLCARRYIN (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTA (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 5) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 6) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 7) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 8) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 9) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 10) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 11) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 12) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 13) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 14) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 15) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 16) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 17) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 18) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 19) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 20) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 21) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 22) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 23) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 24) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 25) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 26) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 27) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 28) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 29) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 30) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 31) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 32) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 33) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 34) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 35) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 36) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 37) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 38) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 39) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 40) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 41) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 42) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 43) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 44) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 45) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 46) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 47) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member OPMODE 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member OPMODE 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member OPMODE 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member OPMODE 5) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member OPMODE 7) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef MULTSIGNIN (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member INMODE 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member INMODE 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member INMODE 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member INMODE 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member INMODE 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 5) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 6) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 7) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 8) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 9) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 10) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 11) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 12) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 13) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 14) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 15) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 16) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 17) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 18) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 19) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 20) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 21) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 22) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 23) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 24) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 25) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 26) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CLK (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEP (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEM (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEINMODE (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CED (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CECTRL (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CECARRYIN (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEC (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEB2 (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEB1 (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEALUMODE (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEAD (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEA2 (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEA1 (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member CARRYINSEL 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member CARRYINSEL 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member CARRYINSEL 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CARRYIN (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CARRYCASCIN (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 5) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 6) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 7) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 8) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 9) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 10) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 11) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 12) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 13) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 14) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 15) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 16) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 17) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ALUMODE 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ALUMODE 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ALUMODE 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ALUMODE 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 5) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 6) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 7) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 8) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 9) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 10) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 11) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 12) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 13) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 14) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 15) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 16) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 17) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 18) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 19) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 20) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 21) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 22) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 23) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 24) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 25) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 26) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 27) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 28) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 29) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 5) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 6) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 7) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 8) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 9) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 10) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 11) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 12) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTP (instanceRef A1_product_signedAdd_0_42_0)) + (portRef RSTM (instanceRef A1_product_signedAdd_0_42_0)) + (portRef RSTINMODE (instanceRef A1_product_signedAdd_0_42_0)) + (portRef RSTD (instanceRef A1_product_signedAdd_0_42_0)) + (portRef RSTCTRL (instanceRef A1_product_signedAdd_0_42_0)) + (portRef RSTC (instanceRef A1_product_signedAdd_0_42_0)) + (portRef RSTB (instanceRef A1_product_signedAdd_0_42_0)) + (portRef RSTALUMODE (instanceRef A1_product_signedAdd_0_42_0)) + (portRef RSTALLCARRYIN (instanceRef A1_product_signedAdd_0_42_0)) + (portRef RSTA (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member OPMODE 0) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member OPMODE 1) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member OPMODE 3) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member OPMODE 5) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member OPMODE 7) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef MULTSIGNIN (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member INMODE 0) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member INMODE 1) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member INMODE 2) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member INMODE 3) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member INMODE 4) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 0) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 1) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 2) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 3) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 4) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 5) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 6) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 7) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 8) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 9) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 10) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 11) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 12) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 13) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 14) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 15) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 16) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 17) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 18) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 19) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 20) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 21) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 22) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 23) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 24) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 25) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 26) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CLK (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEP (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEM (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEINMODE (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CED (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CECTRL (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CECARRYIN (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEC (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEB2 (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEB1 (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEALUMODE (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEAD (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEA2 (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEA1 (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member CARRYINSEL 0) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member CARRYINSEL 1) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member CARRYINSEL 2) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CARRYIN (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CARRYCASCIN (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 0) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 1) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 2) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 3) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 4) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 5) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 6) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 7) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 8) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 9) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 10) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 11) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 12) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 13) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 14) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 15) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 16) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 17) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 18) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 19) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 20) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 21) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 22) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 23) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 24) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 25) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 26) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 27) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 28) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 29) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 30) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 31) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 32) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 33) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 34) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 35) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 36) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 37) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 38) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 39) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 40) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 41) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 42) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 43) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 44) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 45) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 46) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 47) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 0) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 1) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 2) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 3) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 4) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 5) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 6) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 7) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 8) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 9) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 10) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 11) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 12) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 13) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 14) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 15) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 16) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 17) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member ALUMODE 0) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member ALUMODE 1) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member ALUMODE 2) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member ALUMODE 3) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 0) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 1) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 2) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 3) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 4) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 5) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 6) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 7) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 8) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 9) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 10) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 11) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 12) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 13) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 14) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 15) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 16) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 17) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 18) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 19) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 20) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 21) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 22) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 23) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 24) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 25) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 26) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 27) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 28) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 29) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_0 "feedback_data_0(0)") (joined + (portRef (member ACOUT 29) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 29) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_48_i_0 (joined + (portRef (member ACOUT 28) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 28) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_2 "feedback_data_0(2)") (joined + (portRef (member ACOUT 27) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 27) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_3 "feedback_data_0(3)") (joined + (portRef (member ACOUT 26) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 26) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_4 "feedback_data_0(4)") (joined + (portRef (member ACOUT 25) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 25) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_44_i_0 (joined + (portRef (member ACOUT 24) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 24) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_40_i_0 (joined + (portRef (member ACOUT 23) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 23) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_7 "feedback_data_0(7)") (joined + (portRef (member ACOUT 22) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 22) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_8 "feedback_data_0(8)") (joined + (portRef (member ACOUT 21) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 21) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_9 "feedback_data_0(9)") (joined + (portRef (member ACOUT 20) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 20) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_10 "feedback_data_0(10)") (joined + (portRef (member ACOUT 19) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 19) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_41_i_0 (joined + (portRef (member ACOUT 18) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 18) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_12 "feedback_data_0(12)") (joined + (portRef (member ACOUT 17) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 17) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_13 "feedback_data_0(13)") (joined + (portRef (member ACOUT 16) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 16) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_14 "feedback_data_0(14)") (joined + (portRef (member ACOUT 15) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 15) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_15 "feedback_data_0(15)") (joined + (portRef (member ACOUT 14) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 14) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_36_i_0 (joined + (portRef (member ACOUT 13) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 13) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_32_i_0 (joined + (portRef (member ACOUT 12) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 12) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_28_i_0 (joined + (portRef (member ACOUT 11) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 11) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_19 "feedback_data_0(19)") (joined + (portRef (member ACOUT 10) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 10) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_24_i_0 (joined + (portRef (member ACOUT 9) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 9) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_20_i_0 (joined + (portRef (member ACOUT 8) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 8) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_22 "feedback_data_0(22)") (joined + (portRef (member ACOUT 7) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 7) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_37_i_0 (joined + (portRef (member ACOUT 6) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 6) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_33_i_0 (joined + (portRef (member ACOUT 5) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 5) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_29_i_0 (joined + (portRef (member ACOUT 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 4) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net GND_0 (joined + (portRef (member ACOUT 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 3) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net GND_1 (joined + (portRef (member ACOUT 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 2) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net GND_2 (joined + (portRef (member ACOUT 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 1) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net GND_3 (joined + (portRef (member ACOUT 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 0) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b0_re_17 "b0_re(17)") (joined + (portRef (member b0_re 14)) + (portRef (member A 29) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 17) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b0_re_18 "b0_re(18)") (joined + (portRef (member b0_re 13)) + (portRef (member A 28) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 16) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b0_re_19 "b0_re(19)") (joined + (portRef (member b0_re 12)) + (portRef (member A 27) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 15) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b0_re_20 "b0_re(20)") (joined + (portRef (member b0_re 11)) + (portRef (member A 26) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 14) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b0_re_21 "b0_re(21)") (joined + (portRef (member b0_re 10)) + (portRef (member A 25) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 13) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b0_re_22 "b0_re(22)") (joined + (portRef (member b0_re 9)) + (portRef (member A 24) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 12) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b0_re_23 "b0_re(23)") (joined + (portRef (member b0_re 8)) + (portRef (member A 23) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 11) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b0_re_24 "b0_re(24)") (joined + (portRef (member b0_re 7)) + (portRef (member A 22) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 10) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b0_re_25 "b0_re(25)") (joined + (portRef (member b0_re 6)) + (portRef (member A 21) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 9) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b0_re_26 "b0_re(26)") (joined + (portRef (member b0_re 5)) + (portRef (member A 20) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 8) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b0_re_27 "b0_re(27)") (joined + (portRef (member b0_re 4)) + (portRef (member A 19) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 7) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b0_re_28 "b0_re(28)") (joined + (portRef (member b0_re 3)) + (portRef (member A 18) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 6) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b0_re_29 "b0_re(29)") (joined + (portRef (member b0_re 2)) + (portRef (member A 17) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 5) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b0_re_30 "b0_re(30)") (joined + (portRef (member b0_re 1)) + (portRef (member A 16) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 4) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b0_re_31 "b0_re(31)") (joined + (portRef (member b0_re 0)) + (portRef (member A 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 3) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 4) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 5) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 6) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 7) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 8) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 9) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 10) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 11) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 12) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 13) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 14) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 15) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 0) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member B 1) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member B 2) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member B 3) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename VCCZ0 "VCC") (joined + (portRef P (instanceRef VCC)) + (portRef (member OPMODE 6) (instanceRef B0_product_signed_0_33_0)) + (portRef (member OPMODE 8) (instanceRef B0_product_signed_0_33_0)) + (portRef (member OPMODE 6) (instanceRef A1_product_signed_0_44_0)) + (portRef (member OPMODE 8) (instanceRef A1_product_signed_0_44_0)) + (portRef (member OPMODE 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member OPMODE 4) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member OPMODE 6) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member OPMODE 8) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member OPMODE 2) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member OPMODE 4) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member OPMODE 6) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member OPMODE 8) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member OPMODE 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member OPMODE 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member OPMODE 6) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member OPMODE 8) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member OPMODE 2) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member OPMODE 4) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member OPMODE 6) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member OPMODE 8) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0 "A1_product_signed_0(0)") (joined + (portRef (member PCOUT 47) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 47) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_1 "A1_product_signed_0(1)") (joined + (portRef (member PCOUT 46) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 46) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_2 "A1_product_signed_0(2)") (joined + (portRef (member PCOUT 45) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 45) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_3 "A1_product_signed_0(3)") (joined + (portRef (member PCOUT 44) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 44) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_4 "A1_product_signed_0(4)") (joined + (portRef (member PCOUT 43) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 43) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_5 "A1_product_signed_0(5)") (joined + (portRef (member PCOUT 42) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 42) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_6 "A1_product_signed_0(6)") (joined + (portRef (member PCOUT 41) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 41) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_7 "A1_product_signed_0(7)") (joined + (portRef (member PCOUT 40) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 40) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_8 "A1_product_signed_0(8)") (joined + (portRef (member PCOUT 39) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 39) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_9 "A1_product_signed_0(9)") (joined + (portRef (member PCOUT 38) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 38) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_10 "A1_product_signed_0(10)") (joined + (portRef (member PCOUT 37) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 37) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_11 "A1_product_signed_0(11)") (joined + (portRef (member PCOUT 36) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 36) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_12 "A1_product_signed_0(12)") (joined + (portRef (member PCOUT 35) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 35) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_13 "A1_product_signed_0(13)") (joined + (portRef (member PCOUT 34) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 34) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_14 "A1_product_signed_0(14)") (joined + (portRef (member PCOUT 33) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 33) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_15 "A1_product_signed_0(15)") (joined + (portRef (member PCOUT 32) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 32) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_16 "A1_product_signed_0(16)") (joined + (portRef (member PCOUT 31) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 31) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_17 "A1_product_signed_0_0(17)") (joined + (portRef (member PCOUT 30) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 30) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_18 "A1_product_signed_0_0(18)") (joined + (portRef (member PCOUT 29) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 29) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_19 "A1_product_signed_0_0(19)") (joined + (portRef (member PCOUT 28) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 28) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_20 "A1_product_signed_0_0(20)") (joined + (portRef (member PCOUT 27) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 27) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_21 "A1_product_signed_0_0(21)") (joined + (portRef (member PCOUT 26) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 26) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_22 "A1_product_signed_0_0(22)") (joined + (portRef (member PCOUT 25) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 25) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_23 "A1_product_signed_0_0(23)") (joined + (portRef (member PCOUT 24) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 24) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_24 "A1_product_signed_0_0(24)") (joined + (portRef (member PCOUT 23) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 23) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_25 "A1_product_signed_0_0(25)") (joined + (portRef (member PCOUT 22) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 22) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_26 "A1_product_signed_0_0(26)") (joined + (portRef (member PCOUT 21) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 21) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_27 "A1_product_signed_0_0(27)") (joined + (portRef (member PCOUT 20) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 20) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_28 "A1_product_signed_0_0(28)") (joined + (portRef (member PCOUT 19) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 19) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_29 "A1_product_signed_0_0(29)") (joined + (portRef (member PCOUT 18) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 18) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_30 "A1_product_signed_0_0(30)") (joined + (portRef (member PCOUT 17) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 17) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_31 "A1_product_signed_0_0(31)") (joined + (portRef (member PCOUT 16) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 16) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_32 "A1_product_signed_0_0(32)") (joined + (portRef (member PCOUT 15) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 15) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_33 "A1_product_signed_0_0(33)") (joined + (portRef (member PCOUT 14) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 14) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_34 "A1_product_signed_0_0(34)") (joined + (portRef (member PCOUT 13) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 13) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_35 "A1_product_signed_0_0(35)") (joined + (portRef (member PCOUT 12) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 12) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_36 "A1_product_signed_0_0(36)") (joined + (portRef (member PCOUT 11) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 11) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_37 "A1_product_signed_0_0(37)") (joined + (portRef (member PCOUT 10) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 10) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_38 "A1_product_signed_0_0(38)") (joined + (portRef (member PCOUT 9) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 9) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_39 "A1_product_signed_0_0(39)") (joined + (portRef (member PCOUT 8) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 8) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_40 "A1_product_signed_0_0(40)") (joined + (portRef (member PCOUT 7) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 7) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_41 "A1_product_signed_0_0(41)") (joined + (portRef (member PCOUT 6) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 6) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_42 "A1_product_signed_0_0(42)") (joined + (portRef (member PCOUT 5) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 5) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_43 "A1_product_signed_0_0(43)") (joined + (portRef (member PCOUT 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 4) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_44 "A1_product_signed_0_0(44)") (joined + (portRef (member PCOUT 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 3) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename P_uc_23_0_45 "P_uc_23_0(45)") (joined + (portRef (member PCOUT 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 2) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename P_uc_23_0_46 "P_uc_23_0(46)") (joined + (portRef (member PCOUT 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 1) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename P_uc_23_0_47 "P_uc_23_0(47)") (joined + (portRef (member PCOUT 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 0) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_1_26 "A1_product_signed_1(26)") (joined + (portRef (member PCOUT 47) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 47) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_27 "A1_product_signed_1(27)") (joined + (portRef (member PCOUT 46) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 46) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_28 "A1_product_signed_1(28)") (joined + (portRef (member PCOUT 45) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 45) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_29 "A1_product_signed_1(29)") (joined + (portRef (member PCOUT 44) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 44) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_30 "A1_product_signed_1(30)") (joined + (portRef (member PCOUT 43) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 43) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_31 "A1_product_signed_1(31)") (joined + (portRef (member PCOUT 42) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 42) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_32 "A1_product_signed_1(32)") (joined + (portRef (member PCOUT 41) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 41) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_33 "A1_product_signed_1(33)") (joined + (portRef (member PCOUT 40) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 40) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_34 "A1_product_signed_1(34)") (joined + (portRef (member PCOUT 39) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 39) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_35 "A1_product_signed_1(35)") (joined + (portRef (member PCOUT 38) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 38) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_36 "A1_product_signed_1(36)") (joined + (portRef (member PCOUT 37) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 37) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_37 "A1_product_signed_1(37)") (joined + (portRef (member PCOUT 36) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 36) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_38 "A1_product_signed_1(38)") (joined + (portRef (member PCOUT 35) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 35) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_39 "A1_product_signed_1(39)") (joined + (portRef (member PCOUT 34) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 34) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_40 "A1_product_signed_1(40)") (joined + (portRef (member PCOUT 33) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 33) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_41 "A1_product_signed_1(41)") (joined + (portRef (member PCOUT 32) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 32) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_42 "A1_product_signed_1(42)") (joined + (portRef (member PCOUT 31) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 31) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_17 "A1_product_signedAdd_1_0(17)") (joined + (portRef (member PCOUT 30) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 30) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_18 "A1_product_signedAdd_1_0(18)") (joined + (portRef (member PCOUT 29) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 29) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_19 "A1_product_signedAdd_1_0(19)") (joined + (portRef (member PCOUT 28) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 28) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_20 "A1_product_signedAdd_1_0(20)") (joined + (portRef (member PCOUT 27) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 27) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_21 "A1_product_signedAdd_1_0(21)") (joined + (portRef (member PCOUT 26) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 26) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_22 "A1_product_signedAdd_1_0(22)") (joined + (portRef (member PCOUT 25) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 25) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_23 "A1_product_signedAdd_1_0(23)") (joined + (portRef (member PCOUT 24) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 24) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_24 "A1_product_signedAdd_1_0(24)") (joined + (portRef (member PCOUT 23) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 23) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_25 "A1_product_signedAdd_1_0(25)") (joined + (portRef (member PCOUT 22) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 22) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_26 "A1_product_signedAdd_1_0(26)") (joined + (portRef (member PCOUT 21) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 21) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_27 "A1_product_signedAdd_1_0(27)") (joined + (portRef (member PCOUT 20) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 20) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_28 "A1_product_signedAdd_1_0(28)") (joined + (portRef (member PCOUT 19) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 19) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_29 "A1_product_signedAdd_1_0(29)") (joined + (portRef (member PCOUT 18) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 18) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_30 "A1_product_signedAdd_1_0(30)") (joined + (portRef (member PCOUT 17) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 17) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_31 "A1_product_signedAdd_1_0(31)") (joined + (portRef (member PCOUT 16) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 16) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_32 "A1_product_signedAdd_1_0(32)") (joined + (portRef (member PCOUT 15) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 15) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_33 "A1_product_signedAdd_1_0(33)") (joined + (portRef (member PCOUT 14) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 14) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_34 "A1_product_signedAdd_1_0(34)") (joined + (portRef (member PCOUT 13) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 13) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_23_35 "P_uc_23(35)") (joined + (portRef (member PCOUT 12) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 12) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_23_36 "P_uc_23(36)") (joined + (portRef (member PCOUT 11) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 11) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_23_37 "P_uc_23(37)") (joined + (portRef (member PCOUT 10) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 10) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_23_38 "P_uc_23(38)") (joined + (portRef (member PCOUT 9) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 9) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_23_39 "P_uc_23(39)") (joined + (portRef (member PCOUT 8) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 8) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_23_40 "P_uc_23(40)") (joined + (portRef (member PCOUT 7) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 7) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_23_41 "P_uc_23(41)") (joined + (portRef (member PCOUT 6) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 6) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_23_42 "P_uc_23(42)") (joined + (portRef (member PCOUT 5) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 5) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_5_0_43 "P_uc_5_0(43)") (joined + (portRef (member PCOUT 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 4) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_5_0_44 "P_uc_5_0(44)") (joined + (portRef (member PCOUT 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 3) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_5_0_45 "P_uc_5_0(45)") (joined + (portRef (member PCOUT 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 2) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_5_0_46 "P_uc_5_0(46)") (joined + (portRef (member PCOUT 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 1) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_5_0_47 "P_uc_5_0(47)") (joined + (portRef (member PCOUT 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 0) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename b0_re_0 "b0_re(0)") (joined + (portRef (member b0_re 31)) + (portRef (member B 17) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 29) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b0_re_1 "b0_re(1)") (joined + (portRef (member b0_re 30)) + (portRef (member B 16) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 28) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b0_re_2 "b0_re(2)") (joined + (portRef (member b0_re 29)) + (portRef (member B 15) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 27) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b0_re_3 "b0_re(3)") (joined + (portRef (member b0_re 28)) + (portRef (member B 14) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 26) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b0_re_4 "b0_re(4)") (joined + (portRef (member b0_re 27)) + (portRef (member B 13) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 25) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b0_re_5 "b0_re(5)") (joined + (portRef (member b0_re 26)) + (portRef (member B 12) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 24) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b0_re_6 "b0_re(6)") (joined + (portRef (member b0_re 25)) + (portRef (member B 11) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 23) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b0_re_7 "b0_re(7)") (joined + (portRef (member b0_re 24)) + (portRef (member B 10) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 22) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b0_re_8 "b0_re(8)") (joined + (portRef (member b0_re 23)) + (portRef (member B 9) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 21) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b0_re_9 "b0_re(9)") (joined + (portRef (member b0_re 22)) + (portRef (member B 8) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 20) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b0_re_10 "b0_re(10)") (joined + (portRef (member b0_re 21)) + (portRef (member B 7) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 19) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b0_re_11 "b0_re(11)") (joined + (portRef (member b0_re 20)) + (portRef (member B 6) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 18) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b0_re_12 "b0_re(12)") (joined + (portRef (member b0_re 19)) + (portRef (member B 5) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 17) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b0_re_13 "b0_re(13)") (joined + (portRef (member b0_re 18)) + (portRef (member B 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 16) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b0_re_14 "b0_re(14)") (joined + (portRef (member b0_re 17)) + (portRef (member B 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 15) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b0_re_15 "b0_re(15)") (joined + (portRef (member b0_re 16)) + (portRef (member B 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 14) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b0_re_16 "b0_re(16)") (joined + (portRef (member b0_re 15)) + (portRef (member B 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 13) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net N_25_i (joined + (portRef N_25_i (instanceRef gO_4_26_MUX12)) + (portRef (member B 17) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 17) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net N_21_i (joined + (portRef N_21_i (instanceRef gO_4_27_MUX12)) + (portRef (member B 16) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 16) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename feedback_data_28 "feedback_data(28)") (joined + (portRef feedback_data_0 (instanceRef gO_4_28_MUX12)) + (portRef (member feedback_data 0) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member B 15) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 15) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net N_16_i (joined + (portRef N_16_i (instanceRef gO_4_29_MUX12)) + (portRef (member B 14) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 14) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net N_17_i (joined + (portRef N_17_i (instanceRef gO_4_30_MUX12)) + (portRef (member B 13) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 13) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net N_12_i (joined + (portRef N_12_i (instanceRef gO_4_31_MUX12)) + (portRef (member B 12) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 12) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net N_13_i (joined + (portRef N_13_i (instanceRef gO_4_32_MUX12)) + (portRef (member B 11) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 11) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net N_9_i (joined + (portRef N_9_i (instanceRef gO_4_33_MUX12)) + (portRef (member B 10) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 10) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net N_8_i (joined + (portRef N_8_i (instanceRef gO_4_34_MUX12)) + (portRef (member B 9) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 9) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename feedback_data_35 "feedback_data(35)") (joined + (portRef feedback_data_0 (instanceRef gO_4_35_MUX12)) + (portRef (member B 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 3) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 4) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 5) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 6) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 7) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 8) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member B 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member B 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member B 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member B 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member B 5) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member B 6) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member B 7) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member B 8) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename B0_product_signed_17 "B0_product_signed(17)") (joined + (portRef (member P 47) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_37)) + )) + (net (rename B0_product_signed_18 "B0_product_signed(18)") (joined + (portRef (member P 46) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_38)) + )) + (net (rename B0_product_signed_19 "B0_product_signed(19)") (joined + (portRef (member P 45) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_39)) + )) + (net (rename B0_product_signed_20 "B0_product_signed(20)") (joined + (portRef (member P 44) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_40)) + )) + (net (rename B0_product_signed_21 "B0_product_signed(21)") (joined + (portRef (member P 43) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_41)) + )) + (net (rename B0_product_signed_22 "B0_product_signed(22)") (joined + (portRef (member P 42) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_42)) + )) + (net (rename B0_product_signed_23 "B0_product_signed(23)") (joined + (portRef (member P 41) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_43)) + )) + (net (rename B0_product_signed_24 "B0_product_signed(24)") (joined + (portRef (member P 40) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_44)) + )) + (net (rename B0_product_signed_25 "B0_product_signed(25)") (joined + (portRef (member P 39) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_45)) + )) + (net (rename B0_product_signed_26 "B0_product_signed(26)") (joined + (portRef (member P 38) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_46)) + )) + (net (rename B0_product_signed_27 "B0_product_signed(27)") (joined + (portRef (member P 37) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_47)) + )) + (net (rename B0_product_signed_28 "B0_product_signed(28)") (joined + (portRef (member P 36) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_48)) + )) + (net (rename B0_product_signed_29 "B0_product_signed(29)") (joined + (portRef (member P 35) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_49)) + )) + (net (rename B0_product_signed_30 "B0_product_signed(30)") (joined + (portRef (member P 34) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_50)) + )) + (net (rename B0_product_signed_31 "B0_product_signed(31)") (joined + (portRef (member P 33) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_51)) + )) + (net (rename B0_product_signed_32 "B0_product_signed(32)") (joined + (portRef (member P 32) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_52)) + )) + (net (rename B0_product_signed_33 "B0_product_signed(33)") (joined + (portRef (member P 31) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_53)) + )) + (net (rename B0_product_signed_34 "B0_product_signed(34)") (joined + (portRef (member P 30) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_54)) + )) + (net (rename B0_product_signed_35 "B0_product_signed(35)") (joined + (portRef (member P 29) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_55)) + )) + (net (rename B0_product_signed_36 "B0_product_signed(36)") (joined + (portRef (member P 28) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_56)) + )) + (net (rename B0_product_signed_37 "B0_product_signed(37)") (joined + (portRef (member P 27) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_57)) + )) + (net (rename B0_product_signed_38 "B0_product_signed(38)") (joined + (portRef (member P 26) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_58)) + )) + (net (rename B0_product_signed_39 "B0_product_signed(39)") (joined + (portRef (member P 25) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_59)) + )) + (net (rename B0_product_signed_40 "B0_product_signed(40)") (joined + (portRef (member P 24) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_60)) + )) + (net (rename B0_product_signed_41 "B0_product_signed(41)") (joined + (portRef (member P 23) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_61)) + )) + (net (rename B0_product_signed_42 "B0_product_signed(42)") (joined + (portRef (member P 22) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_62)) + )) + (net (rename B0_product_signed_43 "B0_product_signed(43)") (joined + (portRef (member P 21) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_63)) + )) + (net (rename B0_product_signed_44 "B0_product_signed(44)") (joined + (portRef (member P 20) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_64)) + )) + (net (rename B0_product_signed_45 "B0_product_signed(45)") (joined + (portRef (member P 19) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_65)) + )) + (net (rename B0_product_signed_46 "B0_product_signed(46)") (joined + (portRef (member P 18) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_66)) + )) + (net (rename B0_product_signed_47 "B0_product_signed(47)") (joined + (portRef (member P 17) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_67)) + (portRef I1 (instanceRef un1_psum0_signed_axb_68)) + (portRef I1 (instanceRef un1_psum0_signed_axb_69)) + (portRef I1 (instanceRef un1_psum0_signed_axb_70)) + )) + (net (rename a0_re_17 "a0_re(17)") (joined + (portRef (member a0_re 14)) + (portRef (member A 29) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a0_re_18 "a0_re(18)") (joined + (portRef (member a0_re 13)) + (portRef (member A 28) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a0_re_19 "a0_re(19)") (joined + (portRef (member a0_re 12)) + (portRef (member A 27) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a0_re_20 "a0_re(20)") (joined + (portRef (member a0_re 11)) + (portRef (member A 26) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a0_re_21 "a0_re(21)") (joined + (portRef (member a0_re 10)) + (portRef (member A 25) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a0_re_22 "a0_re(22)") (joined + (portRef (member a0_re 9)) + (portRef (member A 24) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a0_re_23 "a0_re(23)") (joined + (portRef (member a0_re 8)) + (portRef (member A 23) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a0_re_24 "a0_re(24)") (joined + (portRef (member a0_re 7)) + (portRef (member A 22) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a0_re_25 "a0_re(25)") (joined + (portRef (member a0_re 6)) + (portRef (member A 21) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a0_re_26 "a0_re(26)") (joined + (portRef (member a0_re 5)) + (portRef (member A 20) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a0_re_27 "a0_re(27)") (joined + (portRef (member a0_re 4)) + (portRef (member A 19) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a0_re_28 "a0_re(28)") (joined + (portRef (member a0_re 3)) + (portRef (member A 18) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a0_re_29 "a0_re(29)") (joined + (portRef (member a0_re 2)) + (portRef (member A 17) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a0_re_30 "a0_re(30)") (joined + (portRef (member a0_re 1)) + (portRef (member A 16) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a0_re_31 "a0_re(31)") (joined + (portRef (member a0_re 0)) + (portRef (member A 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 2) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 3) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 4) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 5) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 6) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 7) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 8) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 9) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 10) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 11) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 12) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 13) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 14) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 15) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_0 "gated_in_data(0)") (joined + (portRef gated_in_data_0 (instanceRef g1_0_AN1)) + (portRef (member B 17) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 17) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member gated_in_data 15)) + )) + (net (rename gated_in_data_1 "gated_in_data(1)") (joined + (portRef gated_in_data_0 (instanceRef g1_1_AN1)) + (portRef (member B 16) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 16) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member gated_in_data 14)) + )) + (net (rename gated_in_data_2 "gated_in_data(2)") (joined + (portRef gated_in_data_0 (instanceRef g1_2_AN1)) + (portRef (member B 15) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 15) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member gated_in_data 13)) + )) + (net (rename gated_in_data_3 "gated_in_data(3)") (joined + (portRef gated_in_data_0 (instanceRef g1_3_AN1)) + (portRef (member B 14) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 14) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member gated_in_data 12)) + )) + (net (rename gated_in_data_4 "gated_in_data(4)") (joined + (portRef gated_in_data_0 (instanceRef g1_4_AN1)) + (portRef (member B 13) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 13) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member gated_in_data 11)) + )) + (net (rename gated_in_data_5 "gated_in_data(5)") (joined + (portRef gated_in_data_0 (instanceRef g1_5_AN1)) + (portRef (member B 12) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 12) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member gated_in_data 10)) + )) + (net (rename gated_in_data_6 "gated_in_data(6)") (joined + (portRef gated_in_data_0 (instanceRef g1_6_AN1)) + (portRef (member B 11) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 11) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member gated_in_data 9)) + )) + (net (rename gated_in_data_7 "gated_in_data(7)") (joined + (portRef gated_in_data_0 (instanceRef g1_7_AN1)) + (portRef (member B 10) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 10) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member gated_in_data 8)) + )) + (net (rename gated_in_data_8 "gated_in_data(8)") (joined + (portRef gated_in_data_0 (instanceRef g1_8_AN1)) + (portRef (member B 9) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 9) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member gated_in_data 7)) + )) + (net (rename gated_in_data_9 "gated_in_data(9)") (joined + (portRef gated_in_data_0 (instanceRef g1_9_AN1)) + (portRef (member B 8) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 8) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member gated_in_data 6)) + )) + (net (rename gated_in_data_10 "gated_in_data(10)") (joined + (portRef gated_in_data_0 (instanceRef g1_10_AN1)) + (portRef (member B 7) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 7) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member gated_in_data 5)) + )) + (net (rename gated_in_data_11 "gated_in_data(11)") (joined + (portRef gated_in_data_0 (instanceRef g1_11_AN1)) + (portRef (member B 6) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 6) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member gated_in_data 4)) + )) + (net (rename gated_in_data_12 "gated_in_data(12)") (joined + (portRef gated_in_data_0 (instanceRef g1_12_AN1)) + (portRef (member B 5) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 5) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member gated_in_data 3)) + )) + (net (rename gated_in_data_13 "gated_in_data(13)") (joined + (portRef gated_in_data_0 (instanceRef g1_13_AN1)) + (portRef (member B 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 4) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member gated_in_data 2)) + )) + (net (rename gated_in_data_14 "gated_in_data(14)") (joined + (portRef gated_in_data_0 (instanceRef g1_14_AN1)) + (portRef (member B 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 3) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member gated_in_data 1)) + )) + (net (rename gated_in_data_15 "gated_in_data(15)") (joined + (portRef gated_in_data_0 (instanceRef g1_15_AN1)) + (portRef (member B 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member B 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member B 2) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member gated_in_data 0)) + )) + (net (rename B0_product_signed_0_0 "B0_product_signed_0(0)") (joined + (portRef (member PCOUT 47) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 47) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_1 "B0_product_signed_0(1)") (joined + (portRef (member PCOUT 46) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 46) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_2 "B0_product_signed_0(2)") (joined + (portRef (member PCOUT 45) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 45) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_3 "B0_product_signed_0(3)") (joined + (portRef (member PCOUT 44) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 44) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_4 "B0_product_signed_0(4)") (joined + (portRef (member PCOUT 43) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 43) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_5 "B0_product_signed_0(5)") (joined + (portRef (member PCOUT 42) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 42) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_6 "B0_product_signed_0(6)") (joined + (portRef (member PCOUT 41) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 41) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_7 "B0_product_signed_0(7)") (joined + (portRef (member PCOUT 40) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 40) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_8 "B0_product_signed_0(8)") (joined + (portRef (member PCOUT 39) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 39) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_9 "B0_product_signed_0(9)") (joined + (portRef (member PCOUT 38) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 38) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_10 "B0_product_signed_0(10)") (joined + (portRef (member PCOUT 37) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 37) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_11 "B0_product_signed_0(11)") (joined + (portRef (member PCOUT 36) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 36) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_12 "B0_product_signed_0(12)") (joined + (portRef (member PCOUT 35) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 35) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_13 "B0_product_signed_0(13)") (joined + (portRef (member PCOUT 34) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 34) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_14 "B0_product_signed_0(14)") (joined + (portRef (member PCOUT 33) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 33) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_15 "B0_product_signed_0(15)") (joined + (portRef (member PCOUT 32) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 32) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_16 "B0_product_signed_0(16)") (joined + (portRef (member PCOUT 31) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 31) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_17 "B0_product_signed_0_0(17)") (joined + (portRef (member PCOUT 30) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 30) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_18 "B0_product_signed_0_0(18)") (joined + (portRef (member PCOUT 29) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 29) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_19 "B0_product_signed_0_0(19)") (joined + (portRef (member PCOUT 28) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 28) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_20 "B0_product_signed_0_0(20)") (joined + (portRef (member PCOUT 27) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 27) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_21 "B0_product_signed_0_0(21)") (joined + (portRef (member PCOUT 26) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 26) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_22 "B0_product_signed_0_0(22)") (joined + (portRef (member PCOUT 25) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 25) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_23 "B0_product_signed_0_0(23)") (joined + (portRef (member PCOUT 24) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 24) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_24 "B0_product_signed_0_0(24)") (joined + (portRef (member PCOUT 23) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 23) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_25 "B0_product_signed_0_0(25)") (joined + (portRef (member PCOUT 22) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 22) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_26 "B0_product_signed_0_0(26)") (joined + (portRef (member PCOUT 21) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 21) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_27 "B0_product_signed_0_0(27)") (joined + (portRef (member PCOUT 20) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 20) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_28 "B0_product_signed_0_0(28)") (joined + (portRef (member PCOUT 19) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 19) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_29 "B0_product_signed_0_0(29)") (joined + (portRef (member PCOUT 18) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 18) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_30 "B0_product_signed_0_0(30)") (joined + (portRef (member PCOUT 17) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 17) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_31 "B0_product_signed_0_0(31)") (joined + (portRef (member PCOUT 16) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 16) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_32 "B0_product_signed_0_0(32)") (joined + (portRef (member PCOUT 15) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 15) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_33 "B0_product_signed_0_0(33)") (joined + (portRef (member PCOUT 14) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 14) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_11_0_34 "P_uc_11_0(34)") (joined + (portRef (member PCOUT 13) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 13) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_17_0_35 "P_uc_17_0(35)") (joined + (portRef (member PCOUT 12) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 12) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_17_0_36 "P_uc_17_0(36)") (joined + (portRef (member PCOUT 11) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 11) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_17_0_37 "P_uc_17_0(37)") (joined + (portRef (member PCOUT 10) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 10) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_17_0_38 "P_uc_17_0(38)") (joined + (portRef (member PCOUT 9) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 9) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_17_0_39 "P_uc_17_0(39)") (joined + (portRef (member PCOUT 8) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 8) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_17_0_40 "P_uc_17_0(40)") (joined + (portRef (member PCOUT 7) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 7) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_17_0_41 "P_uc_17_0(41)") (joined + (portRef (member PCOUT 6) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 6) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_17_0_42 "P_uc_17_0(42)") (joined + (portRef (member PCOUT 5) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 5) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_23_0_43 "P_uc_23_0(43)") (joined + (portRef (member PCOUT 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 4) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_23_0_44 "P_uc_23_0(44)") (joined + (portRef (member PCOUT 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 3) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_29_0_45 "P_uc_29_0(45)") (joined + (portRef (member PCOUT 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 2) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_29_0_46 "P_uc_29_0(46)") (joined + (portRef (member PCOUT 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 1) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_29_0_47 "P_uc_29_0(47)") (joined + (portRef (member PCOUT 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 0) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename A1_product_signed_44 "A1_product_signed(44)") (joined + (portRef (member P 46) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_47)) + (portRef I0 (instanceRef psum1_signed_cry_44_thru)) + (portRef I0 (instanceRef psum1_signed_s_44_thru)) + )) + (net (rename A1_product_signed_45 "A1_product_signed(45)") (joined + (portRef (member P 45) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_47)) + (portRef I0 (instanceRef psum1_signed_cry_45_thru)) + (portRef I0 (instanceRef psum1_signed_s_45_thru)) + )) + (net (rename A1_product_signed_46 "A1_product_signed(46)") (joined + (portRef (member P 44) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_47)) + (portRef I0 (instanceRef psum1_signed_cry_46_thru)) + (portRef I0 (instanceRef psum1_signed_s_46_thru)) + )) + (net (rename A1_product_signed_47 "A1_product_signed(47)") (joined + (portRef (member P 43) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_47)) + (portRef I0 (instanceRef psum1_signed_cry_47_thru)) + (portRef I0 (instanceRef psum1_signed_s_47_thru)) + )) + (net (rename A1_product_signed_48 "A1_product_signed(48)") (joined + (portRef (member P 42) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_51)) + (portRef I0 (instanceRef psum1_signed_cry_48_thru)) + (portRef I0 (instanceRef psum1_signed_s_48_thru)) + )) + (net (rename A1_product_signed_49 "A1_product_signed(49)") (joined + (portRef (member P 41) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_51)) + (portRef I0 (instanceRef psum1_signed_cry_49_thru)) + (portRef I0 (instanceRef psum1_signed_s_49_thru)) + )) + (net (rename A1_product_signed_50 "A1_product_signed(50)") (joined + (portRef (member P 40) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_51)) + (portRef I0 (instanceRef psum1_signed_cry_50_thru)) + (portRef I0 (instanceRef psum1_signed_s_50_thru)) + )) + (net (rename A1_product_signed_51 "A1_product_signed(51)") (joined + (portRef (member P 39) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_51)) + (portRef I0 (instanceRef psum1_signed_cry_51_thru)) + (portRef I0 (instanceRef psum1_signed_s_51_thru)) + )) + (net (rename A1_product_signed_52 "A1_product_signed(52)") (joined + (portRef (member P 38) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_55)) + (portRef I0 (instanceRef psum1_signed_cry_52_thru)) + (portRef I0 (instanceRef psum1_signed_s_52_thru)) + )) + (net (rename A1_product_signed_53 "A1_product_signed(53)") (joined + (portRef (member P 37) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_55)) + (portRef I0 (instanceRef psum1_signed_cry_53_thru)) + (portRef I0 (instanceRef psum1_signed_s_53_thru)) + )) + (net (rename A1_product_signed_54 "A1_product_signed(54)") (joined + (portRef (member P 36) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_55)) + (portRef I0 (instanceRef psum1_signed_cry_54_thru)) + (portRef I0 (instanceRef psum1_signed_s_54_thru)) + )) + (net (rename A1_product_signed_55 "A1_product_signed(55)") (joined + (portRef (member P 35) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_55)) + (portRef I0 (instanceRef psum1_signed_cry_55_thru)) + (portRef I0 (instanceRef psum1_signed_s_55_thru)) + )) + (net (rename A1_product_signed_56 "A1_product_signed(56)") (joined + (portRef (member P 34) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_59)) + (portRef I0 (instanceRef psum1_signed_cry_56_thru)) + (portRef I0 (instanceRef psum1_signed_s_56_thru)) + )) + (net (rename A1_product_signed_57 "A1_product_signed(57)") (joined + (portRef (member P 33) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_59)) + (portRef I0 (instanceRef psum1_signed_cry_57_thru)) + (portRef I0 (instanceRef psum1_signed_s_57_thru)) + )) + (net (rename A1_product_signed_58 "A1_product_signed(58)") (joined + (portRef (member P 32) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_59)) + (portRef I0 (instanceRef psum1_signed_cry_58_thru)) + (portRef I0 (instanceRef psum1_signed_s_58_thru)) + )) + (net (rename A1_product_signed_59 "A1_product_signed(59)") (joined + (portRef (member P 31) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_59)) + (portRef I0 (instanceRef psum1_signed_cry_59_thru)) + (portRef I0 (instanceRef psum1_signed_s_59_thru)) + )) + (net (rename A1_product_signed_60 "A1_product_signed(60)") (joined + (portRef (member P 30) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_63)) + (portRef I0 (instanceRef psum1_signed_cry_60_thru)) + (portRef I0 (instanceRef psum1_signed_s_60_thru)) + )) + (net (rename A1_product_signed_61 "A1_product_signed(61)") (joined + (portRef (member P 29) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_63)) + (portRef I0 (instanceRef psum1_signed_cry_61_thru)) + (portRef I0 (instanceRef psum1_signed_s_61_thru)) + )) + (net (rename A1_product_signed_62 "A1_product_signed(62)") (joined + (portRef (member P 28) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_63)) + (portRef I0 (instanceRef psum1_signed_cry_62_thru)) + (portRef I0 (instanceRef psum1_signed_s_62_thru)) + )) + (net (rename A1_product_signed_63 "A1_product_signed(63)") (joined + (portRef (member P 27) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_63)) + (portRef I0 (instanceRef psum1_signed_cry_63_thru)) + (portRef I0 (instanceRef psum1_signed_s_63_thru)) + )) + (net (rename A1_product_signed_64 "A1_product_signed(64)") (joined + (portRef (member P 26) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_67)) + (portRef I0 (instanceRef psum1_signed_cry_64_thru)) + (portRef I0 (instanceRef psum1_signed_s_64_thru)) + )) + (net (rename A1_product_signed_65 "A1_product_signed(65)") (joined + (portRef (member P 25) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_67)) + (portRef I0 (instanceRef psum1_signed_cry_65_thru)) + (portRef I0 (instanceRef psum1_signed_s_65_thru)) + )) + (net (rename A1_product_signed_66 "A1_product_signed(66)") (joined + (portRef (member P 24) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_67)) + (portRef I0 (instanceRef psum1_signed_cry_66_thru)) + (portRef I0 (instanceRef psum1_signed_s_66_thru)) + )) + (net (rename A1_product_signed_67 "A1_product_signed(67)") (joined + (portRef (member P 23) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef I0 (instanceRef psum1_signed_cry_67_RNO)) + (portRef I0 (instanceRef psum1_signed_s_69_RNO)) + (portRef I0 (instanceRef psum1_signed_s_69_thru)) + )) + (net (rename A1_product_signed_0 "A1_product_signed(0)") (joined + (portRef (member P 47) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_3)) + (portRef I0 (instanceRef psum1_signed_cry_0_thru)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_0)) + )) + (net (rename feedback_data_0 "feedback_data(0)") (joined + (portRef feedback_data_0 (instanceRef gO_4_0_MUX12)) + (portRef (member A 29) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_48_i (joined + (portRef N_48_i (instanceRef gO_4_1_MUX12)) + (portRef (member A 28) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_2 "feedback_data(2)") (joined + (portRef feedback_data_0 (instanceRef gO_4_2_MUX12)) + (portRef (member feedback_data 26) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 27) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_3 "feedback_data(3)") (joined + (portRef feedback_data_0 (instanceRef gO_4_3_MUX12)) + (portRef (member feedback_data 25) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 26) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_4 "feedback_data(4)") (joined + (portRef feedback_data_0 (instanceRef gO_4_4_MUX12)) + (portRef (member feedback_data 24) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 25) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_44_i (joined + (portRef N_44_i (instanceRef gO_4_5_MUX12)) + (portRef (member A 24) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_40_i (joined + (portRef N_40_i (instanceRef gO_4_6_MUX12)) + (portRef (member A 23) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_7 "feedback_data(7)") (joined + (portRef feedback_data_0 (instanceRef gO_4_7_MUX12)) + (portRef (member feedback_data 21) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 22) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_8 "feedback_data(8)") (joined + (portRef feedback_data_0 (instanceRef gO_4_8_MUX12)) + (portRef (member feedback_data 20) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 21) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_9 "feedback_data(9)") (joined + (portRef feedback_data_0 (instanceRef gO_4_9_MUX12)) + (portRef (member feedback_data 19) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 20) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_10 "feedback_data(10)") (joined + (portRef feedback_data_0 (instanceRef gO_4_10_MUX12)) + (portRef (member feedback_data 18) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 19) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_41_i (joined + (portRef N_41_i (instanceRef gO_4_11_MUX12)) + (portRef (member A 18) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_12 "feedback_data(12)") (joined + (portRef feedback_data_0 (instanceRef gO_4_12_MUX12)) + (portRef (member feedback_data 16) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 17) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_13 "feedback_data(13)") (joined + (portRef feedback_data_0 (instanceRef gO_4_13_MUX12)) + (portRef (member feedback_data 15) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 16) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_14 "feedback_data(14)") (joined + (portRef feedback_data_0 (instanceRef gO_4_14_MUX12)) + (portRef (member feedback_data 14) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 15) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_15 "feedback_data(15)") (joined + (portRef feedback_data_0 (instanceRef gO_4_15_MUX12)) + (portRef (member feedback_data 13) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 14) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_36_i (joined + (portRef N_36_i (instanceRef gO_4_16_MUX12)) + (portRef (member A 13) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_32_i (joined + (portRef N_32_i (instanceRef gO_4_17_MUX12)) + (portRef (member A 12) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_28_i (joined + (portRef N_28_i (instanceRef gO_4_18_MUX12)) + (portRef (member A 11) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_19 "feedback_data(19)") (joined + (portRef feedback_data_0 (instanceRef gO_4_19_MUX12)) + (portRef (member feedback_data 9) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 10) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_24_i (joined + (portRef N_24_i (instanceRef gO_4_20_MUX12)) + (portRef (member A 9) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_20_i (joined + (portRef N_20_i (instanceRef gO_4_21_MUX12)) + (portRef (member A 8) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_22 "feedback_data(22)") (joined + (portRef feedback_data_0 (instanceRef gO_4_22_MUX12)) + (portRef (member feedback_data 6) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 7) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_37_i (joined + (portRef N_37_i (instanceRef gO_4_23_MUX12)) + (portRef (member A 6) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_33_i (joined + (portRef N_33_i (instanceRef gO_4_24_MUX12)) + (portRef (member A 5) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_29_i (joined + (portRef N_29_i (instanceRef gO_4_25_MUX12)) + (portRef (member A 4) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename B0_product_signed_0 "B0_product_signed(0)") (joined + (portRef (member P 47) (instanceRef B0_product_signed_0_33_0)) + (portRef B0_product_signed_0 (instanceRef gO_2_gO_2cN_AN8)) + (portRef I1 (instanceRef un1_psum0_signed_axb_20)) + )) + (net (rename B0_product_signed_1 "B0_product_signed(1)") (joined + (portRef (member P 46) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_21)) + )) + (net (rename B0_product_signed_2 "B0_product_signed(2)") (joined + (portRef (member P 45) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_22)) + )) + (net (rename B0_product_signed_3 "B0_product_signed(3)") (joined + (portRef (member P 44) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_23)) + )) + (net (rename B0_product_signed_4 "B0_product_signed(4)") (joined + (portRef (member P 43) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_24)) + )) + (net (rename B0_product_signed_5 "B0_product_signed(5)") (joined + (portRef (member P 42) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_25)) + )) + (net (rename B0_product_signed_6 "B0_product_signed(6)") (joined + (portRef (member P 41) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_26)) + )) + (net (rename B0_product_signed_7 "B0_product_signed(7)") (joined + (portRef (member P 40) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_27)) + )) + (net (rename B0_product_signed_8 "B0_product_signed(8)") (joined + (portRef (member P 39) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_28)) + )) + (net (rename B0_product_signed_9 "B0_product_signed(9)") (joined + (portRef (member P 38) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_29)) + )) + (net (rename B0_product_signed_10 "B0_product_signed(10)") (joined + (portRef (member P 37) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_30)) + )) + (net (rename B0_product_signed_11 "B0_product_signed(11)") (joined + (portRef (member P 36) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_31)) + )) + (net (rename B0_product_signed_12 "B0_product_signed(12)") (joined + (portRef (member P 35) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_32)) + )) + (net (rename B0_product_signed_13 "B0_product_signed(13)") (joined + (portRef (member P 34) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_33)) + )) + (net (rename B0_product_signed_14 "B0_product_signed(14)") (joined + (portRef (member P 33) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_34)) + )) + (net (rename B0_product_signed_15 "B0_product_signed(15)") (joined + (portRef (member P 32) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_35)) + )) + (net (rename B0_product_signed_16 "B0_product_signed(16)") (joined + (portRef (member P 31) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_36)) + )) + (net (rename a0_re_0 "a0_re(0)") (joined + (portRef (member a0_re 31)) + (portRef (member A 29) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a0_re_1 "a0_re(1)") (joined + (portRef (member a0_re 30)) + (portRef (member A 28) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a0_re_2 "a0_re(2)") (joined + (portRef (member a0_re 29)) + (portRef (member A 27) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a0_re_3 "a0_re(3)") (joined + (portRef (member a0_re 28)) + (portRef (member A 26) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a0_re_4 "a0_re(4)") (joined + (portRef (member a0_re 27)) + (portRef (member A 25) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a0_re_5 "a0_re(5)") (joined + (portRef (member a0_re 26)) + (portRef (member A 24) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a0_re_6 "a0_re(6)") (joined + (portRef (member a0_re 25)) + (portRef (member A 23) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a0_re_7 "a0_re(7)") (joined + (portRef (member a0_re 24)) + (portRef (member A 22) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a0_re_8 "a0_re(8)") (joined + (portRef (member a0_re 23)) + (portRef (member A 21) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a0_re_9 "a0_re(9)") (joined + (portRef (member a0_re 22)) + (portRef (member A 20) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a0_re_10 "a0_re(10)") (joined + (portRef (member a0_re 21)) + (portRef (member A 19) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a0_re_11 "a0_re(11)") (joined + (portRef (member a0_re 20)) + (portRef (member A 18) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a0_re_12 "a0_re(12)") (joined + (portRef (member a0_re 19)) + (portRef (member A 17) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a0_re_13 "a0_re(13)") (joined + (portRef (member a0_re 18)) + (portRef (member A 16) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a0_re_14 "a0_re(14)") (joined + (portRef (member a0_re 17)) + (portRef (member A 15) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a0_re_15 "a0_re(15)") (joined + (portRef (member a0_re 16)) + (portRef (member A 14) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a0_re_16 "a0_re(16)") (joined + (portRef (member a0_re 15)) + (portRef (member A 13) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename psum1_signed_70 "psum1_signed(70)") (joined + (portRef (member O 2) (instanceRef psum1_signed_s_69)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_69)) + )) + (net (rename psum1_saved_3_70 "psum1_saved_3(70)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_69)) + (portRef D (instanceRef psum1_saved_69)) + )) + (net (rename psum1_signed_68 "psum1_signed(68)") (joined + (portRef (member O 3) (instanceRef psum1_signed_s_69)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_68)) + )) + (net (rename psum1_saved_3_68 "psum1_saved_3(68)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_68)) + (portRef D (instanceRef psum1_saved_68)) + )) + (net (rename psum1_signed_67 "psum1_signed(67)") (joined + (portRef (member O 0) (instanceRef psum1_signed_cry_67)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_67)) + )) + (net psum1_saved_3_9_4 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_67)) + (portRef D (instanceRef psum1_saved_67)) + )) + (net (rename psum1_signed_66 "psum1_signed(66)") (joined + (portRef LO (instanceRef psum1_signed_s_66)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_66)) + )) + (net psum1_saved_3_8_4 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_66)) + (portRef D (instanceRef psum1_saved_66)) + )) + (net (rename psum1_signed_64 "psum1_signed(64)") (joined + (portRef LO (instanceRef psum1_signed_s_64)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_64)) + )) + (net psum1_saved_3_6_4 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_64)) + (portRef D (instanceRef psum1_saved_64)) + )) + (net (rename psum1_signed_63 "psum1_signed(63)") (joined + (portRef LO (instanceRef psum1_signed_s_63)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_63)) + )) + (net psum1_saved_3_5_4 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_63)) + (portRef D (instanceRef psum1_saved_63)) + )) + (net (rename psum1_signed_62 "psum1_signed(62)") (joined + (portRef LO (instanceRef psum1_signed_s_62)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_62)) + )) + (net psum1_saved_3_4_4 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_62)) + (portRef D (instanceRef psum1_saved_62)) + )) + (net (rename psum1_signed_61 "psum1_signed(61)") (joined + (portRef LO (instanceRef psum1_signed_s_61)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_61)) + )) + (net psum1_saved_3_3_4 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_61)) + (portRef D (instanceRef psum1_saved_61)) + )) + (net (rename psum1_signed_60 "psum1_signed(60)") (joined + (portRef LO (instanceRef psum1_signed_s_60)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_60)) + )) + (net psum1_saved_3_2_4 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_60)) + (portRef D (instanceRef psum1_saved_60)) + )) + (net (rename psum1_signed_59 "psum1_signed(59)") (joined + (portRef LO (instanceRef psum1_signed_s_59)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_59)) + )) + (net psum1_saved_3_1_4 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_59)) + (portRef D (instanceRef psum1_saved_59)) + )) + (net (rename psum1_signed_58 "psum1_signed(58)") (joined + (portRef LO (instanceRef psum1_signed_s_58)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_58)) + )) + (net psum1_saved_3_0_4 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_58)) + (portRef D (instanceRef psum1_saved_58)) + )) + (net (rename psum1_signed_57 "psum1_signed(57)") (joined + (portRef LO (instanceRef psum1_signed_s_57)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_57)) + )) + (net psum1_saved_3_74 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_57)) + (portRef D (instanceRef psum1_saved_57)) + )) + (net (rename psum1_signed_56 "psum1_signed(56)") (joined + (portRef LO (instanceRef psum1_signed_s_56)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_56)) + )) + (net (rename psum1_saved_3_56 "psum1_saved_3(56)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_56)) + (portRef D (instanceRef psum1_saved_56)) + )) + (net (rename psum1_signed_55 "psum1_signed(55)") (joined + (portRef LO (instanceRef psum1_signed_s_55)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_55)) + )) + (net (rename psum1_saved_3_55 "psum1_saved_3(55)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_55)) + (portRef D (instanceRef psum1_saved_55)) + )) + (net (rename psum1_signed_54 "psum1_signed(54)") (joined + (portRef LO (instanceRef psum1_signed_s_54)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_54)) + )) + (net (rename psum1_saved_3_54 "psum1_saved_3(54)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_54)) + (portRef D (instanceRef psum1_saved_54)) + )) + (net (rename psum1_signed_53 "psum1_signed(53)") (joined + (portRef LO (instanceRef psum1_signed_s_53)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_53)) + )) + (net (rename psum1_saved_3_53 "psum1_saved_3(53)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_53)) + (portRef D (instanceRef psum1_saved_53)) + )) + (net (rename psum1_signed_52 "psum1_signed(52)") (joined + (portRef LO (instanceRef psum1_signed_s_52)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_52)) + )) + (net (rename psum1_saved_3_52 "psum1_saved_3(52)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_52)) + (portRef D (instanceRef psum1_saved_52)) + )) + (net (rename psum1_signed_51 "psum1_signed(51)") (joined + (portRef LO (instanceRef psum1_signed_s_51)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_51)) + )) + (net (rename psum1_saved_3_51 "psum1_saved_3(51)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_51)) + (portRef D (instanceRef psum1_saved_51)) + )) + (net (rename psum1_signed_50 "psum1_signed(50)") (joined + (portRef LO (instanceRef psum1_signed_s_50)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_50)) + )) + (net (rename psum1_saved_3_50 "psum1_saved_3(50)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_50)) + (portRef D (instanceRef psum1_saved_50)) + )) + (net (rename psum1_signed_49 "psum1_signed(49)") (joined + (portRef LO (instanceRef psum1_signed_s_49)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_49)) + )) + (net (rename psum1_saved_3_49 "psum1_saved_3(49)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_49)) + (portRef D (instanceRef psum1_saved_49)) + )) + (net (rename psum1_signed_48 "psum1_signed(48)") (joined + (portRef LO (instanceRef psum1_signed_s_48)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_48)) + )) + (net (rename psum1_saved_3_48 "psum1_saved_3(48)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_48)) + (portRef D (instanceRef psum1_saved_48)) + )) + (net (rename psum1_signed_47 "psum1_signed(47)") (joined + (portRef LO (instanceRef psum1_signed_s_47)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_47)) + )) + (net (rename psum1_saved_3_47 "psum1_saved_3(47)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_47)) + (portRef D (instanceRef psum1_saved_47)) + )) + (net (rename psum1_signed_46 "psum1_signed(46)") (joined + (portRef LO (instanceRef psum1_signed_s_46)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_46)) + )) + (net (rename psum1_saved_3_46 "psum1_saved_3(46)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_46)) + (portRef D (instanceRef psum1_saved_46)) + )) + (net (rename psum1_signed_45 "psum1_signed(45)") (joined + (portRef LO (instanceRef psum1_signed_s_45)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_45)) + )) + (net (rename psum1_saved_3_45 "psum1_saved_3(45)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_45)) + (portRef D (instanceRef psum1_saved_45)) + )) + (net (rename psum1_signed_44 "psum1_signed(44)") (joined + (portRef LO (instanceRef psum1_signed_s_44)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_44)) + )) + (net (rename psum1_saved_3_44 "psum1_saved_3(44)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_44)) + (portRef D (instanceRef psum1_saved_44)) + )) + (net (rename psum1_saved_3_0 "psum1_saved_3(0)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_0)) + (portRef D (instanceRef psum1_saved_0)) + )) + (net en (joined + (portRef en) + (portRef I0 (instanceRef PSUM2AND1_REGS_un1_enable)) + )) + (net un1_enable (joined + (portRef O (instanceRef PSUM2AND1_REGS_un1_enable)) + (portRef CE (instanceRef data_out_final_35)) + (portRef CE (instanceRef data_out_final_34)) + (portRef CE (instanceRef data_out_final_33)) + (portRef CE (instanceRef data_out_final_32)) + (portRef CE (instanceRef data_out_final_31)) + (portRef CE (instanceRef data_out_final_30)) + (portRef CE (instanceRef data_out_final_29)) + (portRef CE (instanceRef data_out_final_28)) + (portRef CE (instanceRef data_out_final_27)) + (portRef CE (instanceRef data_out_final_26)) + (portRef CE (instanceRef data_out_final_25)) + (portRef CE (instanceRef data_out_final_24)) + (portRef CE (instanceRef data_out_final_23)) + (portRef CE (instanceRef data_out_final_22)) + (portRef CE (instanceRef data_out_final_21)) + (portRef CE (instanceRef data_out_final_20)) + (portRef CE (instanceRef data_out_final_19)) + (portRef CE (instanceRef data_out_final_18)) + (portRef CE (instanceRef data_out_final_17)) + (portRef CE (instanceRef data_out_final_16)) + (portRef CE (instanceRef data_out_final_15)) + (portRef CE (instanceRef data_out_final_14)) + (portRef CE (instanceRef data_out_final_13)) + (portRef CE (instanceRef data_out_final_12)) + (portRef CE (instanceRef data_out_final_11)) + (portRef CE (instanceRef data_out_final_10)) + (portRef CE (instanceRef data_out_final_9)) + (portRef CE (instanceRef data_out_final_8)) + (portRef CE (instanceRef data_out_final_7)) + (portRef CE (instanceRef data_out_final_6)) + (portRef CE (instanceRef data_out_final_5)) + (portRef CE (instanceRef data_out_final_4)) + (portRef CE (instanceRef data_out_final_3)) + (portRef CE (instanceRef data_out_final_2)) + (portRef CE (instanceRef data_out_final_1)) + (portRef CE (instanceRef data_out_final_0)) + (portRef CE (instanceRef saturation_final)) + (portRef CE (instanceRef psum1_saved_69)) + (portRef CE (instanceRef psum1_saved_68)) + (portRef CE (instanceRef psum1_saved_67)) + (portRef CE (instanceRef psum1_saved_66)) + (portRef CE (instanceRef psum1_saved_65)) + (portRef CE (instanceRef psum1_saved_64)) + (portRef CE (instanceRef psum1_saved_63)) + (portRef CE (instanceRef psum1_saved_62)) + (portRef CE (instanceRef psum1_saved_61)) + (portRef CE (instanceRef psum1_saved_60)) + (portRef CE (instanceRef psum1_saved_59)) + (portRef CE (instanceRef psum1_saved_58)) + (portRef CE (instanceRef psum1_saved_57)) + (portRef CE (instanceRef psum1_saved_56)) + (portRef CE (instanceRef psum1_saved_55)) + (portRef CE (instanceRef psum1_saved_54)) + (portRef CE (instanceRef psum1_saved_53)) + (portRef CE (instanceRef psum1_saved_52)) + (portRef CE (instanceRef psum1_saved_51)) + (portRef CE (instanceRef psum1_saved_50)) + (portRef CE (instanceRef psum1_saved_49)) + (portRef CE (instanceRef psum1_saved_48)) + (portRef CE (instanceRef psum1_saved_47)) + (portRef CE (instanceRef psum1_saved_46)) + (portRef CE (instanceRef psum1_saved_45)) + (portRef CE (instanceRef psum1_saved_44)) + (portRef CE (instanceRef psum1_saved_43)) + (portRef CE (instanceRef psum1_saved_42)) + (portRef CE (instanceRef psum1_saved_41)) + (portRef CE (instanceRef psum1_saved_40)) + (portRef CE (instanceRef psum1_saved_39)) + (portRef CE (instanceRef psum1_saved_38)) + (portRef CE (instanceRef psum1_saved_37)) + (portRef CE (instanceRef psum1_saved_36)) + (portRef CE (instanceRef psum1_saved_35)) + (portRef CE (instanceRef psum1_saved_34)) + (portRef CE (instanceRef psum1_saved_33)) + (portRef CE (instanceRef psum1_saved_32)) + (portRef CE (instanceRef psum1_saved_31)) + (portRef CE (instanceRef psum1_saved_30)) + (portRef CE (instanceRef psum1_saved_29)) + (portRef CE (instanceRef psum1_saved_28)) + (portRef CE (instanceRef psum1_saved_27)) + (portRef CE (instanceRef psum1_saved_26)) + (portRef CE (instanceRef psum1_saved_25)) + (portRef CE (instanceRef psum1_saved_24)) + (portRef CE (instanceRef psum1_saved_23)) + (portRef CE (instanceRef psum1_saved_22)) + (portRef CE (instanceRef psum1_saved_21)) + (portRef CE (instanceRef psum1_saved_20)) + (portRef CE (instanceRef psum1_saved_19)) + (portRef CE (instanceRef psum1_saved_18)) + (portRef CE (instanceRef psum1_saved_17)) + (portRef CE (instanceRef psum1_saved_16)) + (portRef CE (instanceRef psum1_saved_15)) + (portRef CE (instanceRef psum1_saved_14)) + (portRef CE (instanceRef psum1_saved_13)) + (portRef CE (instanceRef psum1_saved_12)) + (portRef CE (instanceRef psum1_saved_11)) + (portRef CE (instanceRef psum1_saved_10)) + (portRef CE (instanceRef psum1_saved_9)) + (portRef CE (instanceRef psum1_saved_8)) + (portRef CE (instanceRef psum1_saved_7)) + (portRef CE (instanceRef psum1_saved_6)) + (portRef CE (instanceRef psum1_saved_5)) + (portRef CE (instanceRef psum1_saved_4)) + (portRef CE (instanceRef psum1_saved_3)) + (portRef CE (instanceRef psum1_saved_2)) + (portRef CE (instanceRef psum1_saved_1)) + (portRef CE (instanceRef psum1_saved_0)) + )) + (net (rename psum1_saved_70 "psum1_saved(70)") (joined + (portRef Q (instanceRef psum1_saved_69)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_s_70)) + (portRef I0 (instanceRef un1_psum0_signed_axb_69)) + (portRef I0 (instanceRef un1_psum0_signed_axb_70)) + )) + (net (rename un1_psum0_signed_axbZ0Z_70 "un1_psum0_signed_axb_70") (joined + (portRef O (instanceRef un1_psum0_signed_axb_70)) + (portRef (member S 1) (instanceRef un1_psum0_signed_s_70)) + )) + (net (rename un1_psum0_signed_58 "un1_psum0_signed(58)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_59)) + (portRef (member un1_psum0_signed 6) (instanceRef gO_4_11_MUX12)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_27_MUX12)) + (portRef (member un1_psum0_signed 12) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 8) (instanceRef gO_2_gO_2d_INC2)) + (portRef I0 (instanceRef un1_psum0_signed_cry_59_RNI99SN)) + )) + (net (rename un1_psum0_signed_60 "un1_psum0_signed(60)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_63)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_29_MUX12)) + (portRef (member un1_psum0_signed 10) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 6) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 10) (instanceRef gO_2_gO_2cN_AN8)) + (portRef I1 (instanceRef un1_psum0_signed_cry_59_RNI99SN)) + )) + (net (rename un1_psum0_signed_62 "un1_psum0_signed(62)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_63)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_31_MUX12)) + (portRef (member un1_psum0_signed 8) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 4) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 8) (instanceRef gO_2_gO_2cN_AN8)) + (portRef I2 (instanceRef un1_psum0_signed_cry_59_RNI99SN)) + )) + (net (rename un1_psum0_signed_61 "un1_psum0_signed(61)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_63)) + (portRef (member un1_psum0_signed 3) (instanceRef gO_4_11_MUX12)) + (portRef (member un1_psum0_signed 9) (instanceRef gO_4_34_MUX12)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_30_MUX12)) + (portRef (member un1_psum0_signed 5) (instanceRef gO_2_gO_2d_INC2)) + (portRef I3 (instanceRef un1_psum0_signed_cry_59_RNI99SN)) + )) + (net g0_11_3 (joined + (portRef O (instanceRef un1_psum0_signed_cry_59_RNI99SN)) + (portRef g0_11_3 (instanceRef OR25)) + )) + (net (rename A1_product_signed_2_44 "A1_product_signed_2(44)") (joined + (portRef O (instanceRef psum1_signed_s_44_thru)) + (portRef I0 (instanceRef psum1_signed_s_44)) + )) + (net (rename A1_product_signed_1_45 "A1_product_signed_1(45)") (joined + (portRef O (instanceRef psum1_signed_s_45_thru)) + (portRef I0 (instanceRef psum1_signed_s_45)) + )) + (net (rename A1_product_signed_1_46 "A1_product_signed_1(46)") (joined + (portRef O (instanceRef psum1_signed_s_46_thru)) + (portRef I0 (instanceRef psum1_signed_s_46)) + )) + (net (rename A1_product_signed_1_47 "A1_product_signed_1(47)") (joined + (portRef O (instanceRef psum1_signed_s_47_thru)) + (portRef I0 (instanceRef psum1_signed_s_47)) + )) + (net (rename A1_product_signed_1_48 "A1_product_signed_1(48)") (joined + (portRef O (instanceRef psum1_signed_s_48_thru)) + (portRef I0 (instanceRef psum1_signed_s_48)) + )) + (net (rename A1_product_signed_1_49 "A1_product_signed_1(49)") (joined + (portRef O (instanceRef psum1_signed_s_49_thru)) + (portRef I0 (instanceRef psum1_signed_s_49)) + )) + (net (rename A1_product_signed_1_50 "A1_product_signed_1(50)") (joined + (portRef O (instanceRef psum1_signed_s_50_thru)) + (portRef I0 (instanceRef psum1_signed_s_50)) + )) + (net (rename A1_product_signed_1_51 "A1_product_signed_1(51)") (joined + (portRef O (instanceRef psum1_signed_s_51_thru)) + (portRef I0 (instanceRef psum1_signed_s_51)) + )) + (net (rename A1_product_signed_1_52 "A1_product_signed_1(52)") (joined + (portRef O (instanceRef psum1_signed_s_52_thru)) + (portRef I0 (instanceRef psum1_signed_s_52)) + )) + (net (rename A1_product_signed_1_53 "A1_product_signed_1(53)") (joined + (portRef O (instanceRef psum1_signed_s_53_thru)) + (portRef I0 (instanceRef psum1_signed_s_53)) + )) + (net (rename A1_product_signed_1_54 "A1_product_signed_1(54)") (joined + (portRef O (instanceRef psum1_signed_s_54_thru)) + (portRef I0 (instanceRef psum1_signed_s_54)) + )) + (net (rename A1_product_signed_1_55 "A1_product_signed_1(55)") (joined + (portRef O (instanceRef psum1_signed_s_55_thru)) + (portRef I0 (instanceRef psum1_signed_s_55)) + )) + (net (rename A1_product_signed_1_56 "A1_product_signed_1(56)") (joined + (portRef O (instanceRef psum1_signed_s_56_thru)) + (portRef I0 (instanceRef psum1_signed_s_56)) + )) + (net (rename A1_product_signed_1_57 "A1_product_signed_1(57)") (joined + (portRef O (instanceRef psum1_signed_s_57_thru)) + (portRef I0 (instanceRef psum1_signed_s_57)) + )) + (net (rename A1_product_signed_1_58 "A1_product_signed_1(58)") (joined + (portRef O (instanceRef psum1_signed_s_58_thru)) + (portRef I0 (instanceRef psum1_signed_s_58)) + )) + (net (rename A1_product_signed_1_59 "A1_product_signed_1(59)") (joined + (portRef O (instanceRef psum1_signed_s_59_thru)) + (portRef I0 (instanceRef psum1_signed_s_59)) + )) + (net (rename A1_product_signed_1_60 "A1_product_signed_1(60)") (joined + (portRef O (instanceRef psum1_signed_s_60_thru)) + (portRef I0 (instanceRef psum1_signed_s_60)) + )) + (net (rename A1_product_signed_1_61 "A1_product_signed_1(61)") (joined + (portRef O (instanceRef psum1_signed_s_61_thru)) + (portRef I0 (instanceRef psum1_signed_s_61)) + )) + (net (rename A1_product_signed_1_62 "A1_product_signed_1(62)") (joined + (portRef O (instanceRef psum1_signed_s_62_thru)) + (portRef I0 (instanceRef psum1_signed_s_62)) + )) + (net (rename A1_product_signed_1_63 "A1_product_signed_1(63)") (joined + (portRef O (instanceRef psum1_signed_s_63_thru)) + (portRef I0 (instanceRef psum1_signed_s_63)) + )) + (net (rename A1_product_signed_1_64 "A1_product_signed_1(64)") (joined + (portRef O (instanceRef psum1_signed_s_64_thru)) + (portRef I0 (instanceRef psum1_signed_s_64)) + )) + (net (rename A1_product_signed_1_66 "A1_product_signed_1(66)") (joined + (portRef O (instanceRef psum1_signed_s_66_thru)) + (portRef I0 (instanceRef psum1_signed_s_66)) + )) + (net (rename A1_product_signed_0_67 "A1_product_signed_0(67)") (joined + (portRef O (instanceRef psum1_signed_s_69_thru)) + (portRef (member S 2) (instanceRef psum1_signed_s_69)) + )) + (net (rename psum1_savedZ0Z_0 "psum1_saved(0)") (joined + (portRef Q (instanceRef psum1_saved_0)) + (portRef (member psum1_saved 20) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net clk (joined + (portRef clk) + (portRef C (instanceRef data_out_final_35)) + (portRef C (instanceRef data_out_final_34)) + (portRef C (instanceRef data_out_final_33)) + (portRef C (instanceRef data_out_final_32)) + (portRef C (instanceRef data_out_final_31)) + (portRef C (instanceRef data_out_final_30)) + (portRef C (instanceRef data_out_final_29)) + (portRef C (instanceRef data_out_final_28)) + (portRef C (instanceRef data_out_final_27)) + (portRef C (instanceRef data_out_final_26)) + (portRef C (instanceRef data_out_final_25)) + (portRef C (instanceRef data_out_final_24)) + (portRef C (instanceRef data_out_final_23)) + (portRef C (instanceRef data_out_final_22)) + (portRef C (instanceRef data_out_final_21)) + (portRef C (instanceRef data_out_final_20)) + (portRef C (instanceRef data_out_final_19)) + (portRef C (instanceRef data_out_final_18)) + (portRef C (instanceRef data_out_final_17)) + (portRef C (instanceRef data_out_final_16)) + (portRef C (instanceRef data_out_final_15)) + (portRef C (instanceRef data_out_final_14)) + (portRef C (instanceRef data_out_final_13)) + (portRef C (instanceRef data_out_final_12)) + (portRef C (instanceRef data_out_final_11)) + (portRef C (instanceRef data_out_final_10)) + (portRef C (instanceRef data_out_final_9)) + (portRef C (instanceRef data_out_final_8)) + (portRef C (instanceRef data_out_final_7)) + (portRef C (instanceRef data_out_final_6)) + (portRef C (instanceRef data_out_final_5)) + (portRef C (instanceRef data_out_final_4)) + (portRef C (instanceRef data_out_final_3)) + (portRef C (instanceRef data_out_final_2)) + (portRef C (instanceRef data_out_final_1)) + (portRef C (instanceRef data_out_final_0)) + (portRef C (instanceRef saturation_final)) + (portRef C (instanceRef psum1_saved_69)) + (portRef C (instanceRef psum1_saved_68)) + (portRef C (instanceRef psum1_saved_67)) + (portRef C (instanceRef psum1_saved_66)) + (portRef C (instanceRef psum1_saved_65)) + (portRef C (instanceRef psum1_saved_64)) + (portRef C (instanceRef psum1_saved_63)) + (portRef C (instanceRef psum1_saved_62)) + (portRef C (instanceRef psum1_saved_61)) + (portRef C (instanceRef psum1_saved_60)) + (portRef C (instanceRef psum1_saved_59)) + (portRef C (instanceRef psum1_saved_58)) + (portRef C (instanceRef psum1_saved_57)) + (portRef C (instanceRef psum1_saved_56)) + (portRef C (instanceRef psum1_saved_55)) + (portRef C (instanceRef psum1_saved_54)) + (portRef C (instanceRef psum1_saved_53)) + (portRef C (instanceRef psum1_saved_52)) + (portRef C (instanceRef psum1_saved_51)) + (portRef C (instanceRef psum1_saved_50)) + (portRef C (instanceRef psum1_saved_49)) + (portRef C (instanceRef psum1_saved_48)) + (portRef C (instanceRef psum1_saved_47)) + (portRef C (instanceRef psum1_saved_46)) + (portRef C (instanceRef psum1_saved_45)) + (portRef C (instanceRef psum1_saved_44)) + (portRef C (instanceRef psum1_saved_43)) + (portRef C (instanceRef psum1_saved_42)) + (portRef C (instanceRef psum1_saved_41)) + (portRef C (instanceRef psum1_saved_40)) + (portRef C (instanceRef psum1_saved_39)) + (portRef C (instanceRef psum1_saved_38)) + (portRef C (instanceRef psum1_saved_37)) + (portRef C (instanceRef psum1_saved_36)) + (portRef C (instanceRef psum1_saved_35)) + (portRef C (instanceRef psum1_saved_34)) + (portRef C (instanceRef psum1_saved_33)) + (portRef C (instanceRef psum1_saved_32)) + (portRef C (instanceRef psum1_saved_31)) + (portRef C (instanceRef psum1_saved_30)) + (portRef C (instanceRef psum1_saved_29)) + (portRef C (instanceRef psum1_saved_28)) + (portRef C (instanceRef psum1_saved_27)) + (portRef C (instanceRef psum1_saved_26)) + (portRef C (instanceRef psum1_saved_25)) + (portRef C (instanceRef psum1_saved_24)) + (portRef C (instanceRef psum1_saved_23)) + (portRef C (instanceRef psum1_saved_22)) + (portRef C (instanceRef psum1_saved_21)) + (portRef C (instanceRef psum1_saved_20)) + (portRef C (instanceRef psum1_saved_19)) + (portRef C (instanceRef psum1_saved_18)) + (portRef C (instanceRef psum1_saved_17)) + (portRef C (instanceRef psum1_saved_16)) + (portRef C (instanceRef psum1_saved_15)) + (portRef C (instanceRef psum1_saved_14)) + (portRef C (instanceRef psum1_saved_13)) + (portRef C (instanceRef psum1_saved_12)) + (portRef C (instanceRef psum1_saved_11)) + (portRef C (instanceRef psum1_saved_10)) + (portRef C (instanceRef psum1_saved_9)) + (portRef C (instanceRef psum1_saved_8)) + (portRef C (instanceRef psum1_saved_7)) + (portRef C (instanceRef psum1_saved_6)) + (portRef C (instanceRef psum1_saved_5)) + (portRef C (instanceRef psum1_saved_4)) + (portRef C (instanceRef psum1_saved_3)) + (portRef C (instanceRef psum1_saved_2)) + (portRef C (instanceRef psum1_saved_1)) + (portRef C (instanceRef psum1_saved_0)) + )) + (net rstn_i (joined + (portRef rstn_i) + (portRef CLR (instanceRef data_out_final_35)) + (portRef CLR (instanceRef data_out_final_34)) + (portRef CLR (instanceRef data_out_final_33)) + (portRef CLR (instanceRef data_out_final_32)) + (portRef CLR (instanceRef data_out_final_31)) + (portRef CLR (instanceRef data_out_final_30)) + (portRef CLR (instanceRef data_out_final_29)) + (portRef CLR (instanceRef data_out_final_28)) + (portRef CLR (instanceRef data_out_final_27)) + (portRef CLR (instanceRef data_out_final_26)) + (portRef CLR (instanceRef data_out_final_25)) + (portRef CLR (instanceRef data_out_final_24)) + (portRef CLR (instanceRef data_out_final_23)) + (portRef CLR (instanceRef data_out_final_22)) + (portRef CLR (instanceRef data_out_final_21)) + (portRef CLR (instanceRef data_out_final_20)) + (portRef CLR (instanceRef data_out_final_19)) + (portRef CLR (instanceRef data_out_final_18)) + (portRef CLR (instanceRef data_out_final_17)) + (portRef CLR (instanceRef data_out_final_16)) + (portRef CLR (instanceRef data_out_final_15)) + (portRef CLR (instanceRef data_out_final_14)) + (portRef CLR (instanceRef data_out_final_13)) + (portRef CLR (instanceRef data_out_final_12)) + (portRef CLR (instanceRef data_out_final_11)) + (portRef CLR (instanceRef data_out_final_10)) + (portRef CLR (instanceRef data_out_final_9)) + (portRef CLR (instanceRef data_out_final_8)) + (portRef CLR (instanceRef data_out_final_7)) + (portRef CLR (instanceRef data_out_final_6)) + (portRef CLR (instanceRef data_out_final_5)) + (portRef CLR (instanceRef data_out_final_4)) + (portRef CLR (instanceRef data_out_final_3)) + (portRef CLR (instanceRef data_out_final_2)) + (portRef CLR (instanceRef data_out_final_1)) + (portRef CLR (instanceRef data_out_final_0)) + (portRef CLR (instanceRef saturation_final)) + (portRef CLR (instanceRef psum1_saved_69)) + (portRef CLR (instanceRef psum1_saved_68)) + (portRef CLR (instanceRef psum1_saved_67)) + (portRef CLR (instanceRef psum1_saved_66)) + (portRef CLR (instanceRef psum1_saved_65)) + (portRef CLR (instanceRef psum1_saved_64)) + (portRef CLR (instanceRef psum1_saved_63)) + (portRef CLR (instanceRef psum1_saved_62)) + (portRef CLR (instanceRef psum1_saved_61)) + (portRef CLR (instanceRef psum1_saved_60)) + (portRef CLR (instanceRef psum1_saved_59)) + (portRef CLR (instanceRef psum1_saved_58)) + (portRef CLR (instanceRef psum1_saved_57)) + (portRef CLR (instanceRef psum1_saved_56)) + (portRef CLR (instanceRef psum1_saved_55)) + (portRef CLR (instanceRef psum1_saved_54)) + (portRef CLR (instanceRef psum1_saved_53)) + (portRef CLR (instanceRef psum1_saved_52)) + (portRef CLR (instanceRef psum1_saved_51)) + (portRef CLR (instanceRef psum1_saved_50)) + (portRef CLR (instanceRef psum1_saved_49)) + (portRef CLR (instanceRef psum1_saved_48)) + (portRef CLR (instanceRef psum1_saved_47)) + (portRef CLR (instanceRef psum1_saved_46)) + (portRef CLR (instanceRef psum1_saved_45)) + (portRef CLR (instanceRef psum1_saved_44)) + (portRef CLR (instanceRef psum1_saved_43)) + (portRef CLR (instanceRef psum1_saved_42)) + (portRef CLR (instanceRef psum1_saved_41)) + (portRef CLR (instanceRef psum1_saved_40)) + (portRef CLR (instanceRef psum1_saved_39)) + (portRef CLR (instanceRef psum1_saved_38)) + (portRef CLR (instanceRef psum1_saved_37)) + (portRef CLR (instanceRef psum1_saved_36)) + (portRef CLR (instanceRef psum1_saved_35)) + (portRef CLR (instanceRef psum1_saved_34)) + (portRef CLR (instanceRef psum1_saved_33)) + (portRef CLR (instanceRef psum1_saved_32)) + (portRef CLR (instanceRef psum1_saved_31)) + (portRef CLR (instanceRef psum1_saved_30)) + (portRef CLR (instanceRef psum1_saved_29)) + (portRef CLR (instanceRef psum1_saved_28)) + (portRef CLR (instanceRef psum1_saved_27)) + (portRef CLR (instanceRef psum1_saved_26)) + (portRef CLR (instanceRef psum1_saved_25)) + (portRef CLR (instanceRef psum1_saved_24)) + (portRef CLR (instanceRef psum1_saved_23)) + (portRef CLR (instanceRef psum1_saved_22)) + (portRef CLR (instanceRef psum1_saved_21)) + (portRef CLR (instanceRef psum1_saved_20)) + (portRef CLR (instanceRef psum1_saved_19)) + (portRef CLR (instanceRef psum1_saved_18)) + (portRef CLR (instanceRef psum1_saved_17)) + (portRef CLR (instanceRef psum1_saved_16)) + (portRef CLR (instanceRef psum1_saved_15)) + (portRef CLR (instanceRef psum1_saved_14)) + (portRef CLR (instanceRef psum1_saved_13)) + (portRef CLR (instanceRef psum1_saved_12)) + (portRef CLR (instanceRef psum1_saved_11)) + (portRef CLR (instanceRef psum1_saved_10)) + (portRef CLR (instanceRef psum1_saved_9)) + (portRef CLR (instanceRef psum1_saved_8)) + (portRef CLR (instanceRef psum1_saved_7)) + (portRef CLR (instanceRef psum1_saved_6)) + (portRef CLR (instanceRef psum1_saved_5)) + (portRef CLR (instanceRef psum1_saved_4)) + (portRef CLR (instanceRef psum1_saved_3)) + (portRef CLR (instanceRef psum1_saved_2)) + (portRef CLR (instanceRef psum1_saved_1)) + (portRef CLR (instanceRef psum1_saved_0)) + )) + (net (rename psum1_savedZ0Z_1 "psum1_saved(1)") (joined + (portRef Q (instanceRef psum1_saved_1)) + (portRef (member psum1_saved 19) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_2 "psum1_saved(2)") (joined + (portRef Q (instanceRef psum1_saved_2)) + (portRef (member psum1_saved 18) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_3 "psum1_saved(3)") (joined + (portRef Q (instanceRef psum1_saved_3)) + (portRef (member psum1_saved 17) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_4 "psum1_saved(4)") (joined + (portRef Q (instanceRef psum1_saved_4)) + (portRef (member psum1_saved 16) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_5 "psum1_saved(5)") (joined + (portRef Q (instanceRef psum1_saved_5)) + (portRef (member psum1_saved 15) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_6 "psum1_saved(6)") (joined + (portRef Q (instanceRef psum1_saved_6)) + (portRef (member psum1_saved 14) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_7 "psum1_saved(7)") (joined + (portRef Q (instanceRef psum1_saved_7)) + (portRef (member psum1_saved 13) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_8 "psum1_saved(8)") (joined + (portRef Q (instanceRef psum1_saved_8)) + (portRef (member psum1_saved 12) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_9 "psum1_saved(9)") (joined + (portRef Q (instanceRef psum1_saved_9)) + (portRef (member psum1_saved 11) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_10 "psum1_saved(10)") (joined + (portRef Q (instanceRef psum1_saved_10)) + (portRef (member psum1_saved 10) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_11 "psum1_saved(11)") (joined + (portRef Q (instanceRef psum1_saved_11)) + (portRef (member psum1_saved 9) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_12 "psum1_saved(12)") (joined + (portRef Q (instanceRef psum1_saved_12)) + (portRef (member psum1_saved 8) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_13 "psum1_saved(13)") (joined + (portRef Q (instanceRef psum1_saved_13)) + (portRef (member psum1_saved 7) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_14 "psum1_saved(14)") (joined + (portRef Q (instanceRef psum1_saved_14)) + (portRef (member psum1_saved 6) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_15 "psum1_saved(15)") (joined + (portRef Q (instanceRef psum1_saved_15)) + (portRef (member psum1_saved 5) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_16 "psum1_saved(16)") (joined + (portRef Q (instanceRef psum1_saved_16)) + (portRef (member psum1_saved 4) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_17 "psum1_saved(17)") (joined + (portRef Q (instanceRef psum1_saved_17)) + (portRef (member psum1_saved 3) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_18 "psum1_saved(18)") (joined + (portRef Q (instanceRef psum1_saved_18)) + (portRef (member psum1_saved 2) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_19 "psum1_saved(19)") (joined + (portRef Q (instanceRef psum1_saved_19)) + (portRef (member psum1_saved 1) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_20 "psum1_saved(20)") (joined + (portRef Q (instanceRef psum1_saved_20)) + (portRef (member psum1_saved 0) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_23)) + (portRef I0 (instanceRef un1_psum0_signed_axb_20)) + )) + (net (rename psum1_savedZ0Z_21 "psum1_saved(21)") (joined + (portRef Q (instanceRef psum1_saved_21)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_23)) + (portRef I0 (instanceRef un1_psum0_signed_axb_21)) + )) + (net (rename psum1_savedZ0Z_22 "psum1_saved(22)") (joined + (portRef Q (instanceRef psum1_saved_22)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_23)) + (portRef I0 (instanceRef un1_psum0_signed_axb_22)) + )) + (net (rename psum1_savedZ0Z_23 "psum1_saved(23)") (joined + (portRef Q (instanceRef psum1_saved_23)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_23)) + (portRef I0 (instanceRef un1_psum0_signed_axb_23)) + )) + (net (rename psum1_savedZ0Z_24 "psum1_saved(24)") (joined + (portRef Q (instanceRef psum1_saved_24)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_27)) + (portRef I0 (instanceRef un1_psum0_signed_axb_24)) + )) + (net (rename psum1_savedZ0Z_25 "psum1_saved(25)") (joined + (portRef Q (instanceRef psum1_saved_25)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_27)) + (portRef I0 (instanceRef un1_psum0_signed_axb_25)) + )) + (net (rename psum1_savedZ0Z_26 "psum1_saved(26)") (joined + (portRef Q (instanceRef psum1_saved_26)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_27)) + (portRef I0 (instanceRef un1_psum0_signed_axb_26)) + )) + (net (rename psum1_savedZ0Z_27 "psum1_saved(27)") (joined + (portRef Q (instanceRef psum1_saved_27)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_27)) + (portRef I0 (instanceRef un1_psum0_signed_axb_27)) + )) + (net (rename psum1_savedZ0Z_28 "psum1_saved(28)") (joined + (portRef Q (instanceRef psum1_saved_28)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_31)) + (portRef I0 (instanceRef un1_psum0_signed_axb_28)) + )) + (net (rename psum1_savedZ0Z_29 "psum1_saved(29)") (joined + (portRef Q (instanceRef psum1_saved_29)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_31)) + (portRef I0 (instanceRef un1_psum0_signed_axb_29)) + )) + (net (rename psum1_savedZ0Z_30 "psum1_saved(30)") (joined + (portRef Q (instanceRef psum1_saved_30)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_31)) + (portRef I0 (instanceRef un1_psum0_signed_axb_30)) + )) + (net (rename psum1_savedZ0Z_31 "psum1_saved(31)") (joined + (portRef Q (instanceRef psum1_saved_31)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_31)) + (portRef I0 (instanceRef un1_psum0_signed_axb_31)) + )) + (net (rename psum1_savedZ0Z_32 "psum1_saved(32)") (joined + (portRef Q (instanceRef psum1_saved_32)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_35)) + (portRef I0 (instanceRef un1_psum0_signed_axb_32)) + )) + (net (rename psum1_savedZ0Z_33 "psum1_saved(33)") (joined + (portRef Q (instanceRef psum1_saved_33)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_35)) + (portRef I0 (instanceRef un1_psum0_signed_axb_33)) + )) + (net (rename psum1_savedZ0Z_34 "psum1_saved(34)") (joined + (portRef Q (instanceRef psum1_saved_34)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_35)) + (portRef I0 (instanceRef un1_psum0_signed_axb_34)) + )) + (net (rename psum1_savedZ0Z_35 "psum1_saved(35)") (joined + (portRef Q (instanceRef psum1_saved_35)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_35)) + (portRef I0 (instanceRef un1_psum0_signed_axb_35)) + )) + (net (rename psum1_savedZ0Z_36 "psum1_saved(36)") (joined + (portRef Q (instanceRef psum1_saved_36)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_39)) + (portRef I0 (instanceRef un1_psum0_signed_axb_36)) + )) + (net (rename psum1_savedZ0Z_37 "psum1_saved(37)") (joined + (portRef Q (instanceRef psum1_saved_37)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_39)) + (portRef I0 (instanceRef un1_psum0_signed_axb_37)) + )) + (net (rename psum1_savedZ0Z_38 "psum1_saved(38)") (joined + (portRef Q (instanceRef psum1_saved_38)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_39)) + (portRef I0 (instanceRef un1_psum0_signed_axb_38)) + )) + (net (rename psum1_savedZ0Z_39 "psum1_saved(39)") (joined + (portRef Q (instanceRef psum1_saved_39)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_39)) + (portRef I0 (instanceRef un1_psum0_signed_axb_39)) + )) + (net (rename psum1_savedZ0Z_40 "psum1_saved(40)") (joined + (portRef Q (instanceRef psum1_saved_40)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_43)) + (portRef I0 (instanceRef un1_psum0_signed_axb_40)) + )) + (net (rename psum1_savedZ0Z_41 "psum1_saved(41)") (joined + (portRef Q (instanceRef psum1_saved_41)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_43)) + (portRef I0 (instanceRef un1_psum0_signed_axb_41)) + )) + (net (rename psum1_savedZ0Z_42 "psum1_saved(42)") (joined + (portRef Q (instanceRef psum1_saved_42)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_43)) + (portRef I0 (instanceRef un1_psum0_signed_axb_42)) + )) + (net (rename psum1_savedZ0Z_43 "psum1_saved(43)") (joined + (portRef Q (instanceRef psum1_saved_43)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_43)) + (portRef I0 (instanceRef un1_psum0_signed_axb_43)) + )) + (net (rename psum1_savedZ0Z_44 "psum1_saved(44)") (joined + (portRef Q (instanceRef psum1_saved_44)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_47)) + (portRef I0 (instanceRef un1_psum0_signed_axb_44)) + )) + (net (rename psum1_savedZ0Z_45 "psum1_saved(45)") (joined + (portRef Q (instanceRef psum1_saved_45)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_47)) + (portRef I0 (instanceRef un1_psum0_signed_axb_45)) + )) + (net (rename psum1_savedZ0Z_46 "psum1_saved(46)") (joined + (portRef Q (instanceRef psum1_saved_46)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_47)) + (portRef I0 (instanceRef un1_psum0_signed_axb_46)) + )) + (net (rename psum1_savedZ0Z_47 "psum1_saved(47)") (joined + (portRef Q (instanceRef psum1_saved_47)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_47)) + (portRef I0 (instanceRef un1_psum0_signed_axb_47)) + )) + (net (rename psum1_savedZ0Z_48 "psum1_saved(48)") (joined + (portRef Q (instanceRef psum1_saved_48)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_51)) + (portRef I0 (instanceRef un1_psum0_signed_axb_48)) + )) + (net (rename psum1_savedZ0Z_49 "psum1_saved(49)") (joined + (portRef Q (instanceRef psum1_saved_49)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_51)) + (portRef I0 (instanceRef un1_psum0_signed_axb_49)) + )) + (net (rename psum1_savedZ0Z_50 "psum1_saved(50)") (joined + (portRef Q (instanceRef psum1_saved_50)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_51)) + (portRef I0 (instanceRef un1_psum0_signed_axb_50)) + )) + (net (rename psum1_savedZ0Z_51 "psum1_saved(51)") (joined + (portRef Q (instanceRef psum1_saved_51)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_51)) + (portRef I0 (instanceRef un1_psum0_signed_axb_51)) + )) + (net (rename psum1_savedZ0Z_52 "psum1_saved(52)") (joined + (portRef Q (instanceRef psum1_saved_52)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_55)) + (portRef I0 (instanceRef un1_psum0_signed_axb_52)) + )) + (net (rename psum1_savedZ0Z_53 "psum1_saved(53)") (joined + (portRef Q (instanceRef psum1_saved_53)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_55)) + (portRef I0 (instanceRef un1_psum0_signed_axb_53)) + )) + (net (rename psum1_savedZ0Z_54 "psum1_saved(54)") (joined + (portRef Q (instanceRef psum1_saved_54)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_55)) + (portRef I0 (instanceRef un1_psum0_signed_axb_54)) + )) + (net (rename psum1_savedZ0Z_55 "psum1_saved(55)") (joined + (portRef Q (instanceRef psum1_saved_55)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_55)) + (portRef I0 (instanceRef un1_psum0_signed_axb_55)) + )) + (net (rename psum1_savedZ0Z_56 "psum1_saved(56)") (joined + (portRef Q (instanceRef psum1_saved_56)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_59)) + (portRef I0 (instanceRef un1_psum0_signed_axb_56)) + )) + (net (rename psum1_savedZ0Z_57 "psum1_saved(57)") (joined + (portRef Q (instanceRef psum1_saved_57)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_59)) + (portRef I0 (instanceRef un1_psum0_signed_axb_57)) + )) + (net (rename psum1_savedZ0Z_58 "psum1_saved(58)") (joined + (portRef Q (instanceRef psum1_saved_58)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_59)) + (portRef I0 (instanceRef un1_psum0_signed_axb_58)) + )) + (net (rename psum1_savedZ0Z_59 "psum1_saved(59)") (joined + (portRef Q (instanceRef psum1_saved_59)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_59)) + (portRef I0 (instanceRef un1_psum0_signed_axb_59)) + )) + (net (rename psum1_savedZ0Z_60 "psum1_saved(60)") (joined + (portRef Q (instanceRef psum1_saved_60)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_63)) + (portRef I0 (instanceRef un1_psum0_signed_axb_60)) + )) + (net (rename psum1_savedZ0Z_61 "psum1_saved(61)") (joined + (portRef Q (instanceRef psum1_saved_61)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_63)) + (portRef I0 (instanceRef un1_psum0_signed_axb_61)) + )) + (net (rename psum1_savedZ0Z_62 "psum1_saved(62)") (joined + (portRef Q (instanceRef psum1_saved_62)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_63)) + (portRef I0 (instanceRef un1_psum0_signed_axb_62)) + )) + (net (rename psum1_savedZ0Z_63 "psum1_saved(63)") (joined + (portRef Q (instanceRef psum1_saved_63)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_63)) + (portRef I0 (instanceRef un1_psum0_signed_axb_63)) + )) + (net (rename psum1_savedZ0Z_64 "psum1_saved(64)") (joined + (portRef Q (instanceRef psum1_saved_64)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_67)) + (portRef I0 (instanceRef un1_psum0_signed_axb_64)) + )) + (net (rename psum1_savedZ0Z_65 "psum1_saved(65)") (joined + (portRef Q (instanceRef psum1_saved_65)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_67)) + (portRef I0 (instanceRef un1_psum0_signed_axb_65)) + )) + (net (rename psum1_savedZ0Z_66 "psum1_saved(66)") (joined + (portRef Q (instanceRef psum1_saved_66)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_67)) + (portRef I0 (instanceRef un1_psum0_signed_axb_66)) + )) + (net (rename psum1_savedZ0Z_67 "psum1_saved(67)") (joined + (portRef Q (instanceRef psum1_saved_67)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_67)) + (portRef I0 (instanceRef un1_psum0_signed_axb_67)) + )) + (net (rename psum1_savedZ0Z_68 "psum1_saved(68)") (joined + (portRef Q (instanceRef psum1_saved_68)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_s_70)) + (portRef I0 (instanceRef un1_psum0_signed_axb_68)) + )) + (net saturation_0 (joined + (portRef Q (instanceRef saturation_final)) + (portRef saturation_0) + )) + (net N_68_i (joined + (portRef N_68_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef saturation_final)) + )) + (net (rename dout_0_0 "dout_0(0)") (joined + (portRef Q (instanceRef data_out_final_0)) + (portRef (member dout_0 35)) + )) + (net (rename data_out_final_3_0 "data_out_final_3(0)") (joined + (portRef (member data_out_final_3 22) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_0)) + )) + (net (rename dout_0_1 "dout_0(1)") (joined + (portRef Q (instanceRef data_out_final_1)) + (portRef (member dout_0 34)) + )) + (net N_46_i (joined + (portRef N_46_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_1)) + )) + (net (rename dout_0_2 "dout_0(2)") (joined + (portRef Q (instanceRef data_out_final_2)) + (portRef (member dout_0 33)) + )) + (net (rename data_out_final_3_2 "data_out_final_3(2)") (joined + (portRef (member data_out_final_3 20) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_2)) + )) + (net (rename dout_0_3 "dout_0(3)") (joined + (portRef Q (instanceRef data_out_final_3)) + (portRef (member dout_0 32)) + )) + (net (rename data_out_final_3_3 "data_out_final_3(3)") (joined + (portRef (member data_out_final_3 19) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_3)) + )) + (net (rename dout_0_4 "dout_0(4)") (joined + (portRef Q (instanceRef data_out_final_4)) + (portRef (member dout_0 31)) + )) + (net (rename data_out_final_3_4 "data_out_final_3(4)") (joined + (portRef (member data_out_final_3 18) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_4)) + )) + (net (rename dout_0_5 "dout_0(5)") (joined + (portRef Q (instanceRef data_out_final_5)) + (portRef (member dout_0 30)) + )) + (net N_42_i (joined + (portRef N_42_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_5)) + )) + (net (rename dout_0_6 "dout_0(6)") (joined + (portRef Q (instanceRef data_out_final_6)) + (portRef (member dout_0 29)) + )) + (net N_38_i (joined + (portRef N_38_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_6)) + )) + (net (rename dout_0_7 "dout_0(7)") (joined + (portRef Q (instanceRef data_out_final_7)) + (portRef (member dout_0 28)) + )) + (net (rename data_out_final_3_7 "data_out_final_3(7)") (joined + (portRef (member data_out_final_3 15) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_7)) + )) + (net (rename dout_0_8 "dout_0(8)") (joined + (portRef Q (instanceRef data_out_final_8)) + (portRef (member dout_0 27)) + )) + (net (rename data_out_final_3_8 "data_out_final_3(8)") (joined + (portRef (member data_out_final_3 14) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_8)) + )) + (net (rename dout_0_9 "dout_0(9)") (joined + (portRef Q (instanceRef data_out_final_9)) + (portRef (member dout_0 26)) + )) + (net (rename data_out_final_3_9 "data_out_final_3(9)") (joined + (portRef (member data_out_final_3 13) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_9)) + )) + (net (rename dout_0_10 "dout_0(10)") (joined + (portRef Q (instanceRef data_out_final_10)) + (portRef (member dout_0 25)) + )) + (net (rename data_out_final_3_10 "data_out_final_3(10)") (joined + (portRef (member data_out_final_3 12) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_10)) + )) + (net (rename dout_0_11 "dout_0(11)") (joined + (portRef Q (instanceRef data_out_final_11)) + (portRef (member dout_0 24)) + )) + (net N_45_i (joined + (portRef N_45_i (instanceRef gO_4_11_MUX12)) + (portRef D (instanceRef data_out_final_11)) + )) + (net (rename dout_0_12 "dout_0(12)") (joined + (portRef Q (instanceRef data_out_final_12)) + (portRef (member dout_0 23)) + )) + (net (rename data_out_final_3_12 "data_out_final_3(12)") (joined + (portRef (member data_out_final_3 10) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_12)) + )) + (net (rename dout_0_13 "dout_0(13)") (joined + (portRef Q (instanceRef data_out_final_13)) + (portRef (member dout_0 22)) + )) + (net (rename data_out_final_3_13 "data_out_final_3(13)") (joined + (portRef (member data_out_final_3 9) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_13)) + )) + (net (rename dout_0_14 "dout_0(14)") (joined + (portRef Q (instanceRef data_out_final_14)) + (portRef (member dout_0 21)) + )) + (net (rename data_out_final_3_14 "data_out_final_3(14)") (joined + (portRef (member data_out_final_3 8) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_14)) + )) + (net (rename dout_0_15 "dout_0(15)") (joined + (portRef Q (instanceRef data_out_final_15)) + (portRef (member dout_0 20)) + )) + (net (rename data_out_final_3_15 "data_out_final_3(15)") (joined + (portRef (member data_out_final_3 7) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_15)) + )) + (net (rename dout_0_16 "dout_0(16)") (joined + (portRef Q (instanceRef data_out_final_16)) + (portRef (member dout_0 19)) + )) + (net N_34_i (joined + (portRef N_34_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_16)) + )) + (net (rename dout_0_17 "dout_0(17)") (joined + (portRef Q (instanceRef data_out_final_17)) + (portRef (member dout_0 18)) + )) + (net N_30_i (joined + (portRef N_30_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_17)) + )) + (net (rename dout_0_18 "dout_0(18)") (joined + (portRef Q (instanceRef data_out_final_18)) + (portRef (member dout_0 17)) + )) + (net N_26_i (joined + (portRef N_26_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_18)) + )) + (net (rename dout_0_19 "dout_0(19)") (joined + (portRef Q (instanceRef data_out_final_19)) + (portRef (member dout_0 16)) + )) + (net (rename data_out_final_3_19 "data_out_final_3(19)") (joined + (portRef (member data_out_final_3 3) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_19)) + )) + (net (rename dout_0_20 "dout_0(20)") (joined + (portRef Q (instanceRef data_out_final_20)) + (portRef (member dout_0 15)) + )) + (net N_22_i (joined + (portRef N_22_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_20)) + )) + (net (rename dout_0_21 "dout_0(21)") (joined + (portRef Q (instanceRef data_out_final_21)) + (portRef (member dout_0 14)) + )) + (net N_18_i (joined + (portRef N_18_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_21)) + )) + (net (rename dout_0_22 "dout_0(22)") (joined + (portRef Q (instanceRef data_out_final_22)) + (portRef (member dout_0 13)) + )) + (net (rename data_out_final_3_22 "data_out_final_3(22)") (joined + (portRef (member data_out_final_3 0) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_22)) + )) + (net (rename dout_0_23 "dout_0(23)") (joined + (portRef Q (instanceRef data_out_final_23)) + (portRef (member dout_0 12)) + )) + (net N_49_i (joined + (portRef N_49_i (instanceRef gO_4_11_MUX12)) + (portRef D (instanceRef data_out_final_23)) + )) + (net (rename dout_0_24 "dout_0(24)") (joined + (portRef Q (instanceRef data_out_final_24)) + (portRef (member dout_0 11)) + )) + (net N_53_i (joined + (portRef N_53_i (instanceRef gO_4_11_MUX12)) + (portRef D (instanceRef data_out_final_24)) + )) + (net (rename dout_0_25 "dout_0(25)") (joined + (portRef Q (instanceRef data_out_final_25)) + (portRef (member dout_0 10)) + )) + (net N_57_i (joined + (portRef N_57_i (instanceRef gO_4_11_MUX12)) + (portRef D (instanceRef data_out_final_25)) + )) + (net (rename dout_0_26 "dout_0(26)") (joined + (portRef Q (instanceRef data_out_final_26)) + (portRef (member dout_0 9)) + )) + (net N_61_i (joined + (portRef N_61_i (instanceRef gO_4_11_MUX12)) + (portRef D (instanceRef data_out_final_26)) + )) + (net (rename dout_0_27 "dout_0(27)") (joined + (portRef Q (instanceRef data_out_final_27)) + (portRef (member dout_0 8)) + )) + (net N_65_i (joined + (portRef N_65_i (instanceRef gO_4_11_MUX12)) + (portRef D (instanceRef data_out_final_27)) + )) + (net (rename dout_0_28 "dout_0(28)") (joined + (portRef Q (instanceRef data_out_final_28)) + (portRef (member dout_0 7)) + )) + (net data_out_final_3_6_4 (joined + (portRef data_out_final_3_6_4 (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_28)) + )) + (net (rename dout_0_29 "dout_0(29)") (joined + (portRef Q (instanceRef data_out_final_29)) + (portRef (member dout_0 6)) + )) + (net N_14_i (joined + (portRef N_14_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_29)) + )) + (net (rename dout_0_30 "dout_0(30)") (joined + (portRef Q (instanceRef data_out_final_30)) + (portRef (member dout_0 5)) + )) + (net N_75_i (joined + (portRef N_75_i (instanceRef gO_4_11_MUX12)) + (portRef D (instanceRef data_out_final_30)) + )) + (net (rename dout_0_31 "dout_0(31)") (joined + (portRef Q (instanceRef data_out_final_31)) + (portRef (member dout_0 4)) + )) + (net N_10_i (joined + (portRef N_10_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_31)) + )) + (net (rename dout_0_32 "dout_0(32)") (joined + (portRef Q (instanceRef data_out_final_32)) + (portRef (member dout_0 3)) + )) + (net N_77_i (joined + (portRef N_77_i (instanceRef gO_4_11_MUX12)) + (portRef D (instanceRef data_out_final_32)) + )) + (net (rename dout_0_33 "dout_0(33)") (joined + (portRef Q (instanceRef data_out_final_33)) + (portRef (member dout_0 2)) + )) + (net N_153_i (joined + (portRef N_153_i (instanceRef gO_4_11_MUX12)) + (portRef D (instanceRef data_out_final_33)) + )) + (net (rename dout_0_34 "dout_0(34)") (joined + (portRef Q (instanceRef data_out_final_34)) + (portRef (member dout_0 1)) + )) + (net N_6_i (joined + (portRef N_6_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_34)) + )) + (net (rename dout_0_35 "dout_0(35)") (joined + (portRef Q (instanceRef data_out_final_35)) + (portRef (member dout_0 0)) + )) + (net data_out_final_3_39 (joined + (portRef data_out_final_3_39 (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_35)) + )) + (net psum1_signed_cry_65 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_67)) + (portRef I1 (instanceRef psum1_signed_s_66)) + )) + (net (rename psum1_signed_cryZ0Z_63 "psum1_signed_cry_63") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_63)) + (portRef CI (instanceRef psum1_signed_cry_67)) + (portRef I1 (instanceRef psum1_signed_s_64)) + )) + (net psum1_signed_cry_62 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_63)) + (portRef I1 (instanceRef psum1_signed_s_63)) + )) + (net psum1_signed_cry_61 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_63)) + (portRef I1 (instanceRef psum1_signed_s_62)) + )) + (net psum1_signed_cry_60 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_63)) + (portRef I1 (instanceRef psum1_signed_s_61)) + )) + (net (rename psum1_signed_cryZ0Z_59 "psum1_signed_cry_59") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_59)) + (portRef CI (instanceRef psum1_signed_cry_63)) + (portRef I1 (instanceRef psum1_signed_s_60)) + )) + (net psum1_signed_cry_58 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_59)) + (portRef I1 (instanceRef psum1_signed_s_59)) + )) + (net psum1_signed_cry_57 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_59)) + (portRef I1 (instanceRef psum1_signed_s_58)) + )) + (net psum1_signed_cry_56 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_59)) + (portRef I1 (instanceRef psum1_signed_s_57)) + )) + (net (rename psum1_signed_cryZ0Z_55 "psum1_signed_cry_55") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_55)) + (portRef CI (instanceRef psum1_signed_cry_59)) + (portRef I1 (instanceRef psum1_signed_s_56)) + )) + (net psum1_signed_cry_54 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_55)) + (portRef I1 (instanceRef psum1_signed_s_55)) + )) + (net psum1_signed_cry_53 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_55)) + (portRef I1 (instanceRef psum1_signed_s_54)) + )) + (net psum1_signed_cry_52 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_55)) + (portRef I1 (instanceRef psum1_signed_s_53)) + )) + (net (rename psum1_signed_cryZ0Z_51 "psum1_signed_cry_51") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_51)) + (portRef CI (instanceRef psum1_signed_cry_55)) + (portRef I1 (instanceRef psum1_signed_s_52)) + )) + (net psum1_signed_cry_50 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_51)) + (portRef I1 (instanceRef psum1_signed_s_51)) + )) + (net psum1_signed_cry_49 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_51)) + (portRef I1 (instanceRef psum1_signed_s_50)) + )) + (net psum1_signed_cry_48 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_51)) + (portRef I1 (instanceRef psum1_signed_s_49)) + )) + (net (rename psum1_signed_cryZ0Z_47 "psum1_signed_cry_47") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_47)) + (portRef CI (instanceRef psum1_signed_cry_51)) + (portRef I1 (instanceRef psum1_signed_s_48)) + )) + (net psum1_signed_cry_46 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_47)) + (portRef I1 (instanceRef psum1_signed_s_47)) + )) + (net psum1_signed_cry_45 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_47)) + (portRef I1 (instanceRef psum1_signed_s_46)) + )) + (net psum1_signed_cry_44 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_47)) + (portRef I1 (instanceRef psum1_signed_s_45)) + )) + (net (rename psum1_signed_cryZ0Z_43 "psum1_signed_cry_43") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_43)) + (portRef CI (instanceRef psum1_signed_cry_47)) + (portRef I1 (instanceRef psum1_signed_s_44)) + )) + (net (rename un1_psum0_signed_axbZ0Z_69 "un1_psum0_signed_axb_69") (joined + (portRef O (instanceRef un1_psum0_signed_axb_69)) + (portRef (member S 2) (instanceRef un1_psum0_signed_s_70)) + )) + (net (rename un1_psum0_signed_axbZ0Z_68 "un1_psum0_signed_axb_68") (joined + (portRef O (instanceRef un1_psum0_signed_axb_68)) + (portRef (member S 3) (instanceRef un1_psum0_signed_s_70)) + )) + (net (rename un1_psum0_signed_axbZ0Z_67 "un1_psum0_signed_axb_67") (joined + (portRef O (instanceRef un1_psum0_signed_axb_67)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_67)) + )) + (net (rename un1_psum0_signed_axbZ0Z_66 "un1_psum0_signed_axb_66") (joined + (portRef O (instanceRef un1_psum0_signed_axb_66)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_67)) + )) + (net (rename un1_psum0_signed_axbZ0Z_65 "un1_psum0_signed_axb_65") (joined + (portRef O (instanceRef un1_psum0_signed_axb_65)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_67)) + )) + (net (rename un1_psum0_signed_axbZ0Z_64 "un1_psum0_signed_axb_64") (joined + (portRef O (instanceRef un1_psum0_signed_axb_64)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_67)) + )) + (net (rename un1_psum0_signed_axbZ0Z_63 "un1_psum0_signed_axb_63") (joined + (portRef O (instanceRef un1_psum0_signed_axb_63)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_63)) + )) + (net (rename un1_psum0_signed_axbZ0Z_62 "un1_psum0_signed_axb_62") (joined + (portRef O (instanceRef un1_psum0_signed_axb_62)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_63)) + )) + (net (rename un1_psum0_signed_axbZ0Z_61 "un1_psum0_signed_axb_61") (joined + (portRef O (instanceRef un1_psum0_signed_axb_61)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_63)) + )) + (net (rename un1_psum0_signed_axbZ0Z_60 "un1_psum0_signed_axb_60") (joined + (portRef O (instanceRef un1_psum0_signed_axb_60)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_63)) + )) + (net (rename un1_psum0_signed_axbZ0Z_59 "un1_psum0_signed_axb_59") (joined + (portRef O (instanceRef un1_psum0_signed_axb_59)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_59)) + )) + (net (rename un1_psum0_signed_axbZ0Z_58 "un1_psum0_signed_axb_58") (joined + (portRef O (instanceRef un1_psum0_signed_axb_58)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_59)) + )) + (net (rename un1_psum0_signed_axbZ0Z_57 "un1_psum0_signed_axb_57") (joined + (portRef O (instanceRef un1_psum0_signed_axb_57)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_59)) + )) + (net (rename un1_psum0_signed_axbZ0Z_56 "un1_psum0_signed_axb_56") (joined + (portRef O (instanceRef un1_psum0_signed_axb_56)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_59)) + )) + (net (rename un1_psum0_signed_axbZ0Z_55 "un1_psum0_signed_axb_55") (joined + (portRef O (instanceRef un1_psum0_signed_axb_55)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_55)) + )) + (net (rename un1_psum0_signed_axbZ0Z_54 "un1_psum0_signed_axb_54") (joined + (portRef O (instanceRef un1_psum0_signed_axb_54)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_55)) + )) + (net (rename un1_psum0_signed_axbZ0Z_53 "un1_psum0_signed_axb_53") (joined + (portRef O (instanceRef un1_psum0_signed_axb_53)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_55)) + )) + (net (rename un1_psum0_signed_axbZ0Z_52 "un1_psum0_signed_axb_52") (joined + (portRef O (instanceRef un1_psum0_signed_axb_52)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_55)) + )) + (net (rename un1_psum0_signed_axbZ0Z_51 "un1_psum0_signed_axb_51") (joined + (portRef O (instanceRef un1_psum0_signed_axb_51)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_51)) + )) + (net (rename un1_psum0_signed_axbZ0Z_50 "un1_psum0_signed_axb_50") (joined + (portRef O (instanceRef un1_psum0_signed_axb_50)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_51)) + )) + (net (rename un1_psum0_signed_axbZ0Z_49 "un1_psum0_signed_axb_49") (joined + (portRef O (instanceRef un1_psum0_signed_axb_49)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_51)) + )) + (net (rename un1_psum0_signed_axbZ0Z_48 "un1_psum0_signed_axb_48") (joined + (portRef O (instanceRef un1_psum0_signed_axb_48)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_51)) + )) + (net (rename un1_psum0_signed_axbZ0Z_47 "un1_psum0_signed_axb_47") (joined + (portRef O (instanceRef un1_psum0_signed_axb_47)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_47)) + )) + (net (rename un1_psum0_signed_axbZ0Z_46 "un1_psum0_signed_axb_46") (joined + (portRef O (instanceRef un1_psum0_signed_axb_46)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_47)) + )) + (net (rename un1_psum0_signed_axbZ0Z_45 "un1_psum0_signed_axb_45") (joined + (portRef O (instanceRef un1_psum0_signed_axb_45)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_47)) + )) + (net (rename un1_psum0_signed_axbZ0Z_44 "un1_psum0_signed_axb_44") (joined + (portRef O (instanceRef un1_psum0_signed_axb_44)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_47)) + )) + (net (rename un1_psum0_signed_axbZ0Z_43 "un1_psum0_signed_axb_43") (joined + (portRef O (instanceRef un1_psum0_signed_axb_43)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_43)) + )) + (net (rename un1_psum0_signed_axbZ0Z_42 "un1_psum0_signed_axb_42") (joined + (portRef O (instanceRef un1_psum0_signed_axb_42)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_43)) + )) + (net (rename un1_psum0_signed_axbZ0Z_41 "un1_psum0_signed_axb_41") (joined + (portRef O (instanceRef un1_psum0_signed_axb_41)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_43)) + )) + (net (rename un1_psum0_signed_axbZ0Z_40 "un1_psum0_signed_axb_40") (joined + (portRef O (instanceRef un1_psum0_signed_axb_40)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_43)) + )) + (net (rename un1_psum0_signed_axbZ0Z_39 "un1_psum0_signed_axb_39") (joined + (portRef O (instanceRef un1_psum0_signed_axb_39)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_39)) + )) + (net (rename un1_psum0_signed_axbZ0Z_38 "un1_psum0_signed_axb_38") (joined + (portRef O (instanceRef un1_psum0_signed_axb_38)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_39)) + )) + (net (rename un1_psum0_signed_axbZ0Z_37 "un1_psum0_signed_axb_37") (joined + (portRef O (instanceRef un1_psum0_signed_axb_37)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_39)) + )) + (net (rename un1_psum0_signed_axbZ0Z_36 "un1_psum0_signed_axb_36") (joined + (portRef O (instanceRef un1_psum0_signed_axb_36)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_39)) + )) + (net (rename un1_psum0_signed_axbZ0Z_35 "un1_psum0_signed_axb_35") (joined + (portRef O (instanceRef un1_psum0_signed_axb_35)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_35)) + )) + (net (rename un1_psum0_signed_axbZ0Z_34 "un1_psum0_signed_axb_34") (joined + (portRef O (instanceRef un1_psum0_signed_axb_34)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_35)) + )) + (net (rename un1_psum0_signed_axbZ0Z_33 "un1_psum0_signed_axb_33") (joined + (portRef O (instanceRef un1_psum0_signed_axb_33)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_35)) + )) + (net (rename un1_psum0_signed_axbZ0Z_32 "un1_psum0_signed_axb_32") (joined + (portRef O (instanceRef un1_psum0_signed_axb_32)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_35)) + )) + (net (rename un1_psum0_signed_axbZ0Z_31 "un1_psum0_signed_axb_31") (joined + (portRef O (instanceRef un1_psum0_signed_axb_31)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_31)) + )) + (net (rename un1_psum0_signed_axbZ0Z_30 "un1_psum0_signed_axb_30") (joined + (portRef O (instanceRef un1_psum0_signed_axb_30)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_31)) + )) + (net (rename un1_psum0_signed_axbZ0Z_29 "un1_psum0_signed_axb_29") (joined + (portRef O (instanceRef un1_psum0_signed_axb_29)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_31)) + )) + (net (rename un1_psum0_signed_axbZ0Z_28 "un1_psum0_signed_axb_28") (joined + (portRef O (instanceRef un1_psum0_signed_axb_28)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_31)) + )) + (net (rename un1_psum0_signed_axbZ0Z_27 "un1_psum0_signed_axb_27") (joined + (portRef O (instanceRef un1_psum0_signed_axb_27)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_27)) + )) + (net (rename un1_psum0_signed_axbZ0Z_26 "un1_psum0_signed_axb_26") (joined + (portRef O (instanceRef un1_psum0_signed_axb_26)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_27)) + )) + (net (rename un1_psum0_signed_axbZ0Z_25 "un1_psum0_signed_axb_25") (joined + (portRef O (instanceRef un1_psum0_signed_axb_25)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_27)) + )) + (net (rename un1_psum0_signed_axbZ0Z_24 "un1_psum0_signed_axb_24") (joined + (portRef O (instanceRef un1_psum0_signed_axb_24)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_27)) + )) + (net (rename un1_psum0_signed_axbZ0Z_23 "un1_psum0_signed_axb_23") (joined + (portRef O (instanceRef un1_psum0_signed_axb_23)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_23)) + )) + (net (rename un1_psum0_signed_axbZ0Z_22 "un1_psum0_signed_axb_22") (joined + (portRef O (instanceRef un1_psum0_signed_axb_22)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_23)) + )) + (net (rename un1_psum0_signed_axbZ0Z_21 "un1_psum0_signed_axb_21") (joined + (portRef O (instanceRef un1_psum0_signed_axb_21)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_23)) + )) + (net (rename un1_psum0_signed_20 "un1_psum0_signed(20)") (joined + (portRef O (instanceRef un1_psum0_signed_axb_20)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_23)) + )) + (net psum1_signed_cry_68_sf (joined + (portRef O (instanceRef psum1_signed_s_69_RNO)) + (portRef (member S 3) (instanceRef psum1_signed_s_69)) + )) + (net psum1_signed_cry_67_sf (joined + (portRef O (instanceRef psum1_signed_cry_67_RNO)) + (portRef (member S 0) (instanceRef psum1_signed_cry_67)) + )) + (net (rename A1_product_signed_0_66 "A1_product_signed_0(66)") (joined + (portRef O (instanceRef psum1_signed_cry_66_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_67)) + )) + (net (rename A1_product_signed_0_65 "A1_product_signed_0(65)") (joined + (portRef O (instanceRef psum1_signed_cry_65_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_67)) + )) + (net (rename A1_product_signed_0_64 "A1_product_signed_0(64)") (joined + (portRef O (instanceRef psum1_signed_cry_64_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_67)) + )) + (net (rename A1_product_signed_0_63 "A1_product_signed_0(63)") (joined + (portRef O (instanceRef psum1_signed_cry_63_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_63)) + )) + (net (rename A1_product_signed_0_62 "A1_product_signed_0(62)") (joined + (portRef O (instanceRef psum1_signed_cry_62_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_63)) + )) + (net (rename A1_product_signed_0_61 "A1_product_signed_0(61)") (joined + (portRef O (instanceRef psum1_signed_cry_61_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_63)) + )) + (net (rename A1_product_signed_0_60 "A1_product_signed_0(60)") (joined + (portRef O (instanceRef psum1_signed_cry_60_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_63)) + )) + (net (rename A1_product_signed_0_59 "A1_product_signed_0(59)") (joined + (portRef O (instanceRef psum1_signed_cry_59_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_59)) + )) + (net (rename A1_product_signed_0_58 "A1_product_signed_0(58)") (joined + (portRef O (instanceRef psum1_signed_cry_58_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_59)) + )) + (net (rename A1_product_signed_0_57 "A1_product_signed_0(57)") (joined + (portRef O (instanceRef psum1_signed_cry_57_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_59)) + )) + (net (rename A1_product_signed_0_56 "A1_product_signed_0(56)") (joined + (portRef O (instanceRef psum1_signed_cry_56_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_59)) + )) + (net (rename A1_product_signed_0_55 "A1_product_signed_0(55)") (joined + (portRef O (instanceRef psum1_signed_cry_55_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_55)) + )) + (net (rename A1_product_signed_0_54 "A1_product_signed_0(54)") (joined + (portRef O (instanceRef psum1_signed_cry_54_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_55)) + )) + (net (rename A1_product_signed_0_53 "A1_product_signed_0(53)") (joined + (portRef O (instanceRef psum1_signed_cry_53_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_55)) + )) + (net (rename A1_product_signed_0_52 "A1_product_signed_0(52)") (joined + (portRef O (instanceRef psum1_signed_cry_52_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_55)) + )) + (net (rename A1_product_signed_0_51 "A1_product_signed_0(51)") (joined + (portRef O (instanceRef psum1_signed_cry_51_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_51)) + )) + (net (rename A1_product_signed_0_50 "A1_product_signed_0(50)") (joined + (portRef O (instanceRef psum1_signed_cry_50_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_51)) + )) + (net (rename A1_product_signed_0_49 "A1_product_signed_0(49)") (joined + (portRef O (instanceRef psum1_signed_cry_49_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_51)) + )) + (net (rename A1_product_signed_0_48 "A1_product_signed_0(48)") (joined + (portRef O (instanceRef psum1_signed_cry_48_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_51)) + )) + (net (rename A1_product_signed_0_47 "A1_product_signed_0(47)") (joined + (portRef O (instanceRef psum1_signed_cry_47_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_47)) + )) + (net (rename A1_product_signed_0_46 "A1_product_signed_0(46)") (joined + (portRef O (instanceRef psum1_signed_cry_46_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_47)) + )) + (net (rename A1_product_signed_0_45 "A1_product_signed_0(45)") (joined + (portRef O (instanceRef psum1_signed_cry_45_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_47)) + )) + (net (rename A1_product_signed_1_44 "A1_product_signed_1(44)") (joined + (portRef O (instanceRef psum1_signed_cry_44_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_47)) + )) + (net (rename A1_product_signed_1_43 "A1_product_signed_1(43)") (joined + (portRef O (instanceRef psum1_signed_cry_43_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_43)) + )) + (net (rename A1_product_signed_2_42 "A1_product_signed_2(42)") (joined + (portRef O (instanceRef psum1_signed_cry_42_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_43)) + )) + (net (rename A1_product_signed_2_41 "A1_product_signed_2(41)") (joined + (portRef O (instanceRef psum1_signed_cry_41_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_43)) + )) + (net (rename A1_product_signed_2_40 "A1_product_signed_2(40)") (joined + (portRef O (instanceRef psum1_signed_cry_40_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_43)) + )) + (net (rename A1_product_signed_2_39 "A1_product_signed_2(39)") (joined + (portRef O (instanceRef psum1_signed_cry_39_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_39)) + )) + (net (rename A1_product_signed_2_38 "A1_product_signed_2(38)") (joined + (portRef O (instanceRef psum1_signed_cry_38_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_39)) + )) + (net (rename A1_product_signed_2_37 "A1_product_signed_2(37)") (joined + (portRef O (instanceRef psum1_signed_cry_37_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_39)) + )) + (net (rename A1_product_signed_2_36 "A1_product_signed_2(36)") (joined + (portRef O (instanceRef psum1_signed_cry_36_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_39)) + )) + (net (rename A1_product_signed_2_35 "A1_product_signed_2(35)") (joined + (portRef O (instanceRef psum1_signed_cry_35_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_35)) + )) + (net (rename A1_product_signed_2_34 "A1_product_signed_2(34)") (joined + (portRef O (instanceRef psum1_signed_cry_34_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_35)) + )) + (net (rename A1_product_signed_2_33 "A1_product_signed_2(33)") (joined + (portRef O (instanceRef psum1_signed_cry_33_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_35)) + )) + (net (rename A1_product_signed_2_32 "A1_product_signed_2(32)") (joined + (portRef O (instanceRef psum1_signed_cry_32_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_35)) + )) + (net (rename A1_product_signed_2_31 "A1_product_signed_2(31)") (joined + (portRef O (instanceRef psum1_signed_cry_31_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_31)) + )) + (net (rename A1_product_signed_2_30 "A1_product_signed_2(30)") (joined + (portRef O (instanceRef psum1_signed_cry_30_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_31)) + )) + (net (rename A1_product_signed_2_29 "A1_product_signed_2(29)") (joined + (portRef O (instanceRef psum1_signed_cry_29_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_31)) + )) + (net (rename A1_product_signed_2_28 "A1_product_signed_2(28)") (joined + (portRef O (instanceRef psum1_signed_cry_28_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_31)) + )) + (net (rename A1_product_signed_2_27 "A1_product_signed_2(27)") (joined + (portRef O (instanceRef psum1_signed_cry_27_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_27)) + )) + (net (rename A1_product_signed_2_26 "A1_product_signed_2(26)") (joined + (portRef O (instanceRef psum1_signed_cry_26_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_27)) + )) + (net (rename A1_product_signed_1_25 "A1_product_signed_1(25)") (joined + (portRef O (instanceRef psum1_signed_cry_25_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_27)) + )) + (net (rename A1_product_signed_1_24 "A1_product_signed_1(24)") (joined + (portRef O (instanceRef psum1_signed_cry_24_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_27)) + )) + (net (rename A1_product_signed_1_23 "A1_product_signed_1(23)") (joined + (portRef O (instanceRef psum1_signed_cry_23_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_23)) + )) + (net (rename A1_product_signed_1_22 "A1_product_signed_1(22)") (joined + (portRef O (instanceRef psum1_signed_cry_22_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_23)) + )) + (net (rename A1_product_signed_1_21 "A1_product_signed_1(21)") (joined + (portRef O (instanceRef psum1_signed_cry_21_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_23)) + )) + (net (rename A1_product_signed_1_20 "A1_product_signed_1(20)") (joined + (portRef O (instanceRef psum1_signed_cry_20_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_23)) + )) + (net (rename A1_product_signed_1_19 "A1_product_signed_1(19)") (joined + (portRef O (instanceRef psum1_signed_cry_19_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_19)) + )) + (net (rename A1_product_signed_1_18 "A1_product_signed_1(18)") (joined + (portRef O (instanceRef psum1_signed_cry_18_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_19)) + )) + (net (rename A1_product_signed_1_17 "A1_product_signed_1(17)") (joined + (portRef O (instanceRef psum1_signed_cry_17_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_19)) + )) + (net (rename A1_product_signed_1_16 "A1_product_signed_1(16)") (joined + (portRef O (instanceRef psum1_signed_cry_16_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_19)) + )) + (net (rename A1_product_signed_1_15 "A1_product_signed_1(15)") (joined + (portRef O (instanceRef psum1_signed_cry_15_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_15)) + )) + (net (rename A1_product_signed_1_14 "A1_product_signed_1(14)") (joined + (portRef O (instanceRef psum1_signed_cry_14_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_15)) + )) + (net (rename A1_product_signed_1_13 "A1_product_signed_1(13)") (joined + (portRef O (instanceRef psum1_signed_cry_13_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_15)) + )) + (net (rename A1_product_signed_1_12 "A1_product_signed_1(12)") (joined + (portRef O (instanceRef psum1_signed_cry_12_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_15)) + )) + (net (rename A1_product_signed_1_11 "A1_product_signed_1(11)") (joined + (portRef O (instanceRef psum1_signed_cry_11_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_11)) + )) + (net (rename A1_product_signed_1_10 "A1_product_signed_1(10)") (joined + (portRef O (instanceRef psum1_signed_cry_10_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_11)) + )) + (net (rename A1_product_signed_1_9 "A1_product_signed_1(9)") (joined + (portRef O (instanceRef psum1_signed_cry_9_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_11)) + )) + (net (rename A1_product_signed_1_8 "A1_product_signed_1(8)") (joined + (portRef O (instanceRef psum1_signed_cry_8_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_11)) + )) + (net (rename A1_product_signed_1_7 "A1_product_signed_1(7)") (joined + (portRef O (instanceRef psum1_signed_cry_7_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_7)) + )) + (net (rename A1_product_signed_1_6 "A1_product_signed_1(6)") (joined + (portRef O (instanceRef psum1_signed_cry_6_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_7)) + )) + (net (rename A1_product_signed_1_5 "A1_product_signed_1(5)") (joined + (portRef O (instanceRef psum1_signed_cry_5_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_7)) + )) + (net (rename A1_product_signed_1_4 "A1_product_signed_1(4)") (joined + (portRef O (instanceRef psum1_signed_cry_4_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_7)) + )) + (net (rename A1_product_signed_1_3 "A1_product_signed_1(3)") (joined + (portRef O (instanceRef psum1_signed_cry_3_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_3)) + )) + (net (rename A1_product_signed_1_2 "A1_product_signed_1(2)") (joined + (portRef O (instanceRef psum1_signed_cry_2_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_3)) + )) + (net (rename A1_product_signed_1_1 "A1_product_signed_1(1)") (joined + (portRef O (instanceRef psum1_signed_cry_1_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_3)) + )) + (net (rename A1_product_signed_1_0 "A1_product_signed_1(0)") (joined + (portRef O (instanceRef psum1_signed_cry_0_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_3)) + )) + (net (rename un1_psum0_signed_cryZ0Z_23 "un1_psum0_signed_cry_23") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_23)) + (portRef CI (instanceRef un1_psum0_signed_cry_27)) + )) + (net (rename un1_psum0_signed_21 "un1_psum0_signed(21)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_23)) + (portRef (member un1_psum0_signed 49) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_22 "un1_psum0_signed(22)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_23)) + (portRef (member un1_psum0_signed 48) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_23 "un1_psum0_signed(23)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_23)) + (portRef (member un1_psum0_signed 47) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_cryZ0Z_27 "un1_psum0_signed_cry_27") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_27)) + (portRef CI (instanceRef un1_psum0_signed_cry_31)) + )) + (net (rename un1_psum0_signed_24 "un1_psum0_signed(24)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_27)) + (portRef (member un1_psum0_signed 46) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_25 "un1_psum0_signed(25)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_27)) + (portRef (member un1_psum0_signed 45) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_26 "un1_psum0_signed(26)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_27)) + (portRef (member un1_psum0_signed 44) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_27 "un1_psum0_signed(27)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_27)) + (portRef (member un1_psum0_signed 43) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_cryZ0Z_31 "un1_psum0_signed_cry_31") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_31)) + (portRef CI (instanceRef un1_psum0_signed_cry_35)) + )) + (net (rename un1_psum0_signed_28 "un1_psum0_signed(28)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_31)) + (portRef (member un1_psum0_signed 42) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_29 "un1_psum0_signed(29)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_31)) + (portRef (member un1_psum0_signed 41) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_31)) + (portRef (member un1_psum0_signed 40) (instanceRef OR25)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_0_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_7_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_8_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_2_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_3_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_4_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_10_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_22_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_12_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_19_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_13_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_14_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_15_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_9_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_28_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_35_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_31 "un1_psum0_signed(31)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_31)) + (portRef (member un1_psum0_signed 39) (instanceRef OR25)) + (portRef (member un1_psum0_signed 39) (instanceRef gO_4_0_MUX12)) + (portRef (member un1_psum0_signed 39) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 35) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 39) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_cryZ0Z_35 "un1_psum0_signed_cry_35") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_35)) + (portRef CI (instanceRef un1_psum0_signed_cry_39)) + )) + (net (rename un1_psum0_signed_32 "un1_psum0_signed(32)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_35)) + (portRef (member un1_psum0_signed 38) (instanceRef OR25)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_1_MUX12)) + (portRef (member un1_psum0_signed 38) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 34) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 38) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_33 "un1_psum0_signed(33)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_35)) + (portRef (member un1_psum0_signed 37) (instanceRef OR25)) + (portRef (member un1_psum0_signed 37) (instanceRef gO_4_2_MUX12)) + (portRef (member un1_psum0_signed 37) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 33) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_34 "un1_psum0_signed(34)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_35)) + (portRef (member un1_psum0_signed 36) (instanceRef OR25)) + (portRef (member un1_psum0_signed 36) (instanceRef gO_4_3_MUX12)) + (portRef (member un1_psum0_signed 36) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 32) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_35 "un1_psum0_signed(35)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_35)) + (portRef (member un1_psum0_signed 35) (instanceRef OR25)) + (portRef (member un1_psum0_signed 35) (instanceRef gO_4_4_MUX12)) + (portRef (member un1_psum0_signed 35) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 31) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_cryZ0Z_39 "un1_psum0_signed_cry_39") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_39)) + (portRef CI (instanceRef un1_psum0_signed_cry_43)) + )) + (net (rename un1_psum0_signed_36 "un1_psum0_signed(36)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_39)) + (portRef (member un1_psum0_signed 34) (instanceRef OR25)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_5_MUX12)) + (portRef (member un1_psum0_signed 34) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 30) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 34) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_37 "un1_psum0_signed(37)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_39)) + (portRef (member un1_psum0_signed 33) (instanceRef OR25)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_6_MUX12)) + (portRef (member un1_psum0_signed 33) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 29) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 33) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_38 "un1_psum0_signed(38)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_39)) + (portRef (member un1_psum0_signed 32) (instanceRef OR25)) + (portRef (member un1_psum0_signed 32) (instanceRef gO_4_7_MUX12)) + (portRef (member un1_psum0_signed 32) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 28) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_39 "un1_psum0_signed(39)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_39)) + (portRef (member un1_psum0_signed 31) (instanceRef gO_4_8_MUX12)) + (portRef (member un1_psum0_signed 26) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 27) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_cryZ0Z_43 "un1_psum0_signed_cry_43") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_43)) + (portRef CI (instanceRef un1_psum0_signed_cry_47)) + )) + (net (rename un1_psum0_signed_40 "un1_psum0_signed(40)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_43)) + (portRef (member un1_psum0_signed 30) (instanceRef gO_4_9_MUX12)) + (portRef (member un1_psum0_signed 25) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 26) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_41 "un1_psum0_signed(41)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_43)) + (portRef (member un1_psum0_signed 29) (instanceRef gO_4_10_MUX12)) + (portRef (member un1_psum0_signed 24) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 25) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_42 "un1_psum0_signed(42)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_43)) + (portRef (member un1_psum0_signed 22) (instanceRef gO_4_11_MUX12)) + (portRef (member un1_psum0_signed 23) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 24) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_43 "un1_psum0_signed(43)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_43)) + (portRef (member un1_psum0_signed 27) (instanceRef gO_4_12_MUX12)) + (portRef (member un1_psum0_signed 22) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 23) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_cryZ0Z_47 "un1_psum0_signed_cry_47") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_47)) + (portRef CI (instanceRef un1_psum0_signed_cry_51)) + )) + (net (rename un1_psum0_signed_44 "un1_psum0_signed(44)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_47)) + (portRef (member un1_psum0_signed 26) (instanceRef gO_4_13_MUX12)) + (portRef (member un1_psum0_signed 21) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 22) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_45 "un1_psum0_signed(45)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_47)) + (portRef (member un1_psum0_signed 25) (instanceRef gO_4_14_MUX12)) + (portRef (member un1_psum0_signed 20) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 21) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_46 "un1_psum0_signed(46)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_47)) + (portRef (member un1_psum0_signed 24) (instanceRef gO_4_15_MUX12)) + (portRef (member un1_psum0_signed 19) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 20) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_47 "un1_psum0_signed(47)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_47)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_16_MUX12)) + (portRef (member un1_psum0_signed 18) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 19) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 23) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_cryZ0Z_51 "un1_psum0_signed_cry_51") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_51)) + (portRef CI (instanceRef un1_psum0_signed_cry_55)) + )) + (net (rename un1_psum0_signed_48 "un1_psum0_signed(48)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_51)) + (portRef (member un1_psum0_signed 22) (instanceRef OR25)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_17_MUX12)) + (portRef (member un1_psum0_signed 17) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 18) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 22) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_49 "un1_psum0_signed(49)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_51)) + (portRef (member un1_psum0_signed 21) (instanceRef OR25)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_18_MUX12)) + (portRef (member un1_psum0_signed 21) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 17) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 21) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_50 "un1_psum0_signed(50)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_51)) + (portRef (member un1_psum0_signed 20) (instanceRef OR25)) + (portRef (member un1_psum0_signed 20) (instanceRef gO_4_19_MUX12)) + (portRef (member un1_psum0_signed 20) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 16) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_51 "un1_psum0_signed(51)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_51)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_20_MUX12)) + (portRef (member un1_psum0_signed 19) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 14) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 15) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 19) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_cryZ0Z_55 "un1_psum0_signed_cry_55") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_55)) + (portRef CI (instanceRef un1_psum0_signed_cry_59)) + )) + (net (rename un1_psum0_signed_52 "un1_psum0_signed(52)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_55)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_21_MUX12)) + (portRef (member un1_psum0_signed 18) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 13) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 14) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 18) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_53 "un1_psum0_signed(53)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_55)) + (portRef (member un1_psum0_signed 17) (instanceRef gO_4_22_MUX12)) + (portRef (member un1_psum0_signed 17) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 12) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 13) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_54 "un1_psum0_signed(54)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_55)) + (portRef (member un1_psum0_signed 10) (instanceRef gO_4_11_MUX12)) + (portRef (member un1_psum0_signed 16) (instanceRef gO_4_34_MUX12)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_23_MUX12)) + (portRef (member un1_psum0_signed 11) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 12) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_55 "un1_psum0_signed(55)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_55)) + (portRef (member un1_psum0_signed 9) (instanceRef gO_4_11_MUX12)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_24_MUX12)) + (portRef (member un1_psum0_signed 15) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 10) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 11) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_cryZ0Z_59 "un1_psum0_signed_cry_59") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_59)) + (portRef CI (instanceRef un1_psum0_signed_cry_63)) + )) + (net (rename un1_psum0_signed_56 "un1_psum0_signed(56)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_59)) + (portRef (member un1_psum0_signed 8) (instanceRef gO_4_11_MUX12)) + (portRef (member un1_psum0_signed 14) (instanceRef gO_4_34_MUX12)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_25_MUX12)) + (portRef (member un1_psum0_signed 9) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 10) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_57 "un1_psum0_signed(57)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_59)) + (portRef (member un1_psum0_signed 13) (instanceRef OR25)) + (portRef (member un1_psum0_signed 7) (instanceRef gO_4_11_MUX12)) + (portRef (member un1_psum0_signed 13) (instanceRef gO_4_34_MUX12)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_26_MUX12)) + (portRef (member un1_psum0_signed 9) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_59 "un1_psum0_signed(59)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_59)) + (portRef (member un1_psum0_signed 11) (instanceRef OR25)) + (portRef (member un1_psum0_signed 11) (instanceRef gO_4_28_MUX12)) + (portRef (member un1_psum0_signed 11) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 7) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_cryZ0Z_63 "un1_psum0_signed_cry_63") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_63)) + (portRef CI (instanceRef un1_psum0_signed_cry_67)) + )) + (net (rename un1_psum0_signed_63 "un1_psum0_signed(63)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_63)) + (portRef (member un1_psum0_signed 7) (instanceRef OR25)) + (portRef (member un1_psum0_signed 1) (instanceRef gO_4_11_MUX12)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_32_MUX12)) + (portRef (member un1_psum0_signed 2) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 3) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_cryZ0Z_67 "un1_psum0_signed_cry_67") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_67)) + (portRef CI (instanceRef un1_psum0_signed_s_70)) + )) + (net (rename un1_psum0_signed_64 "un1_psum0_signed(64)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_67)) + (portRef (member un1_psum0_signed 6) (instanceRef OR25)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_11_MUX12)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_33_MUX12)) + (portRef (member un1_psum0_signed 1) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 2) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_65 "un1_psum0_signed(65)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_67)) + (portRef (member un1_psum0_signed 5) (instanceRef OR25)) + (portRef (member un1_psum0_signed 5) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 1) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 5) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_66 "un1_psum0_signed(66)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_67)) + (portRef (member un1_psum0_signed 4) (instanceRef OR25)) + (portRef (member un1_psum0_signed 4) (instanceRef AN24)) + (portRef (member un1_psum0_signed 4) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_67 "un1_psum0_signed(67)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_67)) + (portRef (member un1_psum0_signed 3) (instanceRef OR25)) + (portRef (member un1_psum0_signed 3) (instanceRef AN24)) + (portRef (member un1_psum0_signed 3) (instanceRef gO_4_34_MUX12)) + )) + (net (rename un1_psum0_signed_68 "un1_psum0_signed(68)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_s_70)) + (portRef (member un1_psum0_signed 2) (instanceRef OR25)) + (portRef (member un1_psum0_signed 2) (instanceRef AN24)) + (portRef (member un1_psum0_signed 2) (instanceRef gO_4_34_MUX12)) + )) + (net (rename un1_psum0_signed_69 "un1_psum0_signed(69)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_s_70)) + (portRef (member un1_psum0_signed 1) (instanceRef OR25)) + (portRef (member un1_psum0_signed 1) (instanceRef AN24)) + (portRef (member un1_psum0_signed 1) (instanceRef gO_4_34_MUX12)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_s_70)) + (portRef (member un1_psum0_signed 0) (instanceRef OR25)) + (portRef (member un1_psum0_signed 0) (instanceRef AN24)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_0_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_7_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_8_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_2_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_3_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_4_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_10_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_22_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_12_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_19_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_13_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_14_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_15_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_9_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_28_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_35_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_signed_cryZ0Z_67 "psum1_signed_cry_67") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_67)) + (portRef CI (instanceRef psum1_signed_s_69)) + )) + (net (rename iirin_re_13 "IIRin_re(13)") (joined + (portRef (member IIRin_re 2)) + (portRef IIRin_re_0 (instanceRef g1_13_AN1)) + )) + (net (rename iirin_re_14 "IIRin_re(14)") (joined + (portRef (member IIRin_re 1)) + (portRef IIRin_re_0 (instanceRef g1_14_AN1)) + )) + (net (rename iirin_re_15 "IIRin_re(15)") (joined + (portRef (member IIRin_re 0)) + (portRef IIRin_re_0 (instanceRef g1_15_AN1)) + )) + (net (rename iirin_re_10 "IIRin_re(10)") (joined + (portRef (member IIRin_re 5)) + (portRef IIRin_re_0 (instanceRef g1_10_AN1)) + )) + (net (rename iirin_re_4 "IIRin_re(4)") (joined + (portRef (member IIRin_re 11)) + (portRef IIRin_re_0 (instanceRef g1_4_AN1)) + )) + (net (rename iirin_re_2 "IIRin_re(2)") (joined + (portRef (member IIRin_re 13)) + (portRef IIRin_re_0 (instanceRef g1_2_AN1)) + )) + (net (rename iirin_re_9 "IIRin_re(9)") (joined + (portRef (member IIRin_re 6)) + (portRef IIRin_re_0 (instanceRef g1_9_AN1)) + )) + (net (rename iirin_re_3 "IIRin_re(3)") (joined + (portRef (member IIRin_re 12)) + (portRef IIRin_re_0 (instanceRef g1_3_AN1)) + )) + (net (rename iirin_re_6 "IIRin_re(6)") (joined + (portRef (member IIRin_re 9)) + (portRef IIRin_re_0 (instanceRef g1_6_AN1)) + )) + (net (rename iirin_re_0 "IIRin_re(0)") (joined + (portRef (member IIRin_re 15)) + (portRef IIRin_re_0 (instanceRef g1_0_AN1)) + )) + (net (rename iirin_re_7 "IIRin_re(7)") (joined + (portRef (member IIRin_re 8)) + (portRef IIRin_re_0 (instanceRef g1_7_AN1)) + )) + (net (rename iirin_re_1 "IIRin_re(1)") (joined + (portRef (member IIRin_re 14)) + (portRef IIRin_re_0 (instanceRef g1_1_AN1)) + )) + (net (rename iirin_re_8 "IIRin_re(8)") (joined + (portRef (member IIRin_re 7)) + (portRef IIRin_re_0 (instanceRef g1_8_AN1)) + )) + (net (rename iirin_re_11 "IIRin_re(11)") (joined + (portRef (member IIRin_re 4)) + (portRef IIRin_re_0 (instanceRef g1_11_AN1)) + )) + (net (rename iirin_re_5 "IIRin_re(5)") (joined + (portRef (member IIRin_re 10)) + (portRef IIRin_re_0 (instanceRef g1_5_AN1)) + )) + (net (rename iirin_re_12 "IIRin_re(12)") (joined + (portRef (member IIRin_re 3)) + (portRef IIRin_re_0 (instanceRef g1_12_AN1)) + )) + (net (rename feedback_inc_data_1 "feedback_inc_data(1)") (joined + (portRef (member feedback_inc_data 34) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_1_MUX12)) + (portRef (member feedback_inc_data 34) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_5 "feedback_inc_data(5)") (joined + (portRef (member feedback_inc_data 30) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_5_MUX12)) + (portRef (member feedback_inc_data 30) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_6 "feedback_inc_data(6)") (joined + (portRef (member feedback_inc_data 29) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_6_MUX12)) + (portRef (member feedback_inc_data 29) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_16 "feedback_inc_data(16)") (joined + (portRef (member feedback_inc_data 19) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_16_MUX12)) + (portRef (member feedback_inc_data 19) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_17 "feedback_inc_data(17)") (joined + (portRef (member feedback_inc_data 18) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_17_MUX12)) + (portRef (member feedback_inc_data 18) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_18 "feedback_inc_data(18)") (joined + (portRef (member feedback_inc_data 17) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_18_MUX12)) + (portRef (member feedback_inc_data 17) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_20 "feedback_inc_data(20)") (joined + (portRef (member feedback_inc_data 15) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_20_MUX12)) + (portRef (member feedback_inc_data 15) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_21 "feedback_inc_data(21)") (joined + (portRef (member feedback_inc_data 14) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_21_MUX12)) + (portRef (member feedback_inc_data 14) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_29 "feedback_inc_data(29)") (joined + (portRef (member feedback_inc_data 6) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_29_MUX12)) + (portRef (member feedback_inc_data 6) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_31 "feedback_inc_data(31)") (joined + (portRef (member feedback_inc_data 4) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_31_MUX12)) + (portRef (member feedback_inc_data 4) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_34 "feedback_inc_data(34)") (joined + (portRef (member feedback_inc_data 1) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_34_MUX12)) + (portRef (member feedback_inc_data 1) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_35 "feedback_inc_data(35)") (joined + (portRef (member feedback_inc_data 0) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_35_MUX12)) + (portRef (member feedback_inc_data 0) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg (instanceRef AN24)) + (portRef feedback_to_big_neg (instanceRef gO_4_11_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_18_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_24_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_31_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_5_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_6_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_1_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_17_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_29_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_20_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_21_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_16_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_27_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_34_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_26_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_33_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_25_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_32_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_23_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_30_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_2_gO_2cN_AN8)) + )) + (net N_186 (joined + (portRef N_186 (instanceRef gO_4_34_MUX12)) + (portRef N_186 (instanceRef gO_4_11_MUX12)) + (portRef N_186 (instanceRef gO_4_18_MUX12)) + (portRef N_186 (instanceRef gO_4_24_MUX12)) + (portRef N_186 (instanceRef gO_4_31_MUX12)) + (portRef N_186 (instanceRef gO_4_5_MUX12)) + (portRef N_186 (instanceRef gO_4_6_MUX12)) + (portRef N_186 (instanceRef gO_4_1_MUX12)) + (portRef N_186 (instanceRef gO_4_17_MUX12)) + (portRef N_186 (instanceRef gO_4_29_MUX12)) + (portRef N_186 (instanceRef gO_4_20_MUX12)) + (portRef N_186 (instanceRef gO_4_21_MUX12)) + (portRef N_186 (instanceRef gO_4_16_MUX12)) + (portRef N_186 (instanceRef gO_4_27_MUX12)) + (portRef N_186 (instanceRef gO_4_26_MUX12)) + (portRef N_186 (instanceRef gO_4_33_MUX12)) + (portRef N_186 (instanceRef gO_4_25_MUX12)) + (portRef N_186 (instanceRef gO_4_32_MUX12)) + (portRef N_186 (instanceRef gO_4_23_MUX12)) + (portRef N_186 (instanceRef gO_4_30_MUX12)) + (portRef N_186 (instanceRef gO_2_gO_2cN_AN8)) + )) + (net N_218 (joined + (portRef N_218 (instanceRef gO_4_34_MUX12)) + (portRef N_218 (instanceRef gO_4_11_MUX12)) + (portRef N_218 (instanceRef gO_4_18_MUX12)) + (portRef N_218 (instanceRef gO_4_24_MUX12)) + (portRef N_218 (instanceRef gO_4_31_MUX12)) + (portRef N_218 (instanceRef gO_4_5_MUX12)) + (portRef N_218 (instanceRef gO_4_6_MUX12)) + (portRef N_218 (instanceRef gO_4_1_MUX12)) + (portRef N_218 (instanceRef gO_4_17_MUX12)) + (portRef N_218 (instanceRef gO_4_29_MUX12)) + (portRef N_218 (instanceRef gO_4_20_MUX12)) + (portRef N_218 (instanceRef gO_4_21_MUX12)) + (portRef N_218 (instanceRef gO_4_16_MUX12)) + (portRef N_218 (instanceRef gO_4_27_MUX12)) + (portRef N_218 (instanceRef gO_4_26_MUX12)) + (portRef N_218 (instanceRef gO_4_33_MUX12)) + (portRef N_218 (instanceRef gO_4_25_MUX12)) + (portRef N_218 (instanceRef gO_4_32_MUX12)) + (portRef N_218 (instanceRef gO_4_23_MUX12)) + (portRef N_218 (instanceRef gO_4_30_MUX12)) + (portRef N_218 (instanceRef gO_2_gO_2cN_AN8)) + )) + (net Z_i_0_o3_28 (joined + (portRef Z_i_0_o3_28 (instanceRef gO_2_gO_2cN_AN8)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_0_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_7_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_8_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_2_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_3_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_4_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_10_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_22_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_12_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_19_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_13_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_14_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_15_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_9_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_28_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_35_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_34_MUX12)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big (instanceRef OR25)) + (portRef feedback_to_big (instanceRef gO_4_0_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_7_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_8_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_2_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_3_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_4_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_10_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_22_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_12_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_19_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_13_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_14_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_15_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_9_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_28_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_35_MUX12)) + (portRef feedback_to_big (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_2 "feedback_inc_data(2)") (joined + (portRef (member feedback_inc_data 33) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_2_MUX12)) + )) + (net (rename feedback_inc_data_3 "feedback_inc_data(3)") (joined + (portRef (member feedback_inc_data 32) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_3_MUX12)) + )) + (net (rename feedback_inc_data_4 "feedback_inc_data(4)") (joined + (portRef (member feedback_inc_data 31) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_4_MUX12)) + )) + (net (rename feedback_inc_data_7 "feedback_inc_data(7)") (joined + (portRef (member feedback_inc_data 28) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_7_MUX12)) + )) + (net (rename feedback_inc_data_8 "feedback_inc_data(8)") (joined + (portRef (member feedback_inc_data 27) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_8_MUX12)) + )) + (net (rename feedback_inc_data_9 "feedback_inc_data(9)") (joined + (portRef (member feedback_inc_data 26) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_9_MUX12)) + )) + (net (rename feedback_inc_data_10 "feedback_inc_data(10)") (joined + (portRef (member feedback_inc_data 25) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_10_MUX12)) + )) + (net (rename feedback_inc_data_11 "feedback_inc_data(11)") (joined + (portRef (member feedback_inc_data 24) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member feedback_inc_data 22) (instanceRef gO_4_11_MUX12)) + )) + (net (rename feedback_inc_data_12 "feedback_inc_data(12)") (joined + (portRef (member feedback_inc_data 23) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_12_MUX12)) + )) + (net (rename feedback_inc_data_13 "feedback_inc_data(13)") (joined + (portRef (member feedback_inc_data 22) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_13_MUX12)) + )) + (net (rename feedback_inc_data_14 "feedback_inc_data(14)") (joined + (portRef (member feedback_inc_data 21) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_14_MUX12)) + )) + (net (rename feedback_inc_data_15 "feedback_inc_data(15)") (joined + (portRef (member feedback_inc_data 20) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_15_MUX12)) + )) + (net (rename feedback_inc_data_19 "feedback_inc_data(19)") (joined + (portRef (member feedback_inc_data 16) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_19_MUX12)) + )) + (net (rename feedback_inc_data_22 "feedback_inc_data(22)") (joined + (portRef (member feedback_inc_data 13) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_22_MUX12)) + )) + (net (rename feedback_inc_data_23 "feedback_inc_data(23)") (joined + (portRef (member feedback_inc_data 12) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member feedback_inc_data 10) (instanceRef gO_4_11_MUX12)) + (portRef feedback_inc_data_0 (instanceRef gO_4_23_MUX12)) + )) + (net (rename feedback_inc_data_24 "feedback_inc_data(24)") (joined + (portRef (member feedback_inc_data 11) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member feedback_inc_data 9) (instanceRef gO_4_11_MUX12)) + (portRef feedback_inc_data_0 (instanceRef gO_4_24_MUX12)) + )) + (net (rename feedback_inc_data_25 "feedback_inc_data(25)") (joined + (portRef (member feedback_inc_data 10) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member feedback_inc_data 8) (instanceRef gO_4_11_MUX12)) + (portRef feedback_inc_data_0 (instanceRef gO_4_25_MUX12)) + )) + (net (rename feedback_inc_data_26 "feedback_inc_data(26)") (joined + (portRef (member feedback_inc_data 9) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member feedback_inc_data 7) (instanceRef gO_4_11_MUX12)) + (portRef feedback_inc_data_0 (instanceRef gO_4_26_MUX12)) + )) + (net (rename feedback_inc_data_27 "feedback_inc_data(27)") (joined + (portRef (member feedback_inc_data 8) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member feedback_inc_data 6) (instanceRef gO_4_11_MUX12)) + (portRef feedback_inc_data_0 (instanceRef gO_4_27_MUX12)) + )) + (net (rename feedback_inc_data_28 "feedback_inc_data(28)") (joined + (portRef (member feedback_inc_data 7) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_28_MUX12)) + )) + (net (rename feedback_inc_data_30 "feedback_inc_data(30)") (joined + (portRef (member feedback_inc_data 5) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member feedback_inc_data 3) (instanceRef gO_4_11_MUX12)) + (portRef feedback_inc_data_0 (instanceRef gO_4_30_MUX12)) + )) + (net (rename feedback_inc_data_32 "feedback_inc_data(32)") (joined + (portRef (member feedback_inc_data 3) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member feedback_inc_data 1) (instanceRef gO_4_11_MUX12)) + (portRef feedback_inc_data_0 (instanceRef gO_4_32_MUX12)) + )) + (net (rename feedback_inc_data_33 "feedback_inc_data(33)") (joined + (portRef (member feedback_inc_data 2) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member feedback_inc_data 0) (instanceRef gO_4_11_MUX12)) + (portRef feedback_inc_data_0 (instanceRef gO_4_33_MUX12)) + )) + (net Z_32_0 (joined + (portRef Z_32_0 (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef Z_32_0 (instanceRef OR25)) + )) + (net Z_33_2 (joined + (portRef Z_33_2 (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef Z_33_2 (instanceRef OR25)) + )) + (net Z_32_1 (joined + (portRef Z_32_1 (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef Z_32_1 (instanceRef OR25)) + )) + (net Z_32 (joined + (portRef Z_32 (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef Z_32 (instanceRef gO_4_34_MUX12)) + )) + ) + (property langParams (string "data_in_width data_out_width frac_data_out_width feedback_width max_coef_width frac_coef_width saturation_mode out_reg")) + (property orig_inst_of (string "DW_iir_dc")) + (property out_reg (integer 1)) + (property saturation_mode (integer 0)) + (property frac_coef_width (integer 31)) + (property max_coef_width (integer 32)) + (property feedback_width (integer 36)) + (property frac_data_out_width (integer 20)) + (property data_out_width (integer 36)) + (property data_in_width (integer 16)) + ) + ) + (cell (rename dw03_dw_iir_dc_mult_16_36_20_31_0_1_1_data_in_w1lqb2c_widthfrac_coef_widthsaturation_modeout_reg_5_3 "dw03_dw_iir_dc_mult_16_36_20_31_0_1_1_data_in_widthdata_out_widthfrac_data_out_widthfeedback_widthmax_coef_widthfrac_coef_widthsaturation_modeout_reg_5_3") (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename b1_re "b1_re(31:0)") 32) (direction INPUT)) + (port (array (rename gated_in_data "gated_in_data(15:0)") 16) (direction INPUT)) + (port (array (rename a1_re "a1_re(31:0)") 32) (direction INPUT)) + (port (array (rename dout_1 "dout_1(35:0)") 36) (direction OUTPUT)) + (port rstn (direction INPUT)) + (port en (direction INPUT)) + (port clk (direction INPUT)) + (port rstn_i (direction INPUT)) + (port saturation_1 (direction OUTPUT)) + ) + (contents + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_41 "PSUM2AND1_REGS.psum1_saved_3_lut[41]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_42 "PSUM2AND1_REGS.psum1_saved_3_lut[42]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_43 "PSUM2AND1_REGS.psum1_saved_3_lut[43]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_40 "PSUM2AND1_REGS.psum1_saved_3_lut[40]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_39 "PSUM2AND1_REGS.psum1_saved_3_lut[39]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_37 "PSUM2AND1_REGS.psum1_saved_3_lut[37]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_38 "PSUM2AND1_REGS.psum1_saved_3_lut[38]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_36 "PSUM2AND1_REGS.psum1_saved_3_lut[36]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_34 "PSUM2AND1_REGS.psum1_saved_3_lut[34]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_35 "PSUM2AND1_REGS.psum1_saved_3_lut[35]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_33 "PSUM2AND1_REGS.psum1_saved_3_lut[33]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_30 "PSUM2AND1_REGS.psum1_saved_3_lut[30]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_29 "PSUM2AND1_REGS.psum1_saved_3_lut[29]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_31 "PSUM2AND1_REGS.psum1_saved_3_lut[31]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_32 "PSUM2AND1_REGS.psum1_saved_3_lut[32]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_27 "PSUM2AND1_REGS.psum1_saved_3_lut[27]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_28 "PSUM2AND1_REGS.psum1_saved_3_lut[28]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_26 "PSUM2AND1_REGS.psum1_saved_3_lut[26]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_25 "PSUM2AND1_REGS.psum1_saved_3_lut[25]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_21 "PSUM2AND1_REGS.psum1_saved_3_lut[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_22 "PSUM2AND1_REGS.psum1_saved_3_lut[22]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_23 "PSUM2AND1_REGS.psum1_saved_3_lut[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_24 "PSUM2AND1_REGS.psum1_saved_3_lut[24]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_20 "PSUM2AND1_REGS.psum1_saved_3_lut[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_17 "PSUM2AND1_REGS.psum1_saved_3_lut[17]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_18 "PSUM2AND1_REGS.psum1_saved_3_lut[18]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_19 "PSUM2AND1_REGS.psum1_saved_3_lut[19]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_13 "PSUM2AND1_REGS.psum1_saved_3_lut[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_14 "PSUM2AND1_REGS.psum1_saved_3_lut[14]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_15 "PSUM2AND1_REGS.psum1_saved_3_lut[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_16 "PSUM2AND1_REGS.psum1_saved_3_lut[16]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_11 "PSUM2AND1_REGS.psum1_saved_3_lut[11]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_10 "PSUM2AND1_REGS.psum1_saved_3_lut[10]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_12 "PSUM2AND1_REGS.psum1_saved_3_lut[12]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_9 "PSUM2AND1_REGS.psum1_saved_3_lut[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_7 "PSUM2AND1_REGS.psum1_saved_3_lut[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_6 "PSUM2AND1_REGS.psum1_saved_3_lut[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_8 "PSUM2AND1_REGS.psum1_saved_3_lut[8]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_5 "PSUM2AND1_REGS.psum1_saved_3_lut[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_4 "PSUM2AND1_REGS.psum1_saved_3_lut[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_3 "PSUM2AND1_REGS.psum1_saved_3_lut[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_2 "PSUM2AND1_REGS.psum1_saved_3_lut[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_1 "PSUM2AND1_REGS.psum1_saved_3_lut[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_65 "PSUM2AND1_REGS.psum1_saved_3[65]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'h28")) + ) + (instance (rename A1_product_signedAdd_0_42_0 "A1_product_signedAdd_0[42:0]") (viewRef PRIM (cellRef DSP48E2 (libraryRef VIRTEXR))) + (property ADREG (integer 0)) + (property BMULTSEL (string "B")) + (property PREADDINSEL (string "A")) + (property AMULTSEL (string "A")) + (property INMODEREG (integer 0)) + (property DREG (integer 0)) + (property AUTORESET_PATDET (string "NO_RESET")) + (property USE_SIMD (string "ONE48")) + (property A_INPUT (string "CASCADE")) + (property B_INPUT (string "DIRECT")) + (property ALUMODEREG (integer 0)) + (property CARRYINREG (integer 0)) + (property CARRYINSELREG (integer 0)) + (property OPMODEREG (integer 0)) + (property MREG (integer 0)) + (property PREG (integer 0)) + (property CREG (integer 0)) + (property BCASCREG (integer 0)) + (property BREG (integer 0)) + (property ACASCREG (integer 0)) + (property AREG (integer 0)) + (property USE_MULT (string "MULTIPLY")) + ) + (instance (rename A1_product_signedAdd_1_34_0 "A1_product_signedAdd_1[34:0]") (viewRef PRIM (cellRef DSP48E2 (libraryRef VIRTEXR))) + (property ADREG (integer 0)) + (property BMULTSEL (string "B")) + (property PREADDINSEL (string "A")) + (property AMULTSEL (string "A")) + (property INMODEREG (integer 0)) + (property DREG (integer 0)) + (property AUTORESET_PATDET (string "NO_RESET")) + (property USE_SIMD (string "ONE48")) + (property A_INPUT (string "DIRECT")) + (property B_INPUT (string "DIRECT")) + (property ALUMODEREG (integer 0)) + (property CARRYINREG (integer 0)) + (property CARRYINSELREG (integer 0)) + (property OPMODEREG (integer 0)) + (property MREG (integer 0)) + (property PREG (integer 0)) + (property CREG (integer 0)) + (property BCASCREG (integer 0)) + (property BREG (integer 0)) + (property ACASCREG (integer 0)) + (property AREG (integer 0)) + (property USE_MULT (string "MULTIPLY")) + ) + (instance (rename B0_product_signedAdd_0_30_0 "B0_product_signedAdd_0[30:0]") (viewRef PRIM (cellRef DSP48E2 (libraryRef VIRTEXR))) + (property ADREG (integer 0)) + (property BMULTSEL (string "B")) + (property PREADDINSEL (string "A")) + (property AMULTSEL (string "A")) + (property INMODEREG (integer 0)) + (property DREG (integer 0)) + (property AUTORESET_PATDET (string "NO_RESET")) + (property USE_SIMD (string "ONE48")) + (property A_INPUT (string "DIRECT")) + (property B_INPUT (string "DIRECT")) + (property ALUMODEREG (integer 0)) + (property CARRYINREG (integer 0)) + (property CARRYINSELREG (integer 0)) + (property OPMODEREG (integer 0)) + (property MREG (integer 0)) + (property PREG (integer 0)) + (property CREG (integer 0)) + (property BCASCREG (integer 0)) + (property BREG (integer 0)) + (property ACASCREG (integer 0)) + (property AREG (integer 0)) + (property USE_MULT (string "MULTIPLY")) + ) + (instance (rename A1_product_signedAdd_2_24_0 "A1_product_signedAdd_2[24:0]") (viewRef PRIM (cellRef DSP48E2 (libraryRef VIRTEXR))) + (property ADREG (integer 0)) + (property BMULTSEL (string "B")) + (property PREADDINSEL (string "A")) + (property AMULTSEL (string "A")) + (property INMODEREG (integer 0)) + (property DREG (integer 0)) + (property AUTORESET_PATDET (string "NO_RESET")) + (property USE_SIMD (string "ONE48")) + (property A_INPUT (string "DIRECT")) + (property B_INPUT (string "DIRECT")) + (property ALUMODEREG (integer 0)) + (property CARRYINREG (integer 0)) + (property CARRYINSELREG (integer 0)) + (property OPMODEREG (integer 0)) + (property MREG (integer 0)) + (property PREG (integer 0)) + (property CREG (integer 0)) + (property BCASCREG (integer 0)) + (property BREG (integer 0)) + (property ACASCREG (integer 0)) + (property AREG (integer 0)) + (property USE_MULT (string "MULTIPLY")) + ) + (instance (rename A1_product_signed_0_44_0 "A1_product_signed_0[44:0]") (viewRef PRIM (cellRef DSP48E2 (libraryRef VIRTEXR))) + (property ADREG (integer 0)) + (property BMULTSEL (string "B")) + (property PREADDINSEL (string "A")) + (property AMULTSEL (string "A")) + (property INMODEREG (integer 0)) + (property DREG (integer 0)) + (property AUTORESET_PATDET (string "NO_RESET")) + (property USE_SIMD (string "ONE48")) + (property A_INPUT (string "DIRECT")) + (property B_INPUT (string "DIRECT")) + (property ALUMODEREG (integer 0)) + (property CARRYINREG (integer 0)) + (property CARRYINSELREG (integer 0)) + (property OPMODEREG (integer 0)) + (property MREG (integer 0)) + (property PREG (integer 0)) + (property CREG (integer 0)) + (property BCASCREG (integer 0)) + (property BREG (integer 0)) + (property ACASCREG (integer 0)) + (property AREG (integer 0)) + (property USE_MULT (string "MULTIPLY")) + ) + (instance (rename B0_product_signed_0_33_0 "B0_product_signed_0[33:0]") (viewRef PRIM (cellRef DSP48E2 (libraryRef VIRTEXR))) + (property ADREG (integer 0)) + (property BMULTSEL (string "B")) + (property PREADDINSEL (string "A")) + (property AMULTSEL (string "A")) + (property INMODEREG (integer 0)) + (property DREG (integer 0)) + (property AUTORESET_PATDET (string "NO_RESET")) + (property USE_SIMD (string "ONE48")) + (property A_INPUT (string "DIRECT")) + (property B_INPUT (string "DIRECT")) + (property ALUMODEREG (integer 0)) + (property CARRYINREG (integer 0)) + (property CARRYINSELREG (integer 0)) + (property OPMODEREG (integer 0)) + (property MREG (integer 0)) + (property PREG (integer 0)) + (property CREG (integer 0)) + (property BCASCREG (integer 0)) + (property BREG (integer 0)) + (property ACASCREG (integer 0)) + (property AREG (integer 0)) + (property USE_MULT (string "MULTIPLY")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_69 "PSUM2AND1_REGS.psum1_saved_3[69]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_68 "PSUM2AND1_REGS.psum1_saved_3[68]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_67 "PSUM2AND1_REGS.psum1_saved_3[67]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_66 "PSUM2AND1_REGS.psum1_saved_3[66]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_64 "PSUM2AND1_REGS.psum1_saved_3[64]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_63 "PSUM2AND1_REGS.psum1_saved_3[63]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_62 "PSUM2AND1_REGS.psum1_saved_3[62]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_61 "PSUM2AND1_REGS.psum1_saved_3[61]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_60 "PSUM2AND1_REGS.psum1_saved_3[60]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_59 "PSUM2AND1_REGS.psum1_saved_3[59]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_58 "PSUM2AND1_REGS.psum1_saved_3[58]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_57 "PSUM2AND1_REGS.psum1_saved_3[57]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_56 "PSUM2AND1_REGS.psum1_saved_3[56]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_55 "PSUM2AND1_REGS.psum1_saved_3[55]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_54 "PSUM2AND1_REGS.psum1_saved_3[54]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_53 "PSUM2AND1_REGS.psum1_saved_3[53]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_52 "PSUM2AND1_REGS.psum1_saved_3[52]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_51 "PSUM2AND1_REGS.psum1_saved_3[51]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_50 "PSUM2AND1_REGS.psum1_saved_3[50]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_49 "PSUM2AND1_REGS.psum1_saved_3[49]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_48 "PSUM2AND1_REGS.psum1_saved_3[48]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_47 "PSUM2AND1_REGS.psum1_saved_3[47]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_46 "PSUM2AND1_REGS.psum1_saved_3[46]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_45 "PSUM2AND1_REGS.psum1_saved_3[45]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_44 "PSUM2AND1_REGS.psum1_saved_3[44]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_0 "PSUM2AND1_REGS.psum1_saved_3[0]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_un1_enable "PSUM2AND1_REGS.un1_enable") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'hB")) + ) + (instance un1_psum0_signed_axb_70 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_cry_59_RNID9FQ (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h8000")) + ) + (instance psum1_signed_s_44_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_45_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_46_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_47_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_48_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_49_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_50_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_51_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_52_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_53_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_54_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_55_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_56_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_57_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_58_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_59_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_60_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_61_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_62_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_63_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_64_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_65_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_66_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_69_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance (rename psum1_saved_0 "psum1_saved[0]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_1 "psum1_saved[1]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_2 "psum1_saved[2]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_3 "psum1_saved[3]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_4 "psum1_saved[4]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_5 "psum1_saved[5]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_6 "psum1_saved[6]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_7 "psum1_saved[7]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_8 "psum1_saved[8]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_9 "psum1_saved[9]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_10 "psum1_saved[10]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_11 "psum1_saved[11]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_12 "psum1_saved[12]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_13 "psum1_saved[13]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_14 "psum1_saved[14]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_15 "psum1_saved[15]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_16 "psum1_saved[16]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_17 "psum1_saved[17]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_18 "psum1_saved[18]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_19 "psum1_saved[19]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_20 "psum1_saved[20]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_21 "psum1_saved[21]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_22 "psum1_saved[22]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_23 "psum1_saved[23]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_24 "psum1_saved[24]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_25 "psum1_saved[25]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_26 "psum1_saved[26]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_27 "psum1_saved[27]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_28 "psum1_saved[28]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_29 "psum1_saved[29]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_30 "psum1_saved[30]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_31 "psum1_saved[31]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_32 "psum1_saved[32]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_33 "psum1_saved[33]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_34 "psum1_saved[34]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_35 "psum1_saved[35]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_36 "psum1_saved[36]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_37 "psum1_saved[37]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_38 "psum1_saved[38]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_39 "psum1_saved[39]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_40 "psum1_saved[40]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_41 "psum1_saved[41]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_42 "psum1_saved[42]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_43 "psum1_saved[43]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_44 "psum1_saved[44]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_45 "psum1_saved[45]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_46 "psum1_saved[46]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_47 "psum1_saved[47]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_48 "psum1_saved[48]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_49 "psum1_saved[49]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_50 "psum1_saved[50]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_51 "psum1_saved[51]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_52 "psum1_saved[52]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_53 "psum1_saved[53]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_54 "psum1_saved[54]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_55 "psum1_saved[55]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_56 "psum1_saved[56]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_57 "psum1_saved[57]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_58 "psum1_saved[58]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_59 "psum1_saved[59]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_60 "psum1_saved[60]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_61 "psum1_saved[61]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_62 "psum1_saved[62]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_63 "psum1_saved[63]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_64 "psum1_saved[64]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_65 "psum1_saved[65]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_66 "psum1_saved[66]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_67 "psum1_saved[67]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_68 "psum1_saved[68]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_69 "psum1_saved[69]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance saturation_final (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_0 "data_out_final[0]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_1 "data_out_final[1]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_2 "data_out_final[2]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_3 "data_out_final[3]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_4 "data_out_final[4]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_5 "data_out_final[5]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_6 "data_out_final[6]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_7 "data_out_final[7]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_8 "data_out_final[8]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_9 "data_out_final[9]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_10 "data_out_final[10]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_11 "data_out_final[11]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_12 "data_out_final[12]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_13 "data_out_final[13]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_14 "data_out_final[14]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_15 "data_out_final[15]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_16 "data_out_final[16]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_17 "data_out_final[17]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_18 "data_out_final[18]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_19 "data_out_final[19]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_20 "data_out_final[20]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_21 "data_out_final[21]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_22 "data_out_final[22]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_23 "data_out_final[23]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_24 "data_out_final[24]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_25 "data_out_final[25]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_26 "data_out_final[26]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_27 "data_out_final[27]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_28 "data_out_final[28]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_29 "data_out_final[29]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_30 "data_out_final[30]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_31 "data_out_final[31]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_32 "data_out_final[32]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_33 "data_out_final[33]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_34 "data_out_final[34]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_35 "data_out_final[35]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance psum1_signed_s_66 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_64 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_63 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_62 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_61 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_60 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_59 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_58 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_57 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_56 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_55 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_54 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_53 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_52 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_51 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_50 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_49 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_48 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_47 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_46 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_45 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_44 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_69 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_68 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_67 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_66 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_65 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_64 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_63 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_62 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_61 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_60 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_59 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_58 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_57 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_56 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_55 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_54 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_53 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_52 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_51 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_50 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_49 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_48 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_47 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_46 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_45 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_44 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_43 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_42 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_41 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_40 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_39 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_38 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_37 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_36 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_35 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_34 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_33 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_32 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_31 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_30 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_29 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_28 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_27 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_26 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_25 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_24 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_23 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_22 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_21 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_20 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_69_RNO (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_67_RNO (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_66_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_65_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_64_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_63_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_62_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_61_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_60_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_59_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_58_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_57_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_56_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_55_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_54_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_53_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_52_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_51_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_50_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_49_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_48_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_47_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_46_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_45_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_44_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_43_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_42_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_41_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_40_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_39_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_38_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_37_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_36_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_35_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_34_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_33_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_32_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_31_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_30_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_29_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_28_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_27_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_26_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_25_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_24_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_23_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_22_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_21_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_20_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_19_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_18_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_17_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_16_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_15_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_14_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_13_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_12_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_11_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_10_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_9_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_8_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_7_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_6_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_5_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_4_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_3_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_2_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_1_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_0_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_psum0_signed_cry_23 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + (property xcn_c4chain_base (integer 1)) + ) + (instance un1_psum0_signed_cry_27 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_31 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_35 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_39 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_43 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_47 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_51 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_55 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_59 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_63 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_67 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_s_70 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_3 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + (property xcn_c4chain_base (integer 1)) + ) + (instance psum1_signed_cry_7 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_11 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_15 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_19 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_23 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_27 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_31 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_35 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_39 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_43 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_47 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_51 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_55 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_59 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_63 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_67 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_s_69 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance (rename gO_2_gO_2cN_AN8 "gO_2.gO_2cN.AN8") (viewRef netlist (cellRef GTECH_AND2_247 (libraryRef gtech))) + ) + (instance (rename gO_2_gO_2d_INC2 "gO_2.gO_2d.INC2") (viewRef netlist (cellRef DW01_inc_36_3 (libraryRef dw01))) + ) + (instance (rename gO_2_gO_2d_gO_2d1_0_AN11 "gO_2.gO_2d.gO_2d1.0.AN11") (viewRef netlist (cellRef GTECH_AND2_279 (libraryRef gtech))) + ) + (instance (rename gO_4_30_MUX12 "gO_4.30.MUX12") (viewRef netlist (cellRef GTECH_MUX2_323 (libraryRef gtech))) + ) + (instance (rename gO_4_23_MUX12 "gO_4.23.MUX12") (viewRef netlist (cellRef GTECH_MUX2_324 (libraryRef gtech))) + ) + (instance (rename gO_4_32_MUX12 "gO_4.32.MUX12") (viewRef netlist (cellRef GTECH_MUX2_325 (libraryRef gtech))) + ) + (instance (rename gO_4_25_MUX12 "gO_4.25.MUX12") (viewRef netlist (cellRef GTECH_MUX2_326 (libraryRef gtech))) + ) + (instance (rename gO_4_33_MUX12 "gO_4.33.MUX12") (viewRef netlist (cellRef GTECH_MUX2_327 (libraryRef gtech))) + ) + (instance (rename gO_4_26_MUX12 "gO_4.26.MUX12") (viewRef netlist (cellRef GTECH_MUX2_328 (libraryRef gtech))) + ) + (instance (rename gO_4_34_MUX12 "gO_4.34.MUX12") (viewRef netlist (cellRef GTECH_MUX2_329 (libraryRef gtech))) + ) + (instance (rename gO_4_27_MUX12 "gO_4.27.MUX12") (viewRef netlist (cellRef GTECH_MUX2_330 (libraryRef gtech))) + ) + (instance (rename gO_4_35_MUX12 "gO_4.35.MUX12") (viewRef netlist (cellRef GTECH_MUX2_331 (libraryRef gtech))) + ) + (instance (rename gO_4_28_MUX12 "gO_4.28.MUX12") (viewRef netlist (cellRef GTECH_MUX2_332 (libraryRef gtech))) + ) + (instance (rename gO_4_16_MUX12 "gO_4.16.MUX12") (viewRef netlist (cellRef GTECH_MUX2_333 (libraryRef gtech))) + ) + (instance (rename gO_4_9_MUX12 "gO_4.9.MUX12") (viewRef netlist (cellRef GTECH_MUX2_334 (libraryRef gtech))) + ) + (instance (rename gO_4_15_MUX12 "gO_4.15.MUX12") (viewRef netlist (cellRef GTECH_MUX2_335 (libraryRef gtech))) + ) + (instance (rename gO_4_21_MUX12 "gO_4.21.MUX12") (viewRef netlist (cellRef GTECH_MUX2_336 (libraryRef gtech))) + ) + (instance (rename gO_4_14_MUX12 "gO_4.14.MUX12") (viewRef netlist (cellRef GTECH_MUX2_337 (libraryRef gtech))) + ) + (instance (rename gO_4_20_MUX12 "gO_4.20.MUX12") (viewRef netlist (cellRef GTECH_MUX2_338 (libraryRef gtech))) + ) + (instance (rename gO_4_13_MUX12 "gO_4.13.MUX12") (viewRef netlist (cellRef GTECH_MUX2_339 (libraryRef gtech))) + ) + (instance (rename gO_4_19_MUX12 "gO_4.19.MUX12") (viewRef netlist (cellRef GTECH_MUX2_340 (libraryRef gtech))) + ) + (instance (rename gO_4_12_MUX12 "gO_4.12.MUX12") (viewRef netlist (cellRef GTECH_MUX2_341 (libraryRef gtech))) + ) + (instance (rename gO_4_29_MUX12 "gO_4.29.MUX12") (viewRef netlist (cellRef GTECH_MUX2_342 (libraryRef gtech))) + ) + (instance (rename gO_4_22_MUX12 "gO_4.22.MUX12") (viewRef netlist (cellRef GTECH_MUX2_343 (libraryRef gtech))) + ) + (instance (rename gO_4_17_MUX12 "gO_4.17.MUX12") (viewRef netlist (cellRef GTECH_MUX2_344 (libraryRef gtech))) + ) + (instance (rename gO_4_10_MUX12 "gO_4.10.MUX12") (viewRef netlist (cellRef GTECH_MUX2_345 (libraryRef gtech))) + ) + (instance (rename gO_4_4_MUX12 "gO_4.4.MUX12") (viewRef netlist (cellRef GTECH_MUX2_346 (libraryRef gtech))) + ) + (instance (rename gO_4_3_MUX12 "gO_4.3.MUX12") (viewRef netlist (cellRef GTECH_MUX2_347 (libraryRef gtech))) + ) + (instance (rename gO_4_2_MUX12 "gO_4.2.MUX12") (viewRef netlist (cellRef GTECH_MUX2_348 (libraryRef gtech))) + ) + (instance (rename gO_4_8_MUX12 "gO_4.8.MUX12") (viewRef netlist (cellRef GTECH_MUX2_349 (libraryRef gtech))) + ) + (instance (rename gO_4_1_MUX12 "gO_4.1.MUX12") (viewRef netlist (cellRef GTECH_MUX2_350 (libraryRef gtech))) + ) + (instance (rename gO_4_7_MUX12 "gO_4.7.MUX12") (viewRef netlist (cellRef GTECH_MUX2_351 (libraryRef gtech))) + ) + (instance (rename gO_4_0_MUX12 "gO_4.0.MUX12") (viewRef netlist (cellRef GTECH_MUX2_352 (libraryRef gtech))) + ) + (instance (rename gO_4_6_MUX12 "gO_4.6.MUX12") (viewRef netlist (cellRef GTECH_MUX2_353 (libraryRef gtech))) + ) + (instance (rename gO_4_5_MUX12 "gO_4.5.MUX12") (viewRef netlist (cellRef GTECH_MUX2_354 (libraryRef gtech))) + ) + (instance (rename gO_4_31_MUX12 "gO_4.31.MUX12") (viewRef netlist (cellRef GTECH_MUX2_355 (libraryRef gtech))) + ) + (instance (rename gO_4_24_MUX12 "gO_4.24.MUX12") (viewRef netlist (cellRef GTECH_MUX2_356 (libraryRef gtech))) + ) + (instance (rename gO_4_18_MUX12 "gO_4.18.MUX12") (viewRef netlist (cellRef GTECH_MUX2_357 (libraryRef gtech))) + ) + (instance (rename gO_4_11_MUX12 "gO_4.11.MUX12") (viewRef netlist (cellRef GTECH_MUX2_358 (libraryRef gtech))) + ) + (instance AN24 (viewRef netlist (cellRef GTECH_AND2_283 (libraryRef gtech))) + ) + (instance OR25 (viewRef netlist (cellRef GTECH_OR2_173 (libraryRef gtech))) + ) + (instance GND (viewRef PRIM (cellRef GND (libraryRef UNILIB))) ) + (instance VCC (viewRef PRIM (cellRef VCC (libraryRef UNILIB))) ) + (net (rename A1_product_signed_41 "A1_product_signed(41)") (joined + (portRef (member P 32) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_43)) + (portRef I0 (instanceRef psum1_signed_cry_41_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_41)) + )) + (net psum1_signed_cry_40 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_43)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_41)) + )) + (net rstn (joined + (portRef rstn) + (portRef rstn (instanceRef gO_4_11_MUX12)) + (portRef rstn (instanceRef gO_2_gO_2cN_AN8)) + (portRef I1 (instanceRef PSUM2AND1_REGS_un1_enable)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_0)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_44)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_45)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_46)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_47)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_48)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_49)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_50)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_51)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_52)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_53)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_54)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_55)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_56)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_57)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_58)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_59)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_60)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_61)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_62)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_63)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_64)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_66)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_67)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_68)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_69)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_65)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_1)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_2)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_3)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_8)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_12)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_10)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_11)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_16)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_15)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_14)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_13)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_19)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_18)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_17)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_20)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_24)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_23)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_25)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_26)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_28)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_27)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_32)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_31)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_29)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_30)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_33)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_35)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_34)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_36)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_38)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_37)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_39)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_40)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_43)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_42)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_41)) + )) + (net (rename psum1_saved_3_41 "psum1_saved_3(41)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_41)) + (portRef D (instanceRef psum1_saved_41)) + )) + (net (rename A1_product_signed_42 "A1_product_signed(42)") (joined + (portRef (member P 31) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_43)) + (portRef I0 (instanceRef psum1_signed_cry_42_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_42)) + )) + (net psum1_signed_cry_41 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_43)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_42)) + )) + (net (rename psum1_saved_3_42 "psum1_saved_3(42)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_42)) + (portRef D (instanceRef psum1_saved_42)) + )) + (net (rename A1_product_signed_43 "A1_product_signed(43)") (joined + (portRef (member P 47) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_43)) + (portRef I0 (instanceRef psum1_signed_cry_43_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_43)) + )) + (net psum1_signed_cry_42 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_43)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_43)) + )) + (net (rename psum1_saved_3_43 "psum1_saved_3(43)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_43)) + (portRef D (instanceRef psum1_saved_43)) + )) + (net (rename A1_product_signed_40 "A1_product_signed(40)") (joined + (portRef (member P 33) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_43)) + (portRef I0 (instanceRef psum1_signed_cry_40_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_40)) + )) + (net (rename psum1_signed_cryZ0Z_39 "psum1_signed_cry_39") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_39)) + (portRef CI (instanceRef psum1_signed_cry_43)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_40)) + )) + (net (rename psum1_saved_3_40 "psum1_saved_3(40)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_40)) + (portRef D (instanceRef psum1_saved_40)) + )) + (net (rename A1_product_signed_39 "A1_product_signed(39)") (joined + (portRef (member P 34) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_39)) + (portRef I0 (instanceRef psum1_signed_cry_39_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_39)) + )) + (net psum1_signed_cry_38 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_39)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_39)) + )) + (net (rename psum1_saved_3_39 "psum1_saved_3(39)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_39)) + (portRef D (instanceRef psum1_saved_39)) + )) + (net (rename A1_product_signed_37 "A1_product_signed(37)") (joined + (portRef (member P 36) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_39)) + (portRef I0 (instanceRef psum1_signed_cry_37_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_37)) + )) + (net psum1_signed_cry_36 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_39)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_37)) + )) + (net (rename psum1_saved_3_37 "psum1_saved_3(37)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_37)) + (portRef D (instanceRef psum1_saved_37)) + )) + (net (rename A1_product_signed_38 "A1_product_signed(38)") (joined + (portRef (member P 35) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_39)) + (portRef I0 (instanceRef psum1_signed_cry_38_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_38)) + )) + (net psum1_signed_cry_37 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_39)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_38)) + )) + (net (rename psum1_saved_3_38 "psum1_saved_3(38)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_38)) + (portRef D (instanceRef psum1_saved_38)) + )) + (net (rename A1_product_signed_36 "A1_product_signed(36)") (joined + (portRef (member P 37) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_39)) + (portRef I0 (instanceRef psum1_signed_cry_36_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_36)) + )) + (net (rename psum1_signed_cryZ0Z_35 "psum1_signed_cry_35") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_35)) + (portRef CI (instanceRef psum1_signed_cry_39)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_36)) + )) + (net (rename psum1_saved_3_36 "psum1_saved_3(36)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_36)) + (portRef D (instanceRef psum1_saved_36)) + )) + (net (rename A1_product_signed_34 "A1_product_signed(34)") (joined + (portRef (member P 39) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_35)) + (portRef I0 (instanceRef psum1_signed_cry_34_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_34)) + )) + (net psum1_signed_cry_33 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_35)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_34)) + )) + (net (rename psum1_saved_3_34 "psum1_saved_3(34)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_34)) + (portRef D (instanceRef psum1_saved_34)) + )) + (net (rename A1_product_signed_35 "A1_product_signed(35)") (joined + (portRef (member P 38) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_35)) + (portRef I0 (instanceRef psum1_signed_cry_35_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_35)) + )) + (net psum1_signed_cry_34 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_35)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_35)) + )) + (net (rename psum1_saved_3_35 "psum1_saved_3(35)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_35)) + (portRef D (instanceRef psum1_saved_35)) + )) + (net (rename A1_product_signed_33 "A1_product_signed(33)") (joined + (portRef (member P 40) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_35)) + (portRef I0 (instanceRef psum1_signed_cry_33_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_33)) + )) + (net psum1_signed_cry_32 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_35)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_33)) + )) + (net (rename psum1_saved_3_33 "psum1_saved_3(33)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_33)) + (portRef D (instanceRef psum1_saved_33)) + )) + (net (rename A1_product_signed_30 "A1_product_signed(30)") (joined + (portRef (member P 43) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_31)) + (portRef I0 (instanceRef psum1_signed_cry_30_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_30)) + )) + (net psum1_signed_cry_29 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_31)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_30)) + )) + (net (rename psum1_saved_3_30 "psum1_saved_3(30)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_30)) + (portRef D (instanceRef psum1_saved_30)) + )) + (net (rename A1_product_signed_29 "A1_product_signed(29)") (joined + (portRef (member P 44) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_31)) + (portRef I0 (instanceRef psum1_signed_cry_29_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_29)) + )) + (net psum1_signed_cry_28 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_31)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_29)) + )) + (net (rename psum1_saved_3_29 "psum1_saved_3(29)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_29)) + (portRef D (instanceRef psum1_saved_29)) + )) + (net (rename A1_product_signed_31 "A1_product_signed(31)") (joined + (portRef (member P 42) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_31)) + (portRef I0 (instanceRef psum1_signed_cry_31_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_31)) + )) + (net psum1_signed_cry_30 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_31)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_31)) + )) + (net (rename psum1_saved_3_31 "psum1_saved_3(31)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_31)) + (portRef D (instanceRef psum1_saved_31)) + )) + (net (rename A1_product_signed_32 "A1_product_signed(32)") (joined + (portRef (member P 41) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_35)) + (portRef I0 (instanceRef psum1_signed_cry_32_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_32)) + )) + (net (rename psum1_signed_cryZ0Z_31 "psum1_signed_cry_31") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_31)) + (portRef CI (instanceRef psum1_signed_cry_35)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_32)) + )) + (net (rename psum1_saved_3_32 "psum1_saved_3(32)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_32)) + (portRef D (instanceRef psum1_saved_32)) + )) + (net (rename A1_product_signed_27 "A1_product_signed(27)") (joined + (portRef (member P 46) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_27)) + (portRef I0 (instanceRef psum1_signed_cry_27_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_27)) + )) + (net psum1_signed_cry_26 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_27)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_27)) + )) + (net (rename psum1_saved_3_27 "psum1_saved_3(27)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_27)) + (portRef D (instanceRef psum1_saved_27)) + )) + (net (rename A1_product_signed_28 "A1_product_signed(28)") (joined + (portRef (member P 45) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_31)) + (portRef I0 (instanceRef psum1_signed_cry_28_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_28)) + )) + (net (rename psum1_signed_cryZ0Z_27 "psum1_signed_cry_27") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_27)) + (portRef CI (instanceRef psum1_signed_cry_31)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_28)) + )) + (net (rename psum1_saved_3_28 "psum1_saved_3(28)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_28)) + (portRef D (instanceRef psum1_saved_28)) + )) + (net (rename A1_product_signed_26 "A1_product_signed(26)") (joined + (portRef (member P 47) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_27)) + (portRef I0 (instanceRef psum1_signed_cry_26_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_26)) + )) + (net psum1_signed_cry_25 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_27)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_26)) + )) + (net (rename psum1_saved_3_26 "psum1_saved_3(26)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_26)) + (portRef D (instanceRef psum1_saved_26)) + )) + (net (rename A1_product_signed_25 "A1_product_signed(25)") (joined + (portRef (member P 39) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_27)) + (portRef I0 (instanceRef psum1_signed_cry_25_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_25)) + )) + (net psum1_signed_cry_24 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_27)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_25)) + )) + (net (rename psum1_saved_3_25 "psum1_saved_3(25)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_25)) + (portRef D (instanceRef psum1_saved_25)) + )) + (net (rename A1_product_signed_21 "A1_product_signed(21)") (joined + (portRef (member P 43) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_23)) + (portRef I0 (instanceRef psum1_signed_cry_21_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) + )) + (net psum1_signed_cry_20 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_23)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) + )) + (net (rename psum1_saved_3_21 "psum1_saved_3(21)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) + (portRef D (instanceRef psum1_saved_21)) + )) + (net (rename A1_product_signed_22 "A1_product_signed(22)") (joined + (portRef (member P 42) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_23)) + (portRef I0 (instanceRef psum1_signed_cry_22_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) + )) + (net psum1_signed_cry_21 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_23)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) + )) + (net (rename psum1_saved_3_22 "psum1_saved_3(22)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) + (portRef D (instanceRef psum1_saved_22)) + )) + (net (rename A1_product_signed_23 "A1_product_signed(23)") (joined + (portRef (member P 41) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_23)) + (portRef I0 (instanceRef psum1_signed_cry_23_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_23)) + )) + (net psum1_signed_cry_22 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_23)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_23)) + )) + (net (rename psum1_saved_3_23 "psum1_saved_3(23)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_23)) + (portRef D (instanceRef psum1_saved_23)) + )) + (net (rename A1_product_signed_24 "A1_product_signed(24)") (joined + (portRef (member P 40) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_27)) + (portRef I0 (instanceRef psum1_signed_cry_24_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_24)) + )) + (net (rename psum1_signed_cryZ0Z_23 "psum1_signed_cry_23") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_23)) + (portRef CI (instanceRef psum1_signed_cry_27)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_24)) + )) + (net (rename psum1_saved_3_24 "psum1_saved_3(24)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_24)) + (portRef D (instanceRef psum1_saved_24)) + )) + (net (rename A1_product_signed_20 "A1_product_signed(20)") (joined + (portRef (member P 44) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_23)) + (portRef I0 (instanceRef psum1_signed_cry_20_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_20)) + )) + (net (rename psum1_signed_cryZ0Z_19 "psum1_signed_cry_19") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_19)) + (portRef CI (instanceRef psum1_signed_cry_23)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_20)) + )) + (net (rename psum1_saved_3_20 "psum1_saved_3(20)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_20)) + (portRef D (instanceRef psum1_saved_20)) + )) + (net (rename A1_product_signed_17 "A1_product_signed(17)") (joined + (portRef (member P 47) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_19)) + (portRef I0 (instanceRef psum1_signed_cry_17_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_17)) + )) + (net psum1_signed_cry_16 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_19)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_17)) + )) + (net (rename psum1_saved_3_17 "psum1_saved_3(17)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_17)) + (portRef D (instanceRef psum1_saved_17)) + )) + (net (rename A1_product_signed_18 "A1_product_signed(18)") (joined + (portRef (member P 46) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_19)) + (portRef I0 (instanceRef psum1_signed_cry_18_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_18)) + )) + (net psum1_signed_cry_17 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_19)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_18)) + )) + (net (rename psum1_saved_3_18 "psum1_saved_3(18)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_18)) + (portRef D (instanceRef psum1_saved_18)) + )) + (net (rename A1_product_signed_19 "A1_product_signed(19)") (joined + (portRef (member P 45) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_19)) + (portRef I0 (instanceRef psum1_signed_cry_19_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_19)) + )) + (net psum1_signed_cry_18 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_19)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_19)) + )) + (net (rename psum1_saved_3_19 "psum1_saved_3(19)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_19)) + (portRef D (instanceRef psum1_saved_19)) + )) + (net (rename A1_product_signed_13 "A1_product_signed(13)") (joined + (portRef (member P 34) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_15)) + (portRef I0 (instanceRef psum1_signed_cry_13_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_13)) + )) + (net psum1_signed_cry_12 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_15)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_13)) + )) + (net (rename psum1_saved_3_13 "psum1_saved_3(13)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_13)) + (portRef D (instanceRef psum1_saved_13)) + )) + (net (rename A1_product_signed_14 "A1_product_signed(14)") (joined + (portRef (member P 33) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_15)) + (portRef I0 (instanceRef psum1_signed_cry_14_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_14)) + )) + (net psum1_signed_cry_13 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_15)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_14)) + )) + (net (rename psum1_saved_3_14 "psum1_saved_3(14)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_14)) + (portRef D (instanceRef psum1_saved_14)) + )) + (net (rename A1_product_signed_15 "A1_product_signed(15)") (joined + (portRef (member P 32) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_15)) + (portRef I0 (instanceRef psum1_signed_cry_15_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_15)) + )) + (net psum1_signed_cry_14 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_15)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_15)) + )) + (net (rename psum1_saved_3_15 "psum1_saved_3(15)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_15)) + (portRef D (instanceRef psum1_saved_15)) + )) + (net (rename A1_product_signed_16 "A1_product_signed(16)") (joined + (portRef (member P 31) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_19)) + (portRef I0 (instanceRef psum1_signed_cry_16_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_16)) + )) + (net (rename psum1_signed_cryZ0Z_15 "psum1_signed_cry_15") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_15)) + (portRef CI (instanceRef psum1_signed_cry_19)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_16)) + )) + (net (rename psum1_saved_3_16 "psum1_saved_3(16)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_16)) + (portRef D (instanceRef psum1_saved_16)) + )) + (net (rename A1_product_signed_11 "A1_product_signed(11)") (joined + (portRef (member P 36) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_11)) + (portRef I0 (instanceRef psum1_signed_cry_11_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_11)) + )) + (net psum1_signed_cry_10 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_11)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_11)) + )) + (net (rename psum1_saved_3_11 "psum1_saved_3(11)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_11)) + (portRef D (instanceRef psum1_saved_11)) + )) + (net (rename A1_product_signed_10 "A1_product_signed(10)") (joined + (portRef (member P 37) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_11)) + (portRef I0 (instanceRef psum1_signed_cry_10_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_10)) + )) + (net psum1_signed_cry_9 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_11)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_10)) + )) + (net (rename psum1_saved_3_10 "psum1_saved_3(10)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_10)) + (portRef D (instanceRef psum1_saved_10)) + )) + (net (rename A1_product_signed_12 "A1_product_signed(12)") (joined + (portRef (member P 35) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_15)) + (portRef I0 (instanceRef psum1_signed_cry_12_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_12)) + )) + (net (rename psum1_signed_cryZ0Z_11 "psum1_signed_cry_11") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_11)) + (portRef CI (instanceRef psum1_signed_cry_15)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_12)) + )) + (net (rename psum1_saved_3_12 "psum1_saved_3(12)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_12)) + (portRef D (instanceRef psum1_saved_12)) + )) + (net (rename A1_product_signed_9 "A1_product_signed(9)") (joined + (portRef (member P 38) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_11)) + (portRef I0 (instanceRef psum1_signed_cry_9_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) + )) + (net psum1_signed_cry_8 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_11)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) + )) + (net (rename psum1_saved_3_9 "psum1_saved_3(9)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) + (portRef D (instanceRef psum1_saved_9)) + )) + (net (rename A1_product_signed_7 "A1_product_signed(7)") (joined + (portRef (member P 40) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_7)) + (portRef I0 (instanceRef psum1_signed_cry_7_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) + )) + (net psum1_signed_cry_6 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_7)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) + )) + (net (rename psum1_saved_3_7 "psum1_saved_3(7)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) + (portRef D (instanceRef psum1_saved_7)) + )) + (net (rename A1_product_signed_6 "A1_product_signed(6)") (joined + (portRef (member P 41) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_7)) + (portRef I0 (instanceRef psum1_signed_cry_6_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) + )) + (net psum1_signed_cry_5 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_7)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) + )) + (net (rename psum1_saved_3_6 "psum1_saved_3(6)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) + (portRef D (instanceRef psum1_saved_6)) + )) + (net (rename A1_product_signed_8 "A1_product_signed(8)") (joined + (portRef (member P 39) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_11)) + (portRef I0 (instanceRef psum1_signed_cry_8_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_8)) + )) + (net (rename psum1_signed_cryZ0Z_7 "psum1_signed_cry_7") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_7)) + (portRef CI (instanceRef psum1_signed_cry_11)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_8)) + )) + (net (rename psum1_saved_3_8 "psum1_saved_3(8)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_8)) + (portRef D (instanceRef psum1_saved_8)) + )) + (net (rename A1_product_signed_5 "A1_product_signed(5)") (joined + (portRef (member P 42) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_7)) + (portRef I0 (instanceRef psum1_signed_cry_5_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) + )) + (net psum1_signed_cry_4 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_7)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) + )) + (net (rename psum1_saved_3_5 "psum1_saved_3(5)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) + (portRef D (instanceRef psum1_saved_5)) + )) + (net (rename A1_product_signed_4 "A1_product_signed(4)") (joined + (portRef (member P 43) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_7)) + (portRef I0 (instanceRef psum1_signed_cry_4_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) + )) + (net (rename psum1_signed_cryZ0Z_3 "psum1_signed_cry_3") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_3)) + (portRef CI (instanceRef psum1_signed_cry_7)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) + )) + (net (rename psum1_saved_3_4 "psum1_saved_3(4)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) + (portRef D (instanceRef psum1_saved_4)) + )) + (net (rename A1_product_signed_3 "A1_product_signed(3)") (joined + (portRef (member P 44) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_3)) + (portRef I0 (instanceRef psum1_signed_cry_3_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_3)) + )) + (net psum1_signed_cry_2 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_3)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_3)) + )) + (net (rename psum1_saved_3_3 "psum1_saved_3(3)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_3)) + (portRef D (instanceRef psum1_saved_3)) + )) + (net (rename A1_product_signed_2 "A1_product_signed(2)") (joined + (portRef (member P 45) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_3)) + (portRef I0 (instanceRef psum1_signed_cry_2_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_2)) + )) + (net psum1_signed_cry_1 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_3)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_2)) + )) + (net (rename psum1_saved_3_2 "psum1_saved_3(2)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_2)) + (portRef D (instanceRef psum1_saved_2)) + )) + (net (rename A1_product_signed_1 "A1_product_signed(1)") (joined + (portRef (member P 46) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_3)) + (portRef I0 (instanceRef psum1_signed_cry_1_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_1)) + )) + (net psum1_signed_cry_0 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_3)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_1)) + )) + (net (rename psum1_saved_3_1 "psum1_saved_3(1)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_1)) + (portRef D (instanceRef psum1_saved_1)) + )) + (net (rename A1_product_signed_1_65 "A1_product_signed_1(65)") (joined + (portRef O (instanceRef psum1_signed_s_65_thru)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_65)) + )) + (net psum1_signed_cry_64 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_67)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_65)) + )) + (net psum1_saved_3_7_3 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_65)) + (portRef D (instanceRef psum1_saved_65)) + )) + (net (rename A1_product_signedAdd_0_9 "A1_product_signedAdd_0(9)") (joined + (portRef (member P 38) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 47) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_10 "A1_product_signedAdd_0(10)") (joined + (portRef (member P 37) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 46) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_11 "A1_product_signedAdd_0(11)") (joined + (portRef (member P 36) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 45) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_12 "A1_product_signedAdd_0(12)") (joined + (portRef (member P 35) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 44) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_13 "A1_product_signedAdd_0(13)") (joined + (portRef (member P 34) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 43) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_14 "A1_product_signedAdd_0(14)") (joined + (portRef (member P 33) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 42) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_15 "A1_product_signedAdd_0(15)") (joined + (portRef (member P 32) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 41) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_16 "A1_product_signedAdd_0(16)") (joined + (portRef (member P 31) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 40) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_17 "A1_product_signedAdd_0(17)") (joined + (portRef (member P 30) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 39) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_18 "A1_product_signedAdd_0(18)") (joined + (portRef (member P 29) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 38) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_19 "A1_product_signedAdd_0(19)") (joined + (portRef (member P 28) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 37) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_20 "A1_product_signedAdd_0(20)") (joined + (portRef (member P 27) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 36) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_21 "A1_product_signedAdd_0(21)") (joined + (portRef (member P 26) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 35) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_22 "A1_product_signedAdd_0(22)") (joined + (portRef (member P 25) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 34) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_23 "A1_product_signedAdd_0(23)") (joined + (portRef (member P 24) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 33) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_24 "A1_product_signedAdd_0(24)") (joined + (portRef (member P 23) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 32) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_25 "A1_product_signedAdd_0(25)") (joined + (portRef (member P 22) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 31) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_26 "A1_product_signedAdd_0(26)") (joined + (portRef (member P 21) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 30) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_27 "A1_product_signedAdd_0(27)") (joined + (portRef (member P 20) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 29) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_28 "A1_product_signedAdd_0(28)") (joined + (portRef (member P 19) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 28) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_29 "A1_product_signedAdd_0(29)") (joined + (portRef (member P 18) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 27) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_30 "A1_product_signedAdd_0(30)") (joined + (portRef (member P 17) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 26) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_31 "A1_product_signedAdd_0(31)") (joined + (portRef (member P 16) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 25) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_32 "A1_product_signedAdd_0(32)") (joined + (portRef (member P 15) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 24) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_33 "A1_product_signedAdd_0(33)") (joined + (portRef (member P 14) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 23) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_34 "A1_product_signedAdd_0(34)") (joined + (portRef (member P 13) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 22) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_35 "A1_product_signedAdd_0(35)") (joined + (portRef (member P 12) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 21) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_36 "A1_product_signedAdd_0(36)") (joined + (portRef (member P 11) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 20) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_37 "A1_product_signedAdd_0(37)") (joined + (portRef (member P 10) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 19) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_38 "A1_product_signedAdd_0(38)") (joined + (portRef (member P 9) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 18) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_39 "A1_product_signedAdd_0(39)") (joined + (portRef (member P 8) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 17) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_40 "A1_product_signedAdd_0(40)") (joined + (portRef (member P 7) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 16) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_41 "A1_product_signedAdd_0(41)") (joined + (portRef (member P 6) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 15) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_42 "A1_product_signedAdd_0(42)") (joined + (portRef (member P 5) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 5) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 6) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 7) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 8) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 9) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 10) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 11) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 12) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 13) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 14) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename GNDZ0 "GND") (joined + (portRef G (instanceRef GND)) + (portRef (member S 0) (instanceRef psum1_signed_s_69)) + (portRef (member S 1) (instanceRef psum1_signed_s_69)) + (portRef (member DI 0) (instanceRef psum1_signed_s_69)) + (portRef (member DI 1) (instanceRef psum1_signed_s_69)) + (portRef (member DI 2) (instanceRef psum1_signed_s_69)) + (portRef (member DI 3) (instanceRef psum1_signed_s_69)) + (portRef CYINIT (instanceRef psum1_signed_s_69)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_67)) + (portRef CYINIT (instanceRef psum1_signed_cry_67)) + (portRef CYINIT (instanceRef psum1_signed_cry_63)) + (portRef CYINIT (instanceRef psum1_signed_cry_59)) + (portRef CYINIT (instanceRef psum1_signed_cry_55)) + (portRef CYINIT (instanceRef psum1_signed_cry_51)) + (portRef CYINIT (instanceRef psum1_signed_cry_47)) + (portRef CYINIT (instanceRef psum1_signed_cry_43)) + (portRef CYINIT (instanceRef psum1_signed_cry_39)) + (portRef CYINIT (instanceRef psum1_signed_cry_35)) + (portRef CYINIT (instanceRef psum1_signed_cry_31)) + (portRef CYINIT (instanceRef psum1_signed_cry_27)) + (portRef CYINIT (instanceRef psum1_signed_cry_23)) + (portRef CYINIT (instanceRef psum1_signed_cry_19)) + (portRef CYINIT (instanceRef psum1_signed_cry_15)) + (portRef CYINIT (instanceRef psum1_signed_cry_11)) + (portRef CYINIT (instanceRef psum1_signed_cry_7)) + (portRef CYINIT (instanceRef psum1_signed_cry_3)) + (portRef CI (instanceRef psum1_signed_cry_3)) + (portRef (member S 0) (instanceRef un1_psum0_signed_s_70)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_s_70)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_s_70)) + (portRef CYINIT (instanceRef un1_psum0_signed_s_70)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_67)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_63)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_59)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_55)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_51)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_47)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_43)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_39)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_35)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_31)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_27)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_23)) + (portRef CI (instanceRef un1_psum0_signed_cry_23)) + (portRef RSTP (instanceRef B0_product_signed_0_33_0)) + (portRef RSTM (instanceRef B0_product_signed_0_33_0)) + (portRef RSTINMODE (instanceRef B0_product_signed_0_33_0)) + (portRef RSTD (instanceRef B0_product_signed_0_33_0)) + (portRef RSTCTRL (instanceRef B0_product_signed_0_33_0)) + (portRef RSTC (instanceRef B0_product_signed_0_33_0)) + (portRef RSTB (instanceRef B0_product_signed_0_33_0)) + (portRef RSTALUMODE (instanceRef B0_product_signed_0_33_0)) + (portRef RSTALLCARRYIN (instanceRef B0_product_signed_0_33_0)) + (portRef RSTA (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 5) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 6) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 7) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 8) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 9) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 10) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 11) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 12) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 13) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 14) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 15) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 16) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 17) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 18) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 19) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 20) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 21) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 22) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 23) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 24) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 25) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 26) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 27) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 28) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 29) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 30) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 31) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 32) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 33) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 34) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 35) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 36) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 37) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 38) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 39) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 40) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 41) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 42) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 43) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 44) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 45) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 46) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 47) (instanceRef B0_product_signed_0_33_0)) + (portRef (member OPMODE 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member OPMODE 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member OPMODE 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member OPMODE 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member OPMODE 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member OPMODE 5) (instanceRef B0_product_signed_0_33_0)) + (portRef (member OPMODE 7) (instanceRef B0_product_signed_0_33_0)) + (portRef MULTSIGNIN (instanceRef B0_product_signed_0_33_0)) + (portRef (member INMODE 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member INMODE 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member INMODE 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member INMODE 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member INMODE 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 5) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 6) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 7) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 8) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 9) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 10) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 11) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 12) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 13) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 14) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 15) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 16) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 17) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 18) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 19) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 20) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 21) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 22) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 23) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 24) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 25) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 26) (instanceRef B0_product_signed_0_33_0)) + (portRef CLK (instanceRef B0_product_signed_0_33_0)) + (portRef CEP (instanceRef B0_product_signed_0_33_0)) + (portRef CEM (instanceRef B0_product_signed_0_33_0)) + (portRef CEINMODE (instanceRef B0_product_signed_0_33_0)) + (portRef CED (instanceRef B0_product_signed_0_33_0)) + (portRef CECTRL (instanceRef B0_product_signed_0_33_0)) + (portRef CECARRYIN (instanceRef B0_product_signed_0_33_0)) + (portRef CEC (instanceRef B0_product_signed_0_33_0)) + (portRef CEB2 (instanceRef B0_product_signed_0_33_0)) + (portRef CEB1 (instanceRef B0_product_signed_0_33_0)) + (portRef CEALUMODE (instanceRef B0_product_signed_0_33_0)) + (portRef CEAD (instanceRef B0_product_signed_0_33_0)) + (portRef CEA2 (instanceRef B0_product_signed_0_33_0)) + (portRef CEA1 (instanceRef B0_product_signed_0_33_0)) + (portRef (member CARRYINSEL 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member CARRYINSEL 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member CARRYINSEL 2) (instanceRef B0_product_signed_0_33_0)) + (portRef CARRYIN (instanceRef B0_product_signed_0_33_0)) + (portRef CARRYCASCIN (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 5) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 6) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 7) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 8) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 9) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 10) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 11) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 12) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 13) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 14) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 15) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 16) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 17) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 18) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 19) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 20) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 21) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 22) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 23) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 24) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 25) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 26) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 27) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 28) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 29) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 30) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 31) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 32) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 33) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 34) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 35) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 36) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 37) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 38) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 39) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 40) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 41) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 42) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 43) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 44) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 45) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 46) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 47) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 5) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 6) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 7) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 8) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 9) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 10) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 11) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 12) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 13) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 14) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 15) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 16) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 17) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ALUMODE 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ALUMODE 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ALUMODE 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ALUMODE 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 5) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 6) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 7) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 8) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 9) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 10) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 11) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 12) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 13) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 14) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 15) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 16) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 17) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 18) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 19) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 20) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 21) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 22) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 23) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 24) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 25) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 26) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 27) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 28) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 29) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 5) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 6) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 7) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 8) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 9) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 10) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 11) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 12) (instanceRef B0_product_signed_0_33_0)) + (portRef RSTP (instanceRef A1_product_signed_0_44_0)) + (portRef RSTM (instanceRef A1_product_signed_0_44_0)) + (portRef RSTINMODE (instanceRef A1_product_signed_0_44_0)) + (portRef RSTD (instanceRef A1_product_signed_0_44_0)) + (portRef RSTCTRL (instanceRef A1_product_signed_0_44_0)) + (portRef RSTC (instanceRef A1_product_signed_0_44_0)) + (portRef RSTB (instanceRef A1_product_signed_0_44_0)) + (portRef RSTALUMODE (instanceRef A1_product_signed_0_44_0)) + (portRef RSTALLCARRYIN (instanceRef A1_product_signed_0_44_0)) + (portRef RSTA (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 5) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 6) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 7) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 8) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 9) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 10) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 11) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 12) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 13) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 14) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 15) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 16) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 17) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 18) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 19) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 20) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 21) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 22) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 23) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 24) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 25) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 26) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 27) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 28) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 29) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 30) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 31) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 32) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 33) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 34) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 35) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 36) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 37) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 38) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 39) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 40) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 41) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 42) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 43) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 44) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 45) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 46) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 47) (instanceRef A1_product_signed_0_44_0)) + (portRef (member OPMODE 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member OPMODE 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member OPMODE 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member OPMODE 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member OPMODE 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member OPMODE 5) (instanceRef A1_product_signed_0_44_0)) + (portRef (member OPMODE 7) (instanceRef A1_product_signed_0_44_0)) + (portRef MULTSIGNIN (instanceRef A1_product_signed_0_44_0)) + (portRef (member INMODE 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member INMODE 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member INMODE 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member INMODE 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member INMODE 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 5) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 6) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 7) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 8) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 9) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 10) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 11) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 12) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 13) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 14) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 15) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 16) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 17) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 18) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 19) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 20) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 21) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 22) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 23) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 24) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 25) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 26) (instanceRef A1_product_signed_0_44_0)) + (portRef CLK (instanceRef A1_product_signed_0_44_0)) + (portRef CEP (instanceRef A1_product_signed_0_44_0)) + (portRef CEM (instanceRef A1_product_signed_0_44_0)) + (portRef CEINMODE (instanceRef A1_product_signed_0_44_0)) + (portRef CED (instanceRef A1_product_signed_0_44_0)) + (portRef CECTRL (instanceRef A1_product_signed_0_44_0)) + (portRef CECARRYIN (instanceRef A1_product_signed_0_44_0)) + (portRef CEC (instanceRef A1_product_signed_0_44_0)) + (portRef CEB2 (instanceRef A1_product_signed_0_44_0)) + (portRef CEB1 (instanceRef A1_product_signed_0_44_0)) + (portRef CEALUMODE (instanceRef A1_product_signed_0_44_0)) + (portRef CEAD (instanceRef A1_product_signed_0_44_0)) + (portRef CEA2 (instanceRef A1_product_signed_0_44_0)) + (portRef CEA1 (instanceRef A1_product_signed_0_44_0)) + (portRef (member CARRYINSEL 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member CARRYINSEL 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member CARRYINSEL 2) (instanceRef A1_product_signed_0_44_0)) + (portRef CARRYIN (instanceRef A1_product_signed_0_44_0)) + (portRef CARRYCASCIN (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 5) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 6) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 7) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 8) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 9) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 10) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 11) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 12) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 13) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 14) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 15) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 16) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 17) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 18) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 19) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 20) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 21) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 22) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 23) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 24) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 25) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 26) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 27) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 28) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 29) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 30) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 31) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 32) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 33) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 34) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 35) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 36) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 37) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 38) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 39) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 40) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 41) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 42) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 43) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 44) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 45) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 46) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 47) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 5) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 6) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 7) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 8) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 9) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 10) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 11) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 12) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 13) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 14) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 15) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 16) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 17) (instanceRef A1_product_signed_0_44_0)) + (portRef (member B 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ALUMODE 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ALUMODE 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ALUMODE 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ALUMODE 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 5) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 6) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 7) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 8) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 9) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 10) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 11) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 12) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 13) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 14) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 15) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 16) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 17) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 18) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 19) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 20) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 21) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 22) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 23) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 24) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 25) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 26) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 27) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 28) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 29) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 3) (instanceRef A1_product_signed_0_44_0)) + (portRef RSTP (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTM (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTINMODE (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTD (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTCTRL (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTC (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTB (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTALUMODE (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTALLCARRYIN (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTA (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member OPMODE 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member OPMODE 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member OPMODE 3) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member OPMODE 5) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member OPMODE 7) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef MULTSIGNIN (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member INMODE 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member INMODE 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member INMODE 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member INMODE 3) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member INMODE 4) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 3) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 4) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 5) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 6) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 7) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 8) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 9) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 10) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 11) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 12) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 13) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 14) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 15) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 16) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 17) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 18) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 19) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 20) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 21) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 22) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 23) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 24) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 25) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 26) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CLK (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEP (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEM (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEINMODE (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CED (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CECTRL (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CECARRYIN (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEC (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEB2 (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEB1 (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEALUMODE (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEAD (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEA2 (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEA1 (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member CARRYINSEL 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member CARRYINSEL 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member CARRYINSEL 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CARRYIN (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CARRYCASCIN (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 3) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 4) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 5) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 6) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 7) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 8) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 9) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 10) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 11) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 12) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 13) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 14) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 15) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 16) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 17) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 18) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 19) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 20) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 21) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 22) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 23) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 24) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 25) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 26) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 27) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 28) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 29) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 30) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 31) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 32) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 33) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 34) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 35) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 36) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 37) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 38) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 39) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 40) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 41) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 42) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 43) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 44) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 45) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 46) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 47) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 3) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 4) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 5) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 6) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 7) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 8) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 9) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 10) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 11) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 12) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 13) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 14) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 15) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 16) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 17) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ALUMODE 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ALUMODE 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ALUMODE 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ALUMODE 3) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 3) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 4) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 5) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 6) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 7) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 8) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 9) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 10) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 11) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 12) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 13) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 14) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 15) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 16) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 17) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 18) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 19) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 20) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 21) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 22) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 23) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 24) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 25) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 26) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 27) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 28) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 29) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTP (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTM (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTINMODE (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTD (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTCTRL (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTC (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTB (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTALUMODE (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTALLCARRYIN (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTA (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member OPMODE 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member OPMODE 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member OPMODE 3) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member OPMODE 5) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member OPMODE 7) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef MULTSIGNIN (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member INMODE 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member INMODE 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member INMODE 2) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member INMODE 3) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member INMODE 4) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 2) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 3) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 4) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 5) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 6) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 7) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 8) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 9) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 10) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 11) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 12) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 13) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 14) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 15) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 16) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 17) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 18) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 19) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 20) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 21) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 22) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 23) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 24) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 25) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 26) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CLK (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEP (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEM (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEINMODE (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CED (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CECTRL (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CECARRYIN (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEC (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEB2 (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEB1 (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEALUMODE (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEAD (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEA2 (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEA1 (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member CARRYINSEL 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member CARRYINSEL 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member CARRYINSEL 2) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CARRYIN (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CARRYCASCIN (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 2) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 3) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 4) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 5) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 6) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 7) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 8) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 9) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 10) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 11) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 12) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 13) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 14) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 15) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 16) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 17) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 18) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 19) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 20) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 21) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 22) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 23) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 24) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 25) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 26) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 27) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 28) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 29) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 30) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 31) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 32) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 33) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 34) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 35) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 36) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 37) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 38) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 39) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 40) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 41) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 42) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 43) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 44) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 45) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 46) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 47) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 2) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 3) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 4) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 5) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 6) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 7) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 8) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 9) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 10) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 11) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 12) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 13) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 14) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 15) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 16) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 17) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ALUMODE 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ALUMODE 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ALUMODE 2) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ALUMODE 3) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 2) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 3) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 4) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 5) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 6) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 7) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 8) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 9) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 10) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 11) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 12) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 13) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 14) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 15) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 16) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 17) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 18) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 19) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 20) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 21) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 22) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 23) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 24) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 25) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 26) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 27) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 28) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 29) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTP (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTM (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTINMODE (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTD (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTCTRL (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTC (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTB (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTALUMODE (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTALLCARRYIN (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTA (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 5) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 6) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 7) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 8) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 9) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 10) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 11) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 12) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 13) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 14) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 15) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 16) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 17) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 18) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 19) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 20) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 21) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 22) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 23) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 24) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 25) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 26) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 27) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 28) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 29) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 30) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 31) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 32) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 33) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 34) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 35) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 36) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 37) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 38) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 39) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 40) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 41) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 42) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 43) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 44) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 45) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 46) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 47) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member OPMODE 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member OPMODE 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member OPMODE 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member OPMODE 5) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member OPMODE 7) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef MULTSIGNIN (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member INMODE 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member INMODE 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member INMODE 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member INMODE 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member INMODE 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 5) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 6) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 7) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 8) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 9) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 10) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 11) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 12) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 13) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 14) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 15) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 16) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 17) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 18) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 19) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 20) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 21) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 22) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 23) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 24) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 25) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 26) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CLK (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEP (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEM (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEINMODE (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CED (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CECTRL (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CECARRYIN (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEC (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEB2 (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEB1 (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEALUMODE (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEAD (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEA2 (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEA1 (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member CARRYINSEL 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member CARRYINSEL 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member CARRYINSEL 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CARRYIN (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CARRYCASCIN (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 5) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 6) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 7) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 8) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 9) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 10) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 11) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 12) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 13) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 14) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 15) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 16) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 17) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ALUMODE 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ALUMODE 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ALUMODE 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ALUMODE 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 5) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 6) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 7) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 8) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 9) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 10) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 11) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 12) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 13) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 14) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 15) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 16) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 17) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 18) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 19) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 20) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 21) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 22) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 23) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 24) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 25) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 26) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 27) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 28) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 29) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 5) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 6) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 7) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 8) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 9) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 10) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 11) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 12) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTP (instanceRef A1_product_signedAdd_0_42_0)) + (portRef RSTM (instanceRef A1_product_signedAdd_0_42_0)) + (portRef RSTINMODE (instanceRef A1_product_signedAdd_0_42_0)) + (portRef RSTD (instanceRef A1_product_signedAdd_0_42_0)) + (portRef RSTCTRL (instanceRef A1_product_signedAdd_0_42_0)) + (portRef RSTC (instanceRef A1_product_signedAdd_0_42_0)) + (portRef RSTB (instanceRef A1_product_signedAdd_0_42_0)) + (portRef RSTALUMODE (instanceRef A1_product_signedAdd_0_42_0)) + (portRef RSTALLCARRYIN (instanceRef A1_product_signedAdd_0_42_0)) + (portRef RSTA (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member OPMODE 0) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member OPMODE 1) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member OPMODE 3) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member OPMODE 5) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member OPMODE 7) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef MULTSIGNIN (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member INMODE 0) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member INMODE 1) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member INMODE 2) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member INMODE 3) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member INMODE 4) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 0) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 1) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 2) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 3) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 4) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 5) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 6) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 7) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 8) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 9) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 10) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 11) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 12) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 13) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 14) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 15) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 16) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 17) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 18) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 19) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 20) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 21) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 22) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 23) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 24) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 25) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 26) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CLK (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEP (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEM (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEINMODE (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CED (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CECTRL (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CECARRYIN (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEC (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEB2 (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEB1 (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEALUMODE (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEAD (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEA2 (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEA1 (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member CARRYINSEL 0) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member CARRYINSEL 1) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member CARRYINSEL 2) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CARRYIN (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CARRYCASCIN (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 0) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 1) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 2) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 3) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 4) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 5) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 6) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 7) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 8) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 9) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 10) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 11) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 12) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 13) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 14) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 15) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 16) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 17) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 18) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 19) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 20) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 21) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 22) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 23) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 24) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 25) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 26) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 27) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 28) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 29) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 30) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 31) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 32) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 33) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 34) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 35) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 36) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 37) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 38) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 39) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 40) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 41) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 42) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 43) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 44) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 45) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 46) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 47) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 0) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 1) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 2) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 3) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 4) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 5) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 6) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 7) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 8) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 9) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 10) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 11) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 12) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 13) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 14) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 15) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 16) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 17) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member ALUMODE 0) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member ALUMODE 1) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member ALUMODE 2) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member ALUMODE 3) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 0) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 1) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 2) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 3) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 4) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 5) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 6) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 7) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 8) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 9) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 10) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 11) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 12) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 13) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 14) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 15) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 16) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 17) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 18) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 19) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 20) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 21) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 22) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 23) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 24) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 25) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 26) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 27) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 28) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 29) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_0 "feedback_data_0(0)") (joined + (portRef (member ACOUT 29) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 29) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_48_i_0 (joined + (portRef (member ACOUT 28) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 28) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_2 "feedback_data_0(2)") (joined + (portRef (member ACOUT 27) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 27) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_3 "feedback_data_0(3)") (joined + (portRef (member ACOUT 26) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 26) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_4 "feedback_data_0(4)") (joined + (portRef (member ACOUT 25) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 25) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_44_i_0 (joined + (portRef (member ACOUT 24) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 24) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_40_i_0 (joined + (portRef (member ACOUT 23) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 23) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_7 "feedback_data_0(7)") (joined + (portRef (member ACOUT 22) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 22) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_8 "feedback_data_0(8)") (joined + (portRef (member ACOUT 21) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 21) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_9 "feedback_data_0(9)") (joined + (portRef (member ACOUT 20) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 20) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_10 "feedback_data_0(10)") (joined + (portRef (member ACOUT 19) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 19) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_41_i_0 (joined + (portRef (member ACOUT 18) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 18) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_12 "feedback_data_0(12)") (joined + (portRef (member ACOUT 17) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 17) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_13 "feedback_data_0(13)") (joined + (portRef (member ACOUT 16) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 16) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_14 "feedback_data_0(14)") (joined + (portRef (member ACOUT 15) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 15) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_15 "feedback_data_0(15)") (joined + (portRef (member ACOUT 14) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 14) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_36_i_0 (joined + (portRef (member ACOUT 13) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 13) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_32_i_0 (joined + (portRef (member ACOUT 12) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 12) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_28_i_0 (joined + (portRef (member ACOUT 11) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 11) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_19 "feedback_data_0(19)") (joined + (portRef (member ACOUT 10) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 10) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_24_i_0 (joined + (portRef (member ACOUT 9) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 9) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_20_i_0 (joined + (portRef (member ACOUT 8) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 8) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_22 "feedback_data_0(22)") (joined + (portRef (member ACOUT 7) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 7) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_37_i_0 (joined + (portRef (member ACOUT 6) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 6) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_33_i_0 (joined + (portRef (member ACOUT 5) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 5) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_29_i_0 (joined + (portRef (member ACOUT 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 4) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net GND_4 (joined + (portRef (member ACOUT 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 3) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net GND_5 (joined + (portRef (member ACOUT 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 2) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net GND_6 (joined + (portRef (member ACOUT 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 1) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net GND_7 (joined + (portRef (member ACOUT 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 0) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b1_re_17 "b1_re(17)") (joined + (portRef (member b1_re 14)) + (portRef (member A 29) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 17) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b1_re_18 "b1_re(18)") (joined + (portRef (member b1_re 13)) + (portRef (member A 28) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 16) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b1_re_19 "b1_re(19)") (joined + (portRef (member b1_re 12)) + (portRef (member A 27) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 15) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b1_re_20 "b1_re(20)") (joined + (portRef (member b1_re 11)) + (portRef (member A 26) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 14) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b1_re_21 "b1_re(21)") (joined + (portRef (member b1_re 10)) + (portRef (member A 25) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 13) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b1_re_22 "b1_re(22)") (joined + (portRef (member b1_re 9)) + (portRef (member A 24) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 12) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b1_re_23 "b1_re(23)") (joined + (portRef (member b1_re 8)) + (portRef (member A 23) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 11) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b1_re_24 "b1_re(24)") (joined + (portRef (member b1_re 7)) + (portRef (member A 22) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 10) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b1_re_25 "b1_re(25)") (joined + (portRef (member b1_re 6)) + (portRef (member A 21) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 9) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b1_re_26 "b1_re(26)") (joined + (portRef (member b1_re 5)) + (portRef (member A 20) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 8) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b1_re_27 "b1_re(27)") (joined + (portRef (member b1_re 4)) + (portRef (member A 19) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 7) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b1_re_28 "b1_re(28)") (joined + (portRef (member b1_re 3)) + (portRef (member A 18) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 6) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b1_re_29 "b1_re(29)") (joined + (portRef (member b1_re 2)) + (portRef (member A 17) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 5) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b1_re_30 "b1_re(30)") (joined + (portRef (member b1_re 1)) + (portRef (member A 16) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 4) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b1_re_31 "b1_re(31)") (joined + (portRef (member b1_re 0)) + (portRef (member A 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 3) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 4) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 5) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 6) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 7) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 8) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 9) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 10) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 11) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 12) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 13) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 14) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 15) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 0) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member B 1) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member B 2) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member B 3) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename VCCZ0 "VCC") (joined + (portRef P (instanceRef VCC)) + (portRef (member OPMODE 6) (instanceRef B0_product_signed_0_33_0)) + (portRef (member OPMODE 8) (instanceRef B0_product_signed_0_33_0)) + (portRef (member OPMODE 6) (instanceRef A1_product_signed_0_44_0)) + (portRef (member OPMODE 8) (instanceRef A1_product_signed_0_44_0)) + (portRef (member OPMODE 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member OPMODE 4) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member OPMODE 6) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member OPMODE 8) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member OPMODE 2) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member OPMODE 4) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member OPMODE 6) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member OPMODE 8) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member OPMODE 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member OPMODE 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member OPMODE 6) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member OPMODE 8) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member OPMODE 2) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member OPMODE 4) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member OPMODE 6) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member OPMODE 8) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0 "A1_product_signed_0(0)") (joined + (portRef (member PCOUT 47) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 47) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_1 "A1_product_signed_0(1)") (joined + (portRef (member PCOUT 46) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 46) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_2 "A1_product_signed_0(2)") (joined + (portRef (member PCOUT 45) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 45) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_3 "A1_product_signed_0(3)") (joined + (portRef (member PCOUT 44) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 44) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_4 "A1_product_signed_0(4)") (joined + (portRef (member PCOUT 43) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 43) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_5 "A1_product_signed_0(5)") (joined + (portRef (member PCOUT 42) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 42) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_6 "A1_product_signed_0(6)") (joined + (portRef (member PCOUT 41) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 41) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_7 "A1_product_signed_0(7)") (joined + (portRef (member PCOUT 40) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 40) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_8 "A1_product_signed_0(8)") (joined + (portRef (member PCOUT 39) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 39) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_9 "A1_product_signed_0(9)") (joined + (portRef (member PCOUT 38) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 38) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_10 "A1_product_signed_0(10)") (joined + (portRef (member PCOUT 37) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 37) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_11 "A1_product_signed_0(11)") (joined + (portRef (member PCOUT 36) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 36) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_12 "A1_product_signed_0(12)") (joined + (portRef (member PCOUT 35) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 35) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_13 "A1_product_signed_0(13)") (joined + (portRef (member PCOUT 34) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 34) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_14 "A1_product_signed_0(14)") (joined + (portRef (member PCOUT 33) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 33) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_15 "A1_product_signed_0(15)") (joined + (portRef (member PCOUT 32) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 32) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_16 "A1_product_signed_0(16)") (joined + (portRef (member PCOUT 31) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 31) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_17 "A1_product_signed_0_0(17)") (joined + (portRef (member PCOUT 30) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 30) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_18 "A1_product_signed_0_0(18)") (joined + (portRef (member PCOUT 29) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 29) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_19 "A1_product_signed_0_0(19)") (joined + (portRef (member PCOUT 28) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 28) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_20 "A1_product_signed_0_0(20)") (joined + (portRef (member PCOUT 27) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 27) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_21 "A1_product_signed_0_0(21)") (joined + (portRef (member PCOUT 26) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 26) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_22 "A1_product_signed_0_0(22)") (joined + (portRef (member PCOUT 25) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 25) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_23 "A1_product_signed_0_0(23)") (joined + (portRef (member PCOUT 24) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 24) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_24 "A1_product_signed_0_0(24)") (joined + (portRef (member PCOUT 23) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 23) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_25 "A1_product_signed_0_0(25)") (joined + (portRef (member PCOUT 22) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 22) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_26 "A1_product_signed_0_0(26)") (joined + (portRef (member PCOUT 21) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 21) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_27 "A1_product_signed_0_0(27)") (joined + (portRef (member PCOUT 20) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 20) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_28 "A1_product_signed_0_0(28)") (joined + (portRef (member PCOUT 19) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 19) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_29 "A1_product_signed_0_0(29)") (joined + (portRef (member PCOUT 18) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 18) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_30 "A1_product_signed_0_0(30)") (joined + (portRef (member PCOUT 17) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 17) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_31 "A1_product_signed_0_0(31)") (joined + (portRef (member PCOUT 16) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 16) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_32 "A1_product_signed_0_0(32)") (joined + (portRef (member PCOUT 15) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 15) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_33 "A1_product_signed_0_0(33)") (joined + (portRef (member PCOUT 14) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 14) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_34 "A1_product_signed_0_0(34)") (joined + (portRef (member PCOUT 13) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 13) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_35 "A1_product_signed_0_0(35)") (joined + (portRef (member PCOUT 12) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 12) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_36 "A1_product_signed_0_0(36)") (joined + (portRef (member PCOUT 11) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 11) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_37 "A1_product_signed_0_0(37)") (joined + (portRef (member PCOUT 10) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 10) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_38 "A1_product_signed_0_0(38)") (joined + (portRef (member PCOUT 9) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 9) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_39 "A1_product_signed_0_0(39)") (joined + (portRef (member PCOUT 8) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 8) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_40 "A1_product_signed_0_0(40)") (joined + (portRef (member PCOUT 7) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 7) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_41 "A1_product_signed_0_0(41)") (joined + (portRef (member PCOUT 6) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 6) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_42 "A1_product_signed_0_0(42)") (joined + (portRef (member PCOUT 5) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 5) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_43 "A1_product_signed_0_0(43)") (joined + (portRef (member PCOUT 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 4) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_44 "A1_product_signed_0_0(44)") (joined + (portRef (member PCOUT 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 3) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename P_uc_24_0_45 "P_uc_24_0(45)") (joined + (portRef (member PCOUT 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 2) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename P_uc_24_0_46 "P_uc_24_0(46)") (joined + (portRef (member PCOUT 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 1) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename P_uc_24_0_47 "P_uc_24_0(47)") (joined + (portRef (member PCOUT 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 0) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_1_26 "A1_product_signed_1(26)") (joined + (portRef (member PCOUT 47) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 47) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_27 "A1_product_signed_1(27)") (joined + (portRef (member PCOUT 46) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 46) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_28 "A1_product_signed_1(28)") (joined + (portRef (member PCOUT 45) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 45) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_29 "A1_product_signed_1(29)") (joined + (portRef (member PCOUT 44) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 44) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_30 "A1_product_signed_1(30)") (joined + (portRef (member PCOUT 43) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 43) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_31 "A1_product_signed_1(31)") (joined + (portRef (member PCOUT 42) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 42) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_32 "A1_product_signed_1(32)") (joined + (portRef (member PCOUT 41) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 41) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_33 "A1_product_signed_1(33)") (joined + (portRef (member PCOUT 40) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 40) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_34 "A1_product_signed_1(34)") (joined + (portRef (member PCOUT 39) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 39) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_35 "A1_product_signed_1(35)") (joined + (portRef (member PCOUT 38) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 38) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_36 "A1_product_signed_1(36)") (joined + (portRef (member PCOUT 37) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 37) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_37 "A1_product_signed_1(37)") (joined + (portRef (member PCOUT 36) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 36) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_38 "A1_product_signed_1(38)") (joined + (portRef (member PCOUT 35) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 35) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_39 "A1_product_signed_1(39)") (joined + (portRef (member PCOUT 34) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 34) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_40 "A1_product_signed_1(40)") (joined + (portRef (member PCOUT 33) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 33) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_41 "A1_product_signed_1(41)") (joined + (portRef (member PCOUT 32) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 32) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_42 "A1_product_signed_1(42)") (joined + (portRef (member PCOUT 31) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 31) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_17 "A1_product_signedAdd_1_0(17)") (joined + (portRef (member PCOUT 30) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 30) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_18 "A1_product_signedAdd_1_0(18)") (joined + (portRef (member PCOUT 29) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 29) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_19 "A1_product_signedAdd_1_0(19)") (joined + (portRef (member PCOUT 28) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 28) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_20 "A1_product_signedAdd_1_0(20)") (joined + (portRef (member PCOUT 27) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 27) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_21 "A1_product_signedAdd_1_0(21)") (joined + (portRef (member PCOUT 26) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 26) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_22 "A1_product_signedAdd_1_0(22)") (joined + (portRef (member PCOUT 25) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 25) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_23 "A1_product_signedAdd_1_0(23)") (joined + (portRef (member PCOUT 24) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 24) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_24 "A1_product_signedAdd_1_0(24)") (joined + (portRef (member PCOUT 23) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 23) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_25 "A1_product_signedAdd_1_0(25)") (joined + (portRef (member PCOUT 22) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 22) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_26 "A1_product_signedAdd_1_0(26)") (joined + (portRef (member PCOUT 21) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 21) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_27 "A1_product_signedAdd_1_0(27)") (joined + (portRef (member PCOUT 20) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 20) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_28 "A1_product_signedAdd_1_0(28)") (joined + (portRef (member PCOUT 19) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 19) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_29 "A1_product_signedAdd_1_0(29)") (joined + (portRef (member PCOUT 18) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 18) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_30 "A1_product_signedAdd_1_0(30)") (joined + (portRef (member PCOUT 17) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 17) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_31 "A1_product_signedAdd_1_0(31)") (joined + (portRef (member PCOUT 16) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 16) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_32 "A1_product_signedAdd_1_0(32)") (joined + (portRef (member PCOUT 15) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 15) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_33 "A1_product_signedAdd_1_0(33)") (joined + (portRef (member PCOUT 14) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 14) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_34 "A1_product_signedAdd_1_0(34)") (joined + (portRef (member PCOUT 13) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 13) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_0_0_35 "P_uc_0_0(35)") (joined + (portRef (member PCOUT 12) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 12) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_0_0_36 "P_uc_0_0(36)") (joined + (portRef (member PCOUT 11) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 11) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_0_0_37 "P_uc_0_0(37)") (joined + (portRef (member PCOUT 10) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 10) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_0_0_38 "P_uc_0_0(38)") (joined + (portRef (member PCOUT 9) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 9) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_0_0_39 "P_uc_0_0(39)") (joined + (portRef (member PCOUT 8) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 8) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_0_0_40 "P_uc_0_0(40)") (joined + (portRef (member PCOUT 7) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 7) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_0_0_41 "P_uc_0_0(41)") (joined + (portRef (member PCOUT 6) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 6) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_0_0_42 "P_uc_0_0(42)") (joined + (portRef (member PCOUT 5) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 5) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_6_0_43 "P_uc_6_0(43)") (joined + (portRef (member PCOUT 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 4) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_6_0_44 "P_uc_6_0(44)") (joined + (portRef (member PCOUT 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 3) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_6_0_45 "P_uc_6_0(45)") (joined + (portRef (member PCOUT 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 2) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_6_0_46 "P_uc_6_0(46)") (joined + (portRef (member PCOUT 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 1) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_6_0_47 "P_uc_6_0(47)") (joined + (portRef (member PCOUT 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 0) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename b1_re_0 "b1_re(0)") (joined + (portRef (member b1_re 31)) + (portRef (member B 17) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 29) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b1_re_1 "b1_re(1)") (joined + (portRef (member b1_re 30)) + (portRef (member B 16) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 28) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b1_re_2 "b1_re(2)") (joined + (portRef (member b1_re 29)) + (portRef (member B 15) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 27) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b1_re_3 "b1_re(3)") (joined + (portRef (member b1_re 28)) + (portRef (member B 14) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 26) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b1_re_4 "b1_re(4)") (joined + (portRef (member b1_re 27)) + (portRef (member B 13) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 25) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b1_re_5 "b1_re(5)") (joined + (portRef (member b1_re 26)) + (portRef (member B 12) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 24) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b1_re_6 "b1_re(6)") (joined + (portRef (member b1_re 25)) + (portRef (member B 11) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 23) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b1_re_7 "b1_re(7)") (joined + (portRef (member b1_re 24)) + (portRef (member B 10) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 22) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b1_re_8 "b1_re(8)") (joined + (portRef (member b1_re 23)) + (portRef (member B 9) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 21) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b1_re_9 "b1_re(9)") (joined + (portRef (member b1_re 22)) + (portRef (member B 8) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 20) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b1_re_10 "b1_re(10)") (joined + (portRef (member b1_re 21)) + (portRef (member B 7) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 19) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b1_re_11 "b1_re(11)") (joined + (portRef (member b1_re 20)) + (portRef (member B 6) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 18) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b1_re_12 "b1_re(12)") (joined + (portRef (member b1_re 19)) + (portRef (member B 5) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 17) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b1_re_13 "b1_re(13)") (joined + (portRef (member b1_re 18)) + (portRef (member B 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 16) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b1_re_14 "b1_re(14)") (joined + (portRef (member b1_re 17)) + (portRef (member B 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 15) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b1_re_15 "b1_re(15)") (joined + (portRef (member b1_re 16)) + (portRef (member B 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 14) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b1_re_16 "b1_re(16)") (joined + (portRef (member b1_re 15)) + (portRef (member B 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 13) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net N_25_i (joined + (portRef N_25_i (instanceRef gO_4_26_MUX12)) + (portRef (member B 17) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 17) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net N_21_i (joined + (portRef N_21_i (instanceRef gO_4_27_MUX12)) + (portRef (member B 16) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 16) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename feedback_data_28 "feedback_data(28)") (joined + (portRef feedback_data_0 (instanceRef gO_4_28_MUX12)) + (portRef (member feedback_data 0) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member B 15) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 15) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net N_16_i (joined + (portRef N_16_i (instanceRef gO_4_29_MUX12)) + (portRef (member B 14) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 14) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net N_17_i (joined + (portRef N_17_i (instanceRef gO_4_30_MUX12)) + (portRef (member B 13) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 13) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net N_12_i (joined + (portRef N_12_i (instanceRef gO_4_31_MUX12)) + (portRef (member B 12) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 12) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net N_13_i (joined + (portRef N_13_i (instanceRef gO_4_32_MUX12)) + (portRef (member B 11) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 11) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net N_9_i (joined + (portRef N_9_i (instanceRef gO_4_33_MUX12)) + (portRef (member B 10) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 10) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net N_8_i (joined + (portRef N_8_i (instanceRef gO_4_34_MUX12)) + (portRef (member B 9) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 9) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename feedback_data_35 "feedback_data(35)") (joined + (portRef feedback_data_0 (instanceRef gO_4_35_MUX12)) + (portRef (member B 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 3) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 4) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 5) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 6) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 7) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 8) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member B 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member B 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member B 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member B 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member B 5) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member B 6) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member B 7) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member B 8) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename B0_product_signed_17 "B0_product_signed(17)") (joined + (portRef (member P 47) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_37)) + )) + (net (rename B0_product_signed_18 "B0_product_signed(18)") (joined + (portRef (member P 46) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_38)) + )) + (net (rename B0_product_signed_19 "B0_product_signed(19)") (joined + (portRef (member P 45) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_39)) + )) + (net (rename B0_product_signed_20 "B0_product_signed(20)") (joined + (portRef (member P 44) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_40)) + )) + (net (rename B0_product_signed_21 "B0_product_signed(21)") (joined + (portRef (member P 43) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_41)) + )) + (net (rename B0_product_signed_22 "B0_product_signed(22)") (joined + (portRef (member P 42) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_42)) + )) + (net (rename B0_product_signed_23 "B0_product_signed(23)") (joined + (portRef (member P 41) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_43)) + )) + (net (rename B0_product_signed_24 "B0_product_signed(24)") (joined + (portRef (member P 40) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_44)) + )) + (net (rename B0_product_signed_25 "B0_product_signed(25)") (joined + (portRef (member P 39) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_45)) + )) + (net (rename B0_product_signed_26 "B0_product_signed(26)") (joined + (portRef (member P 38) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_46)) + )) + (net (rename B0_product_signed_27 "B0_product_signed(27)") (joined + (portRef (member P 37) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_47)) + )) + (net (rename B0_product_signed_28 "B0_product_signed(28)") (joined + (portRef (member P 36) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_48)) + )) + (net (rename B0_product_signed_29 "B0_product_signed(29)") (joined + (portRef (member P 35) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_49)) + )) + (net (rename B0_product_signed_30 "B0_product_signed(30)") (joined + (portRef (member P 34) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_50)) + )) + (net (rename B0_product_signed_31 "B0_product_signed(31)") (joined + (portRef (member P 33) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_51)) + )) + (net (rename B0_product_signed_32 "B0_product_signed(32)") (joined + (portRef (member P 32) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_52)) + )) + (net (rename B0_product_signed_33 "B0_product_signed(33)") (joined + (portRef (member P 31) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_53)) + )) + (net (rename B0_product_signed_34 "B0_product_signed(34)") (joined + (portRef (member P 30) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_54)) + )) + (net (rename B0_product_signed_35 "B0_product_signed(35)") (joined + (portRef (member P 29) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_55)) + )) + (net (rename B0_product_signed_36 "B0_product_signed(36)") (joined + (portRef (member P 28) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_56)) + )) + (net (rename B0_product_signed_37 "B0_product_signed(37)") (joined + (portRef (member P 27) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_57)) + )) + (net (rename B0_product_signed_38 "B0_product_signed(38)") (joined + (portRef (member P 26) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_58)) + )) + (net (rename B0_product_signed_39 "B0_product_signed(39)") (joined + (portRef (member P 25) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_59)) + )) + (net (rename B0_product_signed_40 "B0_product_signed(40)") (joined + (portRef (member P 24) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_60)) + )) + (net (rename B0_product_signed_41 "B0_product_signed(41)") (joined + (portRef (member P 23) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_61)) + )) + (net (rename B0_product_signed_42 "B0_product_signed(42)") (joined + (portRef (member P 22) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_62)) + )) + (net (rename B0_product_signed_43 "B0_product_signed(43)") (joined + (portRef (member P 21) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_63)) + )) + (net (rename B0_product_signed_44 "B0_product_signed(44)") (joined + (portRef (member P 20) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_64)) + )) + (net (rename B0_product_signed_45 "B0_product_signed(45)") (joined + (portRef (member P 19) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_65)) + )) + (net (rename B0_product_signed_46 "B0_product_signed(46)") (joined + (portRef (member P 18) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_66)) + )) + (net (rename B0_product_signed_47 "B0_product_signed(47)") (joined + (portRef (member P 17) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_67)) + (portRef I1 (instanceRef un1_psum0_signed_axb_68)) + (portRef I1 (instanceRef un1_psum0_signed_axb_69)) + (portRef I1 (instanceRef un1_psum0_signed_axb_70)) + )) + (net (rename a1_re_17 "a1_re(17)") (joined + (portRef (member a1_re 14)) + (portRef (member A 29) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a1_re_18 "a1_re(18)") (joined + (portRef (member a1_re 13)) + (portRef (member A 28) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a1_re_19 "a1_re(19)") (joined + (portRef (member a1_re 12)) + (portRef (member A 27) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a1_re_20 "a1_re(20)") (joined + (portRef (member a1_re 11)) + (portRef (member A 26) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a1_re_21 "a1_re(21)") (joined + (portRef (member a1_re 10)) + (portRef (member A 25) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a1_re_22 "a1_re(22)") (joined + (portRef (member a1_re 9)) + (portRef (member A 24) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a1_re_23 "a1_re(23)") (joined + (portRef (member a1_re 8)) + (portRef (member A 23) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a1_re_24 "a1_re(24)") (joined + (portRef (member a1_re 7)) + (portRef (member A 22) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a1_re_25 "a1_re(25)") (joined + (portRef (member a1_re 6)) + (portRef (member A 21) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a1_re_26 "a1_re(26)") (joined + (portRef (member a1_re 5)) + (portRef (member A 20) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a1_re_27 "a1_re(27)") (joined + (portRef (member a1_re 4)) + (portRef (member A 19) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a1_re_28 "a1_re(28)") (joined + (portRef (member a1_re 3)) + (portRef (member A 18) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a1_re_29 "a1_re(29)") (joined + (portRef (member a1_re 2)) + (portRef (member A 17) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a1_re_30 "a1_re(30)") (joined + (portRef (member a1_re 1)) + (portRef (member A 16) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a1_re_31 "a1_re(31)") (joined + (portRef (member a1_re 0)) + (portRef (member A 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 2) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 3) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 4) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 5) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 6) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 7) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 8) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 9) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 10) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 11) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 12) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 13) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 14) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 15) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_0 "gated_in_data(0)") (joined + (portRef (member gated_in_data 15)) + (portRef (member B 17) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 17) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_1 "gated_in_data(1)") (joined + (portRef (member gated_in_data 14)) + (portRef (member B 16) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 16) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_2 "gated_in_data(2)") (joined + (portRef (member gated_in_data 13)) + (portRef (member B 15) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 15) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_3 "gated_in_data(3)") (joined + (portRef (member gated_in_data 12)) + (portRef (member B 14) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 14) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_4 "gated_in_data(4)") (joined + (portRef (member gated_in_data 11)) + (portRef (member B 13) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 13) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_5 "gated_in_data(5)") (joined + (portRef (member gated_in_data 10)) + (portRef (member B 12) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 12) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_6 "gated_in_data(6)") (joined + (portRef (member gated_in_data 9)) + (portRef (member B 11) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 11) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_7 "gated_in_data(7)") (joined + (portRef (member gated_in_data 8)) + (portRef (member B 10) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 10) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_8 "gated_in_data(8)") (joined + (portRef (member gated_in_data 7)) + (portRef (member B 9) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 9) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_9 "gated_in_data(9)") (joined + (portRef (member gated_in_data 6)) + (portRef (member B 8) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 8) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_10 "gated_in_data(10)") (joined + (portRef (member gated_in_data 5)) + (portRef (member B 7) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 7) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_11 "gated_in_data(11)") (joined + (portRef (member gated_in_data 4)) + (portRef (member B 6) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 6) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_12 "gated_in_data(12)") (joined + (portRef (member gated_in_data 3)) + (portRef (member B 5) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 5) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_13 "gated_in_data(13)") (joined + (portRef (member gated_in_data 2)) + (portRef (member B 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 4) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_14 "gated_in_data(14)") (joined + (portRef (member gated_in_data 1)) + (portRef (member B 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 3) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_15 "gated_in_data(15)") (joined + (portRef (member gated_in_data 0)) + (portRef (member B 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member B 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member B 2) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0 "B0_product_signed_0(0)") (joined + (portRef (member PCOUT 47) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 47) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_1 "B0_product_signed_0(1)") (joined + (portRef (member PCOUT 46) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 46) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_2 "B0_product_signed_0(2)") (joined + (portRef (member PCOUT 45) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 45) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_3 "B0_product_signed_0(3)") (joined + (portRef (member PCOUT 44) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 44) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_4 "B0_product_signed_0(4)") (joined + (portRef (member PCOUT 43) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 43) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_5 "B0_product_signed_0(5)") (joined + (portRef (member PCOUT 42) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 42) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_6 "B0_product_signed_0(6)") (joined + (portRef (member PCOUT 41) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 41) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_7 "B0_product_signed_0(7)") (joined + (portRef (member PCOUT 40) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 40) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_8 "B0_product_signed_0(8)") (joined + (portRef (member PCOUT 39) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 39) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_9 "B0_product_signed_0(9)") (joined + (portRef (member PCOUT 38) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 38) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_10 "B0_product_signed_0(10)") (joined + (portRef (member PCOUT 37) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 37) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_11 "B0_product_signed_0(11)") (joined + (portRef (member PCOUT 36) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 36) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_12 "B0_product_signed_0(12)") (joined + (portRef (member PCOUT 35) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 35) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_13 "B0_product_signed_0(13)") (joined + (portRef (member PCOUT 34) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 34) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_14 "B0_product_signed_0(14)") (joined + (portRef (member PCOUT 33) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 33) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_15 "B0_product_signed_0(15)") (joined + (portRef (member PCOUT 32) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 32) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_16 "B0_product_signed_0(16)") (joined + (portRef (member PCOUT 31) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 31) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_17 "B0_product_signed_0_0(17)") (joined + (portRef (member PCOUT 30) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 30) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_18 "B0_product_signed_0_0(18)") (joined + (portRef (member PCOUT 29) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 29) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_19 "B0_product_signed_0_0(19)") (joined + (portRef (member PCOUT 28) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 28) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_20 "B0_product_signed_0_0(20)") (joined + (portRef (member PCOUT 27) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 27) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_21 "B0_product_signed_0_0(21)") (joined + (portRef (member PCOUT 26) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 26) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_22 "B0_product_signed_0_0(22)") (joined + (portRef (member PCOUT 25) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 25) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_23 "B0_product_signed_0_0(23)") (joined + (portRef (member PCOUT 24) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 24) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_24 "B0_product_signed_0_0(24)") (joined + (portRef (member PCOUT 23) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 23) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_25 "B0_product_signed_0_0(25)") (joined + (portRef (member PCOUT 22) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 22) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_26 "B0_product_signed_0_0(26)") (joined + (portRef (member PCOUT 21) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 21) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_27 "B0_product_signed_0_0(27)") (joined + (portRef (member PCOUT 20) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 20) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_28 "B0_product_signed_0_0(28)") (joined + (portRef (member PCOUT 19) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 19) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_29 "B0_product_signed_0_0(29)") (joined + (portRef (member PCOUT 18) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 18) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_30 "B0_product_signed_0_0(30)") (joined + (portRef (member PCOUT 17) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 17) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_31 "B0_product_signed_0_0(31)") (joined + (portRef (member PCOUT 16) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 16) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_32 "B0_product_signed_0_0(32)") (joined + (portRef (member PCOUT 15) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 15) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_33 "B0_product_signed_0_0(33)") (joined + (portRef (member PCOUT 14) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 14) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_12_0_34 "P_uc_12_0(34)") (joined + (portRef (member PCOUT 13) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 13) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_18_0_35 "P_uc_18_0(35)") (joined + (portRef (member PCOUT 12) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 12) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_18_0_36 "P_uc_18_0(36)") (joined + (portRef (member PCOUT 11) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 11) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_18_0_37 "P_uc_18_0(37)") (joined + (portRef (member PCOUT 10) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 10) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_18_0_38 "P_uc_18_0(38)") (joined + (portRef (member PCOUT 9) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 9) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_18_0_39 "P_uc_18_0(39)") (joined + (portRef (member PCOUT 8) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 8) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_18_0_40 "P_uc_18_0(40)") (joined + (portRef (member PCOUT 7) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 7) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_18_0_41 "P_uc_18_0(41)") (joined + (portRef (member PCOUT 6) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 6) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_18_0_42 "P_uc_18_0(42)") (joined + (portRef (member PCOUT 5) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 5) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_24_0_43 "P_uc_24_0(43)") (joined + (portRef (member PCOUT 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 4) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_24_0_44 "P_uc_24_0(44)") (joined + (portRef (member PCOUT 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 3) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_30_0_45 "P_uc_30_0(45)") (joined + (portRef (member PCOUT 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 2) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_30_0_46 "P_uc_30_0(46)") (joined + (portRef (member PCOUT 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 1) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_30_0_47 "P_uc_30_0(47)") (joined + (portRef (member PCOUT 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 0) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename A1_product_signed_44 "A1_product_signed(44)") (joined + (portRef (member P 46) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_47)) + (portRef I0 (instanceRef psum1_signed_cry_44_thru)) + (portRef I0 (instanceRef psum1_signed_s_44_thru)) + )) + (net (rename A1_product_signed_45 "A1_product_signed(45)") (joined + (portRef (member P 45) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_47)) + (portRef I0 (instanceRef psum1_signed_cry_45_thru)) + (portRef I0 (instanceRef psum1_signed_s_45_thru)) + )) + (net (rename A1_product_signed_46 "A1_product_signed(46)") (joined + (portRef (member P 44) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_47)) + (portRef I0 (instanceRef psum1_signed_cry_46_thru)) + (portRef I0 (instanceRef psum1_signed_s_46_thru)) + )) + (net (rename A1_product_signed_47 "A1_product_signed(47)") (joined + (portRef (member P 43) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_47)) + (portRef I0 (instanceRef psum1_signed_cry_47_thru)) + (portRef I0 (instanceRef psum1_signed_s_47_thru)) + )) + (net (rename A1_product_signed_48 "A1_product_signed(48)") (joined + (portRef (member P 42) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_51)) + (portRef I0 (instanceRef psum1_signed_cry_48_thru)) + (portRef I0 (instanceRef psum1_signed_s_48_thru)) + )) + (net (rename A1_product_signed_49 "A1_product_signed(49)") (joined + (portRef (member P 41) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_51)) + (portRef I0 (instanceRef psum1_signed_cry_49_thru)) + (portRef I0 (instanceRef psum1_signed_s_49_thru)) + )) + (net (rename A1_product_signed_50 "A1_product_signed(50)") (joined + (portRef (member P 40) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_51)) + (portRef I0 (instanceRef psum1_signed_cry_50_thru)) + (portRef I0 (instanceRef psum1_signed_s_50_thru)) + )) + (net (rename A1_product_signed_51 "A1_product_signed(51)") (joined + (portRef (member P 39) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_51)) + (portRef I0 (instanceRef psum1_signed_cry_51_thru)) + (portRef I0 (instanceRef psum1_signed_s_51_thru)) + )) + (net (rename A1_product_signed_52 "A1_product_signed(52)") (joined + (portRef (member P 38) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_55)) + (portRef I0 (instanceRef psum1_signed_cry_52_thru)) + (portRef I0 (instanceRef psum1_signed_s_52_thru)) + )) + (net (rename A1_product_signed_53 "A1_product_signed(53)") (joined + (portRef (member P 37) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_55)) + (portRef I0 (instanceRef psum1_signed_cry_53_thru)) + (portRef I0 (instanceRef psum1_signed_s_53_thru)) + )) + (net (rename A1_product_signed_54 "A1_product_signed(54)") (joined + (portRef (member P 36) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_55)) + (portRef I0 (instanceRef psum1_signed_cry_54_thru)) + (portRef I0 (instanceRef psum1_signed_s_54_thru)) + )) + (net (rename A1_product_signed_55 "A1_product_signed(55)") (joined + (portRef (member P 35) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_55)) + (portRef I0 (instanceRef psum1_signed_cry_55_thru)) + (portRef I0 (instanceRef psum1_signed_s_55_thru)) + )) + (net (rename A1_product_signed_56 "A1_product_signed(56)") (joined + (portRef (member P 34) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_59)) + (portRef I0 (instanceRef psum1_signed_cry_56_thru)) + (portRef I0 (instanceRef psum1_signed_s_56_thru)) + )) + (net (rename A1_product_signed_57 "A1_product_signed(57)") (joined + (portRef (member P 33) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_59)) + (portRef I0 (instanceRef psum1_signed_cry_57_thru)) + (portRef I0 (instanceRef psum1_signed_s_57_thru)) + )) + (net (rename A1_product_signed_58 "A1_product_signed(58)") (joined + (portRef (member P 32) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_59)) + (portRef I0 (instanceRef psum1_signed_cry_58_thru)) + (portRef I0 (instanceRef psum1_signed_s_58_thru)) + )) + (net (rename A1_product_signed_59 "A1_product_signed(59)") (joined + (portRef (member P 31) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_59)) + (portRef I0 (instanceRef psum1_signed_cry_59_thru)) + (portRef I0 (instanceRef psum1_signed_s_59_thru)) + )) + (net (rename A1_product_signed_60 "A1_product_signed(60)") (joined + (portRef (member P 30) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_63)) + (portRef I0 (instanceRef psum1_signed_cry_60_thru)) + (portRef I0 (instanceRef psum1_signed_s_60_thru)) + )) + (net (rename A1_product_signed_61 "A1_product_signed(61)") (joined + (portRef (member P 29) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_63)) + (portRef I0 (instanceRef psum1_signed_cry_61_thru)) + (portRef I0 (instanceRef psum1_signed_s_61_thru)) + )) + (net (rename A1_product_signed_62 "A1_product_signed(62)") (joined + (portRef (member P 28) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_63)) + (portRef I0 (instanceRef psum1_signed_cry_62_thru)) + (portRef I0 (instanceRef psum1_signed_s_62_thru)) + )) + (net (rename A1_product_signed_63 "A1_product_signed(63)") (joined + (portRef (member P 27) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_63)) + (portRef I0 (instanceRef psum1_signed_cry_63_thru)) + (portRef I0 (instanceRef psum1_signed_s_63_thru)) + )) + (net (rename A1_product_signed_64 "A1_product_signed(64)") (joined + (portRef (member P 26) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_67)) + (portRef I0 (instanceRef psum1_signed_cry_64_thru)) + (portRef I0 (instanceRef psum1_signed_s_64_thru)) + )) + (net (rename A1_product_signed_65 "A1_product_signed(65)") (joined + (portRef (member P 25) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_67)) + (portRef I0 (instanceRef psum1_signed_cry_65_thru)) + (portRef I0 (instanceRef psum1_signed_s_65_thru)) + )) + (net (rename A1_product_signed_66 "A1_product_signed(66)") (joined + (portRef (member P 24) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_67)) + (portRef I0 (instanceRef psum1_signed_cry_66_thru)) + (portRef I0 (instanceRef psum1_signed_s_66_thru)) + )) + (net (rename A1_product_signed_67 "A1_product_signed(67)") (joined + (portRef (member P 23) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef I0 (instanceRef psum1_signed_cry_67_RNO)) + (portRef I0 (instanceRef psum1_signed_s_69_RNO)) + (portRef I0 (instanceRef psum1_signed_s_69_thru)) + )) + (net (rename A1_product_signed_0 "A1_product_signed(0)") (joined + (portRef (member P 47) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_3)) + (portRef I0 (instanceRef psum1_signed_cry_0_thru)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_0)) + )) + (net (rename feedback_data_0 "feedback_data(0)") (joined + (portRef feedback_data_0 (instanceRef gO_4_0_MUX12)) + (portRef (member A 29) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_48_i (joined + (portRef N_48_i (instanceRef gO_4_1_MUX12)) + (portRef (member A 28) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_2 "feedback_data(2)") (joined + (portRef feedback_data_0 (instanceRef gO_4_2_MUX12)) + (portRef (member feedback_data 26) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 27) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_3 "feedback_data(3)") (joined + (portRef feedback_data_0 (instanceRef gO_4_3_MUX12)) + (portRef (member feedback_data 25) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 26) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_4 "feedback_data(4)") (joined + (portRef feedback_data_0 (instanceRef gO_4_4_MUX12)) + (portRef (member feedback_data 24) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 25) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_44_i (joined + (portRef N_44_i (instanceRef gO_4_5_MUX12)) + (portRef (member A 24) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_40_i (joined + (portRef N_40_i (instanceRef gO_4_6_MUX12)) + (portRef (member A 23) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_7 "feedback_data(7)") (joined + (portRef feedback_data_0 (instanceRef gO_4_7_MUX12)) + (portRef (member feedback_data 21) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 22) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_8 "feedback_data(8)") (joined + (portRef feedback_data_0 (instanceRef gO_4_8_MUX12)) + (portRef (member feedback_data 20) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 21) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_9 "feedback_data(9)") (joined + (portRef feedback_data_0 (instanceRef gO_4_9_MUX12)) + (portRef (member feedback_data 19) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 20) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_10 "feedback_data(10)") (joined + (portRef feedback_data_0 (instanceRef gO_4_10_MUX12)) + (portRef (member feedback_data 18) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 19) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_41_i (joined + (portRef N_41_i (instanceRef gO_4_11_MUX12)) + (portRef (member A 18) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_12 "feedback_data(12)") (joined + (portRef feedback_data_0 (instanceRef gO_4_12_MUX12)) + (portRef (member feedback_data 16) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 17) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_13 "feedback_data(13)") (joined + (portRef feedback_data_0 (instanceRef gO_4_13_MUX12)) + (portRef (member feedback_data 15) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 16) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_14 "feedback_data(14)") (joined + (portRef feedback_data_0 (instanceRef gO_4_14_MUX12)) + (portRef (member feedback_data 14) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 15) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_15 "feedback_data(15)") (joined + (portRef feedback_data_0 (instanceRef gO_4_15_MUX12)) + (portRef (member feedback_data 13) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 14) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_36_i (joined + (portRef N_36_i (instanceRef gO_4_16_MUX12)) + (portRef (member A 13) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_32_i (joined + (portRef N_32_i (instanceRef gO_4_17_MUX12)) + (portRef (member A 12) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_28_i (joined + (portRef N_28_i (instanceRef gO_4_18_MUX12)) + (portRef (member A 11) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_19 "feedback_data(19)") (joined + (portRef feedback_data_0 (instanceRef gO_4_19_MUX12)) + (portRef (member feedback_data 9) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 10) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_24_i (joined + (portRef N_24_i (instanceRef gO_4_20_MUX12)) + (portRef (member A 9) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_20_i (joined + (portRef N_20_i (instanceRef gO_4_21_MUX12)) + (portRef (member A 8) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_22 "feedback_data(22)") (joined + (portRef feedback_data_0 (instanceRef gO_4_22_MUX12)) + (portRef (member feedback_data 6) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 7) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_37_i (joined + (portRef N_37_i (instanceRef gO_4_23_MUX12)) + (portRef (member A 6) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_33_i (joined + (portRef N_33_i (instanceRef gO_4_24_MUX12)) + (portRef (member A 5) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_29_i (joined + (portRef N_29_i (instanceRef gO_4_25_MUX12)) + (portRef (member A 4) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename B0_product_signed_0 "B0_product_signed(0)") (joined + (portRef (member P 47) (instanceRef B0_product_signed_0_33_0)) + (portRef B0_product_signed_0 (instanceRef gO_2_gO_2cN_AN8)) + (portRef I1 (instanceRef un1_psum0_signed_axb_20)) + )) + (net (rename B0_product_signed_1 "B0_product_signed(1)") (joined + (portRef (member P 46) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_21)) + )) + (net (rename B0_product_signed_2 "B0_product_signed(2)") (joined + (portRef (member P 45) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_22)) + )) + (net (rename B0_product_signed_3 "B0_product_signed(3)") (joined + (portRef (member P 44) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_23)) + )) + (net (rename B0_product_signed_4 "B0_product_signed(4)") (joined + (portRef (member P 43) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_24)) + )) + (net (rename B0_product_signed_5 "B0_product_signed(5)") (joined + (portRef (member P 42) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_25)) + )) + (net (rename B0_product_signed_6 "B0_product_signed(6)") (joined + (portRef (member P 41) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_26)) + )) + (net (rename B0_product_signed_7 "B0_product_signed(7)") (joined + (portRef (member P 40) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_27)) + )) + (net (rename B0_product_signed_8 "B0_product_signed(8)") (joined + (portRef (member P 39) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_28)) + )) + (net (rename B0_product_signed_9 "B0_product_signed(9)") (joined + (portRef (member P 38) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_29)) + )) + (net (rename B0_product_signed_10 "B0_product_signed(10)") (joined + (portRef (member P 37) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_30)) + )) + (net (rename B0_product_signed_11 "B0_product_signed(11)") (joined + (portRef (member P 36) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_31)) + )) + (net (rename B0_product_signed_12 "B0_product_signed(12)") (joined + (portRef (member P 35) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_32)) + )) + (net (rename B0_product_signed_13 "B0_product_signed(13)") (joined + (portRef (member P 34) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_33)) + )) + (net (rename B0_product_signed_14 "B0_product_signed(14)") (joined + (portRef (member P 33) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_34)) + )) + (net (rename B0_product_signed_15 "B0_product_signed(15)") (joined + (portRef (member P 32) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_35)) + )) + (net (rename B0_product_signed_16 "B0_product_signed(16)") (joined + (portRef (member P 31) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_36)) + )) + (net (rename a1_re_0 "a1_re(0)") (joined + (portRef (member a1_re 31)) + (portRef (member A 29) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a1_re_1 "a1_re(1)") (joined + (portRef (member a1_re 30)) + (portRef (member A 28) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a1_re_2 "a1_re(2)") (joined + (portRef (member a1_re 29)) + (portRef (member A 27) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a1_re_3 "a1_re(3)") (joined + (portRef (member a1_re 28)) + (portRef (member A 26) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a1_re_4 "a1_re(4)") (joined + (portRef (member a1_re 27)) + (portRef (member A 25) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a1_re_5 "a1_re(5)") (joined + (portRef (member a1_re 26)) + (portRef (member A 24) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a1_re_6 "a1_re(6)") (joined + (portRef (member a1_re 25)) + (portRef (member A 23) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a1_re_7 "a1_re(7)") (joined + (portRef (member a1_re 24)) + (portRef (member A 22) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a1_re_8 "a1_re(8)") (joined + (portRef (member a1_re 23)) + (portRef (member A 21) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a1_re_9 "a1_re(9)") (joined + (portRef (member a1_re 22)) + (portRef (member A 20) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a1_re_10 "a1_re(10)") (joined + (portRef (member a1_re 21)) + (portRef (member A 19) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a1_re_11 "a1_re(11)") (joined + (portRef (member a1_re 20)) + (portRef (member A 18) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a1_re_12 "a1_re(12)") (joined + (portRef (member a1_re 19)) + (portRef (member A 17) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a1_re_13 "a1_re(13)") (joined + (portRef (member a1_re 18)) + (portRef (member A 16) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a1_re_14 "a1_re(14)") (joined + (portRef (member a1_re 17)) + (portRef (member A 15) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a1_re_15 "a1_re(15)") (joined + (portRef (member a1_re 16)) + (portRef (member A 14) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a1_re_16 "a1_re(16)") (joined + (portRef (member a1_re 15)) + (portRef (member A 13) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename psum1_signed_70 "psum1_signed(70)") (joined + (portRef (member O 2) (instanceRef psum1_signed_s_69)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_69)) + )) + (net (rename psum1_saved_3_70 "psum1_saved_3(70)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_69)) + (portRef D (instanceRef psum1_saved_69)) + )) + (net (rename psum1_signed_68 "psum1_signed(68)") (joined + (portRef (member O 3) (instanceRef psum1_signed_s_69)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_68)) + )) + (net (rename psum1_saved_3_68 "psum1_saved_3(68)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_68)) + (portRef D (instanceRef psum1_saved_68)) + )) + (net (rename psum1_signed_67 "psum1_signed(67)") (joined + (portRef (member O 0) (instanceRef psum1_signed_cry_67)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_67)) + )) + (net psum1_saved_3_9_3 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_67)) + (portRef D (instanceRef psum1_saved_67)) + )) + (net (rename psum1_signed_66 "psum1_signed(66)") (joined + (portRef LO (instanceRef psum1_signed_s_66)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_66)) + )) + (net psum1_saved_3_8_3 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_66)) + (portRef D (instanceRef psum1_saved_66)) + )) + (net (rename psum1_signed_64 "psum1_signed(64)") (joined + (portRef LO (instanceRef psum1_signed_s_64)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_64)) + )) + (net psum1_saved_3_6_3 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_64)) + (portRef D (instanceRef psum1_saved_64)) + )) + (net (rename psum1_signed_63 "psum1_signed(63)") (joined + (portRef LO (instanceRef psum1_signed_s_63)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_63)) + )) + (net psum1_saved_3_5_3 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_63)) + (portRef D (instanceRef psum1_saved_63)) + )) + (net (rename psum1_signed_62 "psum1_signed(62)") (joined + (portRef LO (instanceRef psum1_signed_s_62)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_62)) + )) + (net psum1_saved_3_4_3 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_62)) + (portRef D (instanceRef psum1_saved_62)) + )) + (net (rename psum1_signed_61 "psum1_signed(61)") (joined + (portRef LO (instanceRef psum1_signed_s_61)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_61)) + )) + (net psum1_saved_3_3_3 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_61)) + (portRef D (instanceRef psum1_saved_61)) + )) + (net (rename psum1_signed_60 "psum1_signed(60)") (joined + (portRef LO (instanceRef psum1_signed_s_60)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_60)) + )) + (net psum1_saved_3_2_3 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_60)) + (portRef D (instanceRef psum1_saved_60)) + )) + (net (rename psum1_signed_59 "psum1_signed(59)") (joined + (portRef LO (instanceRef psum1_signed_s_59)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_59)) + )) + (net psum1_saved_3_1_3 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_59)) + (portRef D (instanceRef psum1_saved_59)) + )) + (net (rename psum1_signed_58 "psum1_signed(58)") (joined + (portRef LO (instanceRef psum1_signed_s_58)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_58)) + )) + (net psum1_saved_3_0_3 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_58)) + (portRef D (instanceRef psum1_saved_58)) + )) + (net (rename psum1_signed_57 "psum1_signed(57)") (joined + (portRef LO (instanceRef psum1_signed_s_57)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_57)) + )) + (net psum1_saved_3_73 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_57)) + (portRef D (instanceRef psum1_saved_57)) + )) + (net (rename psum1_signed_56 "psum1_signed(56)") (joined + (portRef LO (instanceRef psum1_signed_s_56)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_56)) + )) + (net (rename psum1_saved_3_56 "psum1_saved_3(56)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_56)) + (portRef D (instanceRef psum1_saved_56)) + )) + (net (rename psum1_signed_55 "psum1_signed(55)") (joined + (portRef LO (instanceRef psum1_signed_s_55)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_55)) + )) + (net (rename psum1_saved_3_55 "psum1_saved_3(55)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_55)) + (portRef D (instanceRef psum1_saved_55)) + )) + (net (rename psum1_signed_54 "psum1_signed(54)") (joined + (portRef LO (instanceRef psum1_signed_s_54)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_54)) + )) + (net (rename psum1_saved_3_54 "psum1_saved_3(54)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_54)) + (portRef D (instanceRef psum1_saved_54)) + )) + (net (rename psum1_signed_53 "psum1_signed(53)") (joined + (portRef LO (instanceRef psum1_signed_s_53)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_53)) + )) + (net (rename psum1_saved_3_53 "psum1_saved_3(53)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_53)) + (portRef D (instanceRef psum1_saved_53)) + )) + (net (rename psum1_signed_52 "psum1_signed(52)") (joined + (portRef LO (instanceRef psum1_signed_s_52)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_52)) + )) + (net (rename psum1_saved_3_52 "psum1_saved_3(52)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_52)) + (portRef D (instanceRef psum1_saved_52)) + )) + (net (rename psum1_signed_51 "psum1_signed(51)") (joined + (portRef LO (instanceRef psum1_signed_s_51)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_51)) + )) + (net (rename psum1_saved_3_51 "psum1_saved_3(51)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_51)) + (portRef D (instanceRef psum1_saved_51)) + )) + (net (rename psum1_signed_50 "psum1_signed(50)") (joined + (portRef LO (instanceRef psum1_signed_s_50)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_50)) + )) + (net (rename psum1_saved_3_50 "psum1_saved_3(50)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_50)) + (portRef D (instanceRef psum1_saved_50)) + )) + (net (rename psum1_signed_49 "psum1_signed(49)") (joined + (portRef LO (instanceRef psum1_signed_s_49)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_49)) + )) + (net (rename psum1_saved_3_49 "psum1_saved_3(49)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_49)) + (portRef D (instanceRef psum1_saved_49)) + )) + (net (rename psum1_signed_48 "psum1_signed(48)") (joined + (portRef LO (instanceRef psum1_signed_s_48)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_48)) + )) + (net (rename psum1_saved_3_48 "psum1_saved_3(48)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_48)) + (portRef D (instanceRef psum1_saved_48)) + )) + (net (rename psum1_signed_47 "psum1_signed(47)") (joined + (portRef LO (instanceRef psum1_signed_s_47)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_47)) + )) + (net (rename psum1_saved_3_47 "psum1_saved_3(47)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_47)) + (portRef D (instanceRef psum1_saved_47)) + )) + (net (rename psum1_signed_46 "psum1_signed(46)") (joined + (portRef LO (instanceRef psum1_signed_s_46)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_46)) + )) + (net (rename psum1_saved_3_46 "psum1_saved_3(46)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_46)) + (portRef D (instanceRef psum1_saved_46)) + )) + (net (rename psum1_signed_45 "psum1_signed(45)") (joined + (portRef LO (instanceRef psum1_signed_s_45)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_45)) + )) + (net (rename psum1_saved_3_45 "psum1_saved_3(45)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_45)) + (portRef D (instanceRef psum1_saved_45)) + )) + (net (rename psum1_signed_44 "psum1_signed(44)") (joined + (portRef LO (instanceRef psum1_signed_s_44)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_44)) + )) + (net (rename psum1_saved_3_44 "psum1_saved_3(44)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_44)) + (portRef D (instanceRef psum1_saved_44)) + )) + (net (rename psum1_saved_3_0 "psum1_saved_3(0)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_0)) + (portRef D (instanceRef psum1_saved_0)) + )) + (net en (joined + (portRef en) + (portRef I0 (instanceRef PSUM2AND1_REGS_un1_enable)) + )) + (net un1_enable (joined + (portRef O (instanceRef PSUM2AND1_REGS_un1_enable)) + (portRef CE (instanceRef data_out_final_35)) + (portRef CE (instanceRef data_out_final_34)) + (portRef CE (instanceRef data_out_final_33)) + (portRef CE (instanceRef data_out_final_32)) + (portRef CE (instanceRef data_out_final_31)) + (portRef CE (instanceRef data_out_final_30)) + (portRef CE (instanceRef data_out_final_29)) + (portRef CE (instanceRef data_out_final_28)) + (portRef CE (instanceRef data_out_final_27)) + (portRef CE (instanceRef data_out_final_26)) + (portRef CE (instanceRef data_out_final_25)) + (portRef CE (instanceRef data_out_final_24)) + (portRef CE (instanceRef data_out_final_23)) + (portRef CE (instanceRef data_out_final_22)) + (portRef CE (instanceRef data_out_final_21)) + (portRef CE (instanceRef data_out_final_20)) + (portRef CE (instanceRef data_out_final_19)) + (portRef CE (instanceRef data_out_final_18)) + (portRef CE (instanceRef data_out_final_17)) + (portRef CE (instanceRef data_out_final_16)) + (portRef CE (instanceRef data_out_final_15)) + (portRef CE (instanceRef data_out_final_14)) + (portRef CE (instanceRef data_out_final_13)) + (portRef CE (instanceRef data_out_final_12)) + (portRef CE (instanceRef data_out_final_11)) + (portRef CE (instanceRef data_out_final_10)) + (portRef CE (instanceRef data_out_final_9)) + (portRef CE (instanceRef data_out_final_8)) + (portRef CE (instanceRef data_out_final_7)) + (portRef CE (instanceRef data_out_final_6)) + (portRef CE (instanceRef data_out_final_5)) + (portRef CE (instanceRef data_out_final_4)) + (portRef CE (instanceRef data_out_final_3)) + (portRef CE (instanceRef data_out_final_2)) + (portRef CE (instanceRef data_out_final_1)) + (portRef CE (instanceRef data_out_final_0)) + (portRef CE (instanceRef saturation_final)) + (portRef CE (instanceRef psum1_saved_69)) + (portRef CE (instanceRef psum1_saved_68)) + (portRef CE (instanceRef psum1_saved_67)) + (portRef CE (instanceRef psum1_saved_66)) + (portRef CE (instanceRef psum1_saved_65)) + (portRef CE (instanceRef psum1_saved_64)) + (portRef CE (instanceRef psum1_saved_63)) + (portRef CE (instanceRef psum1_saved_62)) + (portRef CE (instanceRef psum1_saved_61)) + (portRef CE (instanceRef psum1_saved_60)) + (portRef CE (instanceRef psum1_saved_59)) + (portRef CE (instanceRef psum1_saved_58)) + (portRef CE (instanceRef psum1_saved_57)) + (portRef CE (instanceRef psum1_saved_56)) + (portRef CE (instanceRef psum1_saved_55)) + (portRef CE (instanceRef psum1_saved_54)) + (portRef CE (instanceRef psum1_saved_53)) + (portRef CE (instanceRef psum1_saved_52)) + (portRef CE (instanceRef psum1_saved_51)) + (portRef CE (instanceRef psum1_saved_50)) + (portRef CE (instanceRef psum1_saved_49)) + (portRef CE (instanceRef psum1_saved_48)) + (portRef CE (instanceRef psum1_saved_47)) + (portRef CE (instanceRef psum1_saved_46)) + (portRef CE (instanceRef psum1_saved_45)) + (portRef CE (instanceRef psum1_saved_44)) + (portRef CE (instanceRef psum1_saved_43)) + (portRef CE (instanceRef psum1_saved_42)) + (portRef CE (instanceRef psum1_saved_41)) + (portRef CE (instanceRef psum1_saved_40)) + (portRef CE (instanceRef psum1_saved_39)) + (portRef CE (instanceRef psum1_saved_38)) + (portRef CE (instanceRef psum1_saved_37)) + (portRef CE (instanceRef psum1_saved_36)) + (portRef CE (instanceRef psum1_saved_35)) + (portRef CE (instanceRef psum1_saved_34)) + (portRef CE (instanceRef psum1_saved_33)) + (portRef CE (instanceRef psum1_saved_32)) + (portRef CE (instanceRef psum1_saved_31)) + (portRef CE (instanceRef psum1_saved_30)) + (portRef CE (instanceRef psum1_saved_29)) + (portRef CE (instanceRef psum1_saved_28)) + (portRef CE (instanceRef psum1_saved_27)) + (portRef CE (instanceRef psum1_saved_26)) + (portRef CE (instanceRef psum1_saved_25)) + (portRef CE (instanceRef psum1_saved_24)) + (portRef CE (instanceRef psum1_saved_23)) + (portRef CE (instanceRef psum1_saved_22)) + (portRef CE (instanceRef psum1_saved_21)) + (portRef CE (instanceRef psum1_saved_20)) + (portRef CE (instanceRef psum1_saved_19)) + (portRef CE (instanceRef psum1_saved_18)) + (portRef CE (instanceRef psum1_saved_17)) + (portRef CE (instanceRef psum1_saved_16)) + (portRef CE (instanceRef psum1_saved_15)) + (portRef CE (instanceRef psum1_saved_14)) + (portRef CE (instanceRef psum1_saved_13)) + (portRef CE (instanceRef psum1_saved_12)) + (portRef CE (instanceRef psum1_saved_11)) + (portRef CE (instanceRef psum1_saved_10)) + (portRef CE (instanceRef psum1_saved_9)) + (portRef CE (instanceRef psum1_saved_8)) + (portRef CE (instanceRef psum1_saved_7)) + (portRef CE (instanceRef psum1_saved_6)) + (portRef CE (instanceRef psum1_saved_5)) + (portRef CE (instanceRef psum1_saved_4)) + (portRef CE (instanceRef psum1_saved_3)) + (portRef CE (instanceRef psum1_saved_2)) + (portRef CE (instanceRef psum1_saved_1)) + (portRef CE (instanceRef psum1_saved_0)) + )) + (net (rename psum1_saved_70 "psum1_saved(70)") (joined + (portRef Q (instanceRef psum1_saved_69)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_s_70)) + (portRef I0 (instanceRef un1_psum0_signed_axb_69)) + (portRef I0 (instanceRef un1_psum0_signed_axb_70)) + )) + (net (rename un1_psum0_signed_axbZ0Z_70 "un1_psum0_signed_axb_70") (joined + (portRef O (instanceRef un1_psum0_signed_axb_70)) + (portRef (member S 1) (instanceRef un1_psum0_signed_s_70)) + )) + (net (rename un1_psum0_signed_58 "un1_psum0_signed(58)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_59)) + (portRef (member un1_psum0_signed 6) (instanceRef gO_4_11_MUX12)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_27_MUX12)) + (portRef (member un1_psum0_signed 12) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 8) (instanceRef gO_2_gO_2d_INC2)) + (portRef I0 (instanceRef un1_psum0_signed_cry_59_RNID9FQ)) + )) + (net (rename un1_psum0_signed_60 "un1_psum0_signed(60)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_63)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_29_MUX12)) + (portRef (member un1_psum0_signed 10) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 6) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 10) (instanceRef gO_2_gO_2cN_AN8)) + (portRef I1 (instanceRef un1_psum0_signed_cry_59_RNID9FQ)) + )) + (net (rename un1_psum0_signed_62 "un1_psum0_signed(62)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_63)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_31_MUX12)) + (portRef (member un1_psum0_signed 8) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 4) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 8) (instanceRef gO_2_gO_2cN_AN8)) + (portRef I2 (instanceRef un1_psum0_signed_cry_59_RNID9FQ)) + )) + (net (rename un1_psum0_signed_61 "un1_psum0_signed(61)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_63)) + (portRef (member un1_psum0_signed 3) (instanceRef gO_4_11_MUX12)) + (portRef (member un1_psum0_signed 9) (instanceRef gO_4_34_MUX12)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_30_MUX12)) + (portRef (member un1_psum0_signed 5) (instanceRef gO_2_gO_2d_INC2)) + (portRef I3 (instanceRef un1_psum0_signed_cry_59_RNID9FQ)) + )) + (net g0_11_2 (joined + (portRef O (instanceRef un1_psum0_signed_cry_59_RNID9FQ)) + (portRef g0_11_2 (instanceRef OR25)) + )) + (net (rename A1_product_signed_2_44 "A1_product_signed_2(44)") (joined + (portRef O (instanceRef psum1_signed_s_44_thru)) + (portRef I0 (instanceRef psum1_signed_s_44)) + )) + (net (rename A1_product_signed_1_45 "A1_product_signed_1(45)") (joined + (portRef O (instanceRef psum1_signed_s_45_thru)) + (portRef I0 (instanceRef psum1_signed_s_45)) + )) + (net (rename A1_product_signed_1_46 "A1_product_signed_1(46)") (joined + (portRef O (instanceRef psum1_signed_s_46_thru)) + (portRef I0 (instanceRef psum1_signed_s_46)) + )) + (net (rename A1_product_signed_1_47 "A1_product_signed_1(47)") (joined + (portRef O (instanceRef psum1_signed_s_47_thru)) + (portRef I0 (instanceRef psum1_signed_s_47)) + )) + (net (rename A1_product_signed_1_48 "A1_product_signed_1(48)") (joined + (portRef O (instanceRef psum1_signed_s_48_thru)) + (portRef I0 (instanceRef psum1_signed_s_48)) + )) + (net (rename A1_product_signed_1_49 "A1_product_signed_1(49)") (joined + (portRef O (instanceRef psum1_signed_s_49_thru)) + (portRef I0 (instanceRef psum1_signed_s_49)) + )) + (net (rename A1_product_signed_1_50 "A1_product_signed_1(50)") (joined + (portRef O (instanceRef psum1_signed_s_50_thru)) + (portRef I0 (instanceRef psum1_signed_s_50)) + )) + (net (rename A1_product_signed_1_51 "A1_product_signed_1(51)") (joined + (portRef O (instanceRef psum1_signed_s_51_thru)) + (portRef I0 (instanceRef psum1_signed_s_51)) + )) + (net (rename A1_product_signed_1_52 "A1_product_signed_1(52)") (joined + (portRef O (instanceRef psum1_signed_s_52_thru)) + (portRef I0 (instanceRef psum1_signed_s_52)) + )) + (net (rename A1_product_signed_1_53 "A1_product_signed_1(53)") (joined + (portRef O (instanceRef psum1_signed_s_53_thru)) + (portRef I0 (instanceRef psum1_signed_s_53)) + )) + (net (rename A1_product_signed_1_54 "A1_product_signed_1(54)") (joined + (portRef O (instanceRef psum1_signed_s_54_thru)) + (portRef I0 (instanceRef psum1_signed_s_54)) + )) + (net (rename A1_product_signed_1_55 "A1_product_signed_1(55)") (joined + (portRef O (instanceRef psum1_signed_s_55_thru)) + (portRef I0 (instanceRef psum1_signed_s_55)) + )) + (net (rename A1_product_signed_1_56 "A1_product_signed_1(56)") (joined + (portRef O (instanceRef psum1_signed_s_56_thru)) + (portRef I0 (instanceRef psum1_signed_s_56)) + )) + (net (rename A1_product_signed_1_57 "A1_product_signed_1(57)") (joined + (portRef O (instanceRef psum1_signed_s_57_thru)) + (portRef I0 (instanceRef psum1_signed_s_57)) + )) + (net (rename A1_product_signed_1_58 "A1_product_signed_1(58)") (joined + (portRef O (instanceRef psum1_signed_s_58_thru)) + (portRef I0 (instanceRef psum1_signed_s_58)) + )) + (net (rename A1_product_signed_1_59 "A1_product_signed_1(59)") (joined + (portRef O (instanceRef psum1_signed_s_59_thru)) + (portRef I0 (instanceRef psum1_signed_s_59)) + )) + (net (rename A1_product_signed_1_60 "A1_product_signed_1(60)") (joined + (portRef O (instanceRef psum1_signed_s_60_thru)) + (portRef I0 (instanceRef psum1_signed_s_60)) + )) + (net (rename A1_product_signed_1_61 "A1_product_signed_1(61)") (joined + (portRef O (instanceRef psum1_signed_s_61_thru)) + (portRef I0 (instanceRef psum1_signed_s_61)) + )) + (net (rename A1_product_signed_1_62 "A1_product_signed_1(62)") (joined + (portRef O (instanceRef psum1_signed_s_62_thru)) + (portRef I0 (instanceRef psum1_signed_s_62)) + )) + (net (rename A1_product_signed_1_63 "A1_product_signed_1(63)") (joined + (portRef O (instanceRef psum1_signed_s_63_thru)) + (portRef I0 (instanceRef psum1_signed_s_63)) + )) + (net (rename A1_product_signed_1_64 "A1_product_signed_1(64)") (joined + (portRef O (instanceRef psum1_signed_s_64_thru)) + (portRef I0 (instanceRef psum1_signed_s_64)) + )) + (net (rename A1_product_signed_1_66 "A1_product_signed_1(66)") (joined + (portRef O (instanceRef psum1_signed_s_66_thru)) + (portRef I0 (instanceRef psum1_signed_s_66)) + )) + (net (rename A1_product_signed_0_67 "A1_product_signed_0(67)") (joined + (portRef O (instanceRef psum1_signed_s_69_thru)) + (portRef (member S 2) (instanceRef psum1_signed_s_69)) + )) + (net (rename psum1_savedZ0Z_0 "psum1_saved(0)") (joined + (portRef Q (instanceRef psum1_saved_0)) + (portRef (member psum1_saved 20) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net clk (joined + (portRef clk) + (portRef C (instanceRef data_out_final_35)) + (portRef C (instanceRef data_out_final_34)) + (portRef C (instanceRef data_out_final_33)) + (portRef C (instanceRef data_out_final_32)) + (portRef C (instanceRef data_out_final_31)) + (portRef C (instanceRef data_out_final_30)) + (portRef C (instanceRef data_out_final_29)) + (portRef C (instanceRef data_out_final_28)) + (portRef C (instanceRef data_out_final_27)) + (portRef C (instanceRef data_out_final_26)) + (portRef C (instanceRef data_out_final_25)) + (portRef C (instanceRef data_out_final_24)) + (portRef C (instanceRef data_out_final_23)) + (portRef C (instanceRef data_out_final_22)) + (portRef C (instanceRef data_out_final_21)) + (portRef C (instanceRef data_out_final_20)) + (portRef C (instanceRef data_out_final_19)) + (portRef C (instanceRef data_out_final_18)) + (portRef C (instanceRef data_out_final_17)) + (portRef C (instanceRef data_out_final_16)) + (portRef C (instanceRef data_out_final_15)) + (portRef C (instanceRef data_out_final_14)) + (portRef C (instanceRef data_out_final_13)) + (portRef C (instanceRef data_out_final_12)) + (portRef C (instanceRef data_out_final_11)) + (portRef C (instanceRef data_out_final_10)) + (portRef C (instanceRef data_out_final_9)) + (portRef C (instanceRef data_out_final_8)) + (portRef C (instanceRef data_out_final_7)) + (portRef C (instanceRef data_out_final_6)) + (portRef C (instanceRef data_out_final_5)) + (portRef C (instanceRef data_out_final_4)) + (portRef C (instanceRef data_out_final_3)) + (portRef C (instanceRef data_out_final_2)) + (portRef C (instanceRef data_out_final_1)) + (portRef C (instanceRef data_out_final_0)) + (portRef C (instanceRef saturation_final)) + (portRef C (instanceRef psum1_saved_69)) + (portRef C (instanceRef psum1_saved_68)) + (portRef C (instanceRef psum1_saved_67)) + (portRef C (instanceRef psum1_saved_66)) + (portRef C (instanceRef psum1_saved_65)) + (portRef C (instanceRef psum1_saved_64)) + (portRef C (instanceRef psum1_saved_63)) + (portRef C (instanceRef psum1_saved_62)) + (portRef C (instanceRef psum1_saved_61)) + (portRef C (instanceRef psum1_saved_60)) + (portRef C (instanceRef psum1_saved_59)) + (portRef C (instanceRef psum1_saved_58)) + (portRef C (instanceRef psum1_saved_57)) + (portRef C (instanceRef psum1_saved_56)) + (portRef C (instanceRef psum1_saved_55)) + (portRef C (instanceRef psum1_saved_54)) + (portRef C (instanceRef psum1_saved_53)) + (portRef C (instanceRef psum1_saved_52)) + (portRef C (instanceRef psum1_saved_51)) + (portRef C (instanceRef psum1_saved_50)) + (portRef C (instanceRef psum1_saved_49)) + (portRef C (instanceRef psum1_saved_48)) + (portRef C (instanceRef psum1_saved_47)) + (portRef C (instanceRef psum1_saved_46)) + (portRef C (instanceRef psum1_saved_45)) + (portRef C (instanceRef psum1_saved_44)) + (portRef C (instanceRef psum1_saved_43)) + (portRef C (instanceRef psum1_saved_42)) + (portRef C (instanceRef psum1_saved_41)) + (portRef C (instanceRef psum1_saved_40)) + (portRef C (instanceRef psum1_saved_39)) + (portRef C (instanceRef psum1_saved_38)) + (portRef C (instanceRef psum1_saved_37)) + (portRef C (instanceRef psum1_saved_36)) + (portRef C (instanceRef psum1_saved_35)) + (portRef C (instanceRef psum1_saved_34)) + (portRef C (instanceRef psum1_saved_33)) + (portRef C (instanceRef psum1_saved_32)) + (portRef C (instanceRef psum1_saved_31)) + (portRef C (instanceRef psum1_saved_30)) + (portRef C (instanceRef psum1_saved_29)) + (portRef C (instanceRef psum1_saved_28)) + (portRef C (instanceRef psum1_saved_27)) + (portRef C (instanceRef psum1_saved_26)) + (portRef C (instanceRef psum1_saved_25)) + (portRef C (instanceRef psum1_saved_24)) + (portRef C (instanceRef psum1_saved_23)) + (portRef C (instanceRef psum1_saved_22)) + (portRef C (instanceRef psum1_saved_21)) + (portRef C (instanceRef psum1_saved_20)) + (portRef C (instanceRef psum1_saved_19)) + (portRef C (instanceRef psum1_saved_18)) + (portRef C (instanceRef psum1_saved_17)) + (portRef C (instanceRef psum1_saved_16)) + (portRef C (instanceRef psum1_saved_15)) + (portRef C (instanceRef psum1_saved_14)) + (portRef C (instanceRef psum1_saved_13)) + (portRef C (instanceRef psum1_saved_12)) + (portRef C (instanceRef psum1_saved_11)) + (portRef C (instanceRef psum1_saved_10)) + (portRef C (instanceRef psum1_saved_9)) + (portRef C (instanceRef psum1_saved_8)) + (portRef C (instanceRef psum1_saved_7)) + (portRef C (instanceRef psum1_saved_6)) + (portRef C (instanceRef psum1_saved_5)) + (portRef C (instanceRef psum1_saved_4)) + (portRef C (instanceRef psum1_saved_3)) + (portRef C (instanceRef psum1_saved_2)) + (portRef C (instanceRef psum1_saved_1)) + (portRef C (instanceRef psum1_saved_0)) + )) + (net rstn_i (joined + (portRef rstn_i) + (portRef CLR (instanceRef data_out_final_35)) + (portRef CLR (instanceRef data_out_final_34)) + (portRef CLR (instanceRef data_out_final_33)) + (portRef CLR (instanceRef data_out_final_32)) + (portRef CLR (instanceRef data_out_final_31)) + (portRef CLR (instanceRef data_out_final_30)) + (portRef CLR (instanceRef data_out_final_29)) + (portRef CLR (instanceRef data_out_final_28)) + (portRef CLR (instanceRef data_out_final_27)) + (portRef CLR (instanceRef data_out_final_26)) + (portRef CLR (instanceRef data_out_final_25)) + (portRef CLR (instanceRef data_out_final_24)) + (portRef CLR (instanceRef data_out_final_23)) + (portRef CLR (instanceRef data_out_final_22)) + (portRef CLR (instanceRef data_out_final_21)) + (portRef CLR (instanceRef data_out_final_20)) + (portRef CLR (instanceRef data_out_final_19)) + (portRef CLR (instanceRef data_out_final_18)) + (portRef CLR (instanceRef data_out_final_17)) + (portRef CLR (instanceRef data_out_final_16)) + (portRef CLR (instanceRef data_out_final_15)) + (portRef CLR (instanceRef data_out_final_14)) + (portRef CLR (instanceRef data_out_final_13)) + (portRef CLR (instanceRef data_out_final_12)) + (portRef CLR (instanceRef data_out_final_11)) + (portRef CLR (instanceRef data_out_final_10)) + (portRef CLR (instanceRef data_out_final_9)) + (portRef CLR (instanceRef data_out_final_8)) + (portRef CLR (instanceRef data_out_final_7)) + (portRef CLR (instanceRef data_out_final_6)) + (portRef CLR (instanceRef data_out_final_5)) + (portRef CLR (instanceRef data_out_final_4)) + (portRef CLR (instanceRef data_out_final_3)) + (portRef CLR (instanceRef data_out_final_2)) + (portRef CLR (instanceRef data_out_final_1)) + (portRef CLR (instanceRef data_out_final_0)) + (portRef CLR (instanceRef saturation_final)) + (portRef CLR (instanceRef psum1_saved_69)) + (portRef CLR (instanceRef psum1_saved_68)) + (portRef CLR (instanceRef psum1_saved_67)) + (portRef CLR (instanceRef psum1_saved_66)) + (portRef CLR (instanceRef psum1_saved_65)) + (portRef CLR (instanceRef psum1_saved_64)) + (portRef CLR (instanceRef psum1_saved_63)) + (portRef CLR (instanceRef psum1_saved_62)) + (portRef CLR (instanceRef psum1_saved_61)) + (portRef CLR (instanceRef psum1_saved_60)) + (portRef CLR (instanceRef psum1_saved_59)) + (portRef CLR (instanceRef psum1_saved_58)) + (portRef CLR (instanceRef psum1_saved_57)) + (portRef CLR (instanceRef psum1_saved_56)) + (portRef CLR (instanceRef psum1_saved_55)) + (portRef CLR (instanceRef psum1_saved_54)) + (portRef CLR (instanceRef psum1_saved_53)) + (portRef CLR (instanceRef psum1_saved_52)) + (portRef CLR (instanceRef psum1_saved_51)) + (portRef CLR (instanceRef psum1_saved_50)) + (portRef CLR (instanceRef psum1_saved_49)) + (portRef CLR (instanceRef psum1_saved_48)) + (portRef CLR (instanceRef psum1_saved_47)) + (portRef CLR (instanceRef psum1_saved_46)) + (portRef CLR (instanceRef psum1_saved_45)) + (portRef CLR (instanceRef psum1_saved_44)) + (portRef CLR (instanceRef psum1_saved_43)) + (portRef CLR (instanceRef psum1_saved_42)) + (portRef CLR (instanceRef psum1_saved_41)) + (portRef CLR (instanceRef psum1_saved_40)) + (portRef CLR (instanceRef psum1_saved_39)) + (portRef CLR (instanceRef psum1_saved_38)) + (portRef CLR (instanceRef psum1_saved_37)) + (portRef CLR (instanceRef psum1_saved_36)) + (portRef CLR (instanceRef psum1_saved_35)) + (portRef CLR (instanceRef psum1_saved_34)) + (portRef CLR (instanceRef psum1_saved_33)) + (portRef CLR (instanceRef psum1_saved_32)) + (portRef CLR (instanceRef psum1_saved_31)) + (portRef CLR (instanceRef psum1_saved_30)) + (portRef CLR (instanceRef psum1_saved_29)) + (portRef CLR (instanceRef psum1_saved_28)) + (portRef CLR (instanceRef psum1_saved_27)) + (portRef CLR (instanceRef psum1_saved_26)) + (portRef CLR (instanceRef psum1_saved_25)) + (portRef CLR (instanceRef psum1_saved_24)) + (portRef CLR (instanceRef psum1_saved_23)) + (portRef CLR (instanceRef psum1_saved_22)) + (portRef CLR (instanceRef psum1_saved_21)) + (portRef CLR (instanceRef psum1_saved_20)) + (portRef CLR (instanceRef psum1_saved_19)) + (portRef CLR (instanceRef psum1_saved_18)) + (portRef CLR (instanceRef psum1_saved_17)) + (portRef CLR (instanceRef psum1_saved_16)) + (portRef CLR (instanceRef psum1_saved_15)) + (portRef CLR (instanceRef psum1_saved_14)) + (portRef CLR (instanceRef psum1_saved_13)) + (portRef CLR (instanceRef psum1_saved_12)) + (portRef CLR (instanceRef psum1_saved_11)) + (portRef CLR (instanceRef psum1_saved_10)) + (portRef CLR (instanceRef psum1_saved_9)) + (portRef CLR (instanceRef psum1_saved_8)) + (portRef CLR (instanceRef psum1_saved_7)) + (portRef CLR (instanceRef psum1_saved_6)) + (portRef CLR (instanceRef psum1_saved_5)) + (portRef CLR (instanceRef psum1_saved_4)) + (portRef CLR (instanceRef psum1_saved_3)) + (portRef CLR (instanceRef psum1_saved_2)) + (portRef CLR (instanceRef psum1_saved_1)) + (portRef CLR (instanceRef psum1_saved_0)) + )) + (net (rename psum1_savedZ0Z_1 "psum1_saved(1)") (joined + (portRef Q (instanceRef psum1_saved_1)) + (portRef (member psum1_saved 19) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_2 "psum1_saved(2)") (joined + (portRef Q (instanceRef psum1_saved_2)) + (portRef (member psum1_saved 18) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_3 "psum1_saved(3)") (joined + (portRef Q (instanceRef psum1_saved_3)) + (portRef (member psum1_saved 17) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_4 "psum1_saved(4)") (joined + (portRef Q (instanceRef psum1_saved_4)) + (portRef (member psum1_saved 16) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_5 "psum1_saved(5)") (joined + (portRef Q (instanceRef psum1_saved_5)) + (portRef (member psum1_saved 15) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_6 "psum1_saved(6)") (joined + (portRef Q (instanceRef psum1_saved_6)) + (portRef (member psum1_saved 14) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_7 "psum1_saved(7)") (joined + (portRef Q (instanceRef psum1_saved_7)) + (portRef (member psum1_saved 13) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_8 "psum1_saved(8)") (joined + (portRef Q (instanceRef psum1_saved_8)) + (portRef (member psum1_saved 12) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_9 "psum1_saved(9)") (joined + (portRef Q (instanceRef psum1_saved_9)) + (portRef (member psum1_saved 11) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_10 "psum1_saved(10)") (joined + (portRef Q (instanceRef psum1_saved_10)) + (portRef (member psum1_saved 10) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_11 "psum1_saved(11)") (joined + (portRef Q (instanceRef psum1_saved_11)) + (portRef (member psum1_saved 9) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_12 "psum1_saved(12)") (joined + (portRef Q (instanceRef psum1_saved_12)) + (portRef (member psum1_saved 8) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_13 "psum1_saved(13)") (joined + (portRef Q (instanceRef psum1_saved_13)) + (portRef (member psum1_saved 7) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_14 "psum1_saved(14)") (joined + (portRef Q (instanceRef psum1_saved_14)) + (portRef (member psum1_saved 6) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_15 "psum1_saved(15)") (joined + (portRef Q (instanceRef psum1_saved_15)) + (portRef (member psum1_saved 5) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_16 "psum1_saved(16)") (joined + (portRef Q (instanceRef psum1_saved_16)) + (portRef (member psum1_saved 4) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_17 "psum1_saved(17)") (joined + (portRef Q (instanceRef psum1_saved_17)) + (portRef (member psum1_saved 3) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_18 "psum1_saved(18)") (joined + (portRef Q (instanceRef psum1_saved_18)) + (portRef (member psum1_saved 2) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_19 "psum1_saved(19)") (joined + (portRef Q (instanceRef psum1_saved_19)) + (portRef (member psum1_saved 1) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_20 "psum1_saved(20)") (joined + (portRef Q (instanceRef psum1_saved_20)) + (portRef (member psum1_saved 0) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_23)) + (portRef I0 (instanceRef un1_psum0_signed_axb_20)) + )) + (net (rename psum1_savedZ0Z_21 "psum1_saved(21)") (joined + (portRef Q (instanceRef psum1_saved_21)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_23)) + (portRef I0 (instanceRef un1_psum0_signed_axb_21)) + )) + (net (rename psum1_savedZ0Z_22 "psum1_saved(22)") (joined + (portRef Q (instanceRef psum1_saved_22)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_23)) + (portRef I0 (instanceRef un1_psum0_signed_axb_22)) + )) + (net (rename psum1_savedZ0Z_23 "psum1_saved(23)") (joined + (portRef Q (instanceRef psum1_saved_23)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_23)) + (portRef I0 (instanceRef un1_psum0_signed_axb_23)) + )) + (net (rename psum1_savedZ0Z_24 "psum1_saved(24)") (joined + (portRef Q (instanceRef psum1_saved_24)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_27)) + (portRef I0 (instanceRef un1_psum0_signed_axb_24)) + )) + (net (rename psum1_savedZ0Z_25 "psum1_saved(25)") (joined + (portRef Q (instanceRef psum1_saved_25)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_27)) + (portRef I0 (instanceRef un1_psum0_signed_axb_25)) + )) + (net (rename psum1_savedZ0Z_26 "psum1_saved(26)") (joined + (portRef Q (instanceRef psum1_saved_26)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_27)) + (portRef I0 (instanceRef un1_psum0_signed_axb_26)) + )) + (net (rename psum1_savedZ0Z_27 "psum1_saved(27)") (joined + (portRef Q (instanceRef psum1_saved_27)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_27)) + (portRef I0 (instanceRef un1_psum0_signed_axb_27)) + )) + (net (rename psum1_savedZ0Z_28 "psum1_saved(28)") (joined + (portRef Q (instanceRef psum1_saved_28)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_31)) + (portRef I0 (instanceRef un1_psum0_signed_axb_28)) + )) + (net (rename psum1_savedZ0Z_29 "psum1_saved(29)") (joined + (portRef Q (instanceRef psum1_saved_29)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_31)) + (portRef I0 (instanceRef un1_psum0_signed_axb_29)) + )) + (net (rename psum1_savedZ0Z_30 "psum1_saved(30)") (joined + (portRef Q (instanceRef psum1_saved_30)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_31)) + (portRef I0 (instanceRef un1_psum0_signed_axb_30)) + )) + (net (rename psum1_savedZ0Z_31 "psum1_saved(31)") (joined + (portRef Q (instanceRef psum1_saved_31)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_31)) + (portRef I0 (instanceRef un1_psum0_signed_axb_31)) + )) + (net (rename psum1_savedZ0Z_32 "psum1_saved(32)") (joined + (portRef Q (instanceRef psum1_saved_32)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_35)) + (portRef I0 (instanceRef un1_psum0_signed_axb_32)) + )) + (net (rename psum1_savedZ0Z_33 "psum1_saved(33)") (joined + (portRef Q (instanceRef psum1_saved_33)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_35)) + (portRef I0 (instanceRef un1_psum0_signed_axb_33)) + )) + (net (rename psum1_savedZ0Z_34 "psum1_saved(34)") (joined + (portRef Q (instanceRef psum1_saved_34)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_35)) + (portRef I0 (instanceRef un1_psum0_signed_axb_34)) + )) + (net (rename psum1_savedZ0Z_35 "psum1_saved(35)") (joined + (portRef Q (instanceRef psum1_saved_35)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_35)) + (portRef I0 (instanceRef un1_psum0_signed_axb_35)) + )) + (net (rename psum1_savedZ0Z_36 "psum1_saved(36)") (joined + (portRef Q (instanceRef psum1_saved_36)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_39)) + (portRef I0 (instanceRef un1_psum0_signed_axb_36)) + )) + (net (rename psum1_savedZ0Z_37 "psum1_saved(37)") (joined + (portRef Q (instanceRef psum1_saved_37)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_39)) + (portRef I0 (instanceRef un1_psum0_signed_axb_37)) + )) + (net (rename psum1_savedZ0Z_38 "psum1_saved(38)") (joined + (portRef Q (instanceRef psum1_saved_38)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_39)) + (portRef I0 (instanceRef un1_psum0_signed_axb_38)) + )) + (net (rename psum1_savedZ0Z_39 "psum1_saved(39)") (joined + (portRef Q (instanceRef psum1_saved_39)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_39)) + (portRef I0 (instanceRef un1_psum0_signed_axb_39)) + )) + (net (rename psum1_savedZ0Z_40 "psum1_saved(40)") (joined + (portRef Q (instanceRef psum1_saved_40)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_43)) + (portRef I0 (instanceRef un1_psum0_signed_axb_40)) + )) + (net (rename psum1_savedZ0Z_41 "psum1_saved(41)") (joined + (portRef Q (instanceRef psum1_saved_41)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_43)) + (portRef I0 (instanceRef un1_psum0_signed_axb_41)) + )) + (net (rename psum1_savedZ0Z_42 "psum1_saved(42)") (joined + (portRef Q (instanceRef psum1_saved_42)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_43)) + (portRef I0 (instanceRef un1_psum0_signed_axb_42)) + )) + (net (rename psum1_savedZ0Z_43 "psum1_saved(43)") (joined + (portRef Q (instanceRef psum1_saved_43)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_43)) + (portRef I0 (instanceRef un1_psum0_signed_axb_43)) + )) + (net (rename psum1_savedZ0Z_44 "psum1_saved(44)") (joined + (portRef Q (instanceRef psum1_saved_44)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_47)) + (portRef I0 (instanceRef un1_psum0_signed_axb_44)) + )) + (net (rename psum1_savedZ0Z_45 "psum1_saved(45)") (joined + (portRef Q (instanceRef psum1_saved_45)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_47)) + (portRef I0 (instanceRef un1_psum0_signed_axb_45)) + )) + (net (rename psum1_savedZ0Z_46 "psum1_saved(46)") (joined + (portRef Q (instanceRef psum1_saved_46)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_47)) + (portRef I0 (instanceRef un1_psum0_signed_axb_46)) + )) + (net (rename psum1_savedZ0Z_47 "psum1_saved(47)") (joined + (portRef Q (instanceRef psum1_saved_47)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_47)) + (portRef I0 (instanceRef un1_psum0_signed_axb_47)) + )) + (net (rename psum1_savedZ0Z_48 "psum1_saved(48)") (joined + (portRef Q (instanceRef psum1_saved_48)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_51)) + (portRef I0 (instanceRef un1_psum0_signed_axb_48)) + )) + (net (rename psum1_savedZ0Z_49 "psum1_saved(49)") (joined + (portRef Q (instanceRef psum1_saved_49)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_51)) + (portRef I0 (instanceRef un1_psum0_signed_axb_49)) + )) + (net (rename psum1_savedZ0Z_50 "psum1_saved(50)") (joined + (portRef Q (instanceRef psum1_saved_50)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_51)) + (portRef I0 (instanceRef un1_psum0_signed_axb_50)) + )) + (net (rename psum1_savedZ0Z_51 "psum1_saved(51)") (joined + (portRef Q (instanceRef psum1_saved_51)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_51)) + (portRef I0 (instanceRef un1_psum0_signed_axb_51)) + )) + (net (rename psum1_savedZ0Z_52 "psum1_saved(52)") (joined + (portRef Q (instanceRef psum1_saved_52)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_55)) + (portRef I0 (instanceRef un1_psum0_signed_axb_52)) + )) + (net (rename psum1_savedZ0Z_53 "psum1_saved(53)") (joined + (portRef Q (instanceRef psum1_saved_53)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_55)) + (portRef I0 (instanceRef un1_psum0_signed_axb_53)) + )) + (net (rename psum1_savedZ0Z_54 "psum1_saved(54)") (joined + (portRef Q (instanceRef psum1_saved_54)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_55)) + (portRef I0 (instanceRef un1_psum0_signed_axb_54)) + )) + (net (rename psum1_savedZ0Z_55 "psum1_saved(55)") (joined + (portRef Q (instanceRef psum1_saved_55)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_55)) + (portRef I0 (instanceRef un1_psum0_signed_axb_55)) + )) + (net (rename psum1_savedZ0Z_56 "psum1_saved(56)") (joined + (portRef Q (instanceRef psum1_saved_56)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_59)) + (portRef I0 (instanceRef un1_psum0_signed_axb_56)) + )) + (net (rename psum1_savedZ0Z_57 "psum1_saved(57)") (joined + (portRef Q (instanceRef psum1_saved_57)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_59)) + (portRef I0 (instanceRef un1_psum0_signed_axb_57)) + )) + (net (rename psum1_savedZ0Z_58 "psum1_saved(58)") (joined + (portRef Q (instanceRef psum1_saved_58)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_59)) + (portRef I0 (instanceRef un1_psum0_signed_axb_58)) + )) + (net (rename psum1_savedZ0Z_59 "psum1_saved(59)") (joined + (portRef Q (instanceRef psum1_saved_59)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_59)) + (portRef I0 (instanceRef un1_psum0_signed_axb_59)) + )) + (net (rename psum1_savedZ0Z_60 "psum1_saved(60)") (joined + (portRef Q (instanceRef psum1_saved_60)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_63)) + (portRef I0 (instanceRef un1_psum0_signed_axb_60)) + )) + (net (rename psum1_savedZ0Z_61 "psum1_saved(61)") (joined + (portRef Q (instanceRef psum1_saved_61)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_63)) + (portRef I0 (instanceRef un1_psum0_signed_axb_61)) + )) + (net (rename psum1_savedZ0Z_62 "psum1_saved(62)") (joined + (portRef Q (instanceRef psum1_saved_62)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_63)) + (portRef I0 (instanceRef un1_psum0_signed_axb_62)) + )) + (net (rename psum1_savedZ0Z_63 "psum1_saved(63)") (joined + (portRef Q (instanceRef psum1_saved_63)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_63)) + (portRef I0 (instanceRef un1_psum0_signed_axb_63)) + )) + (net (rename psum1_savedZ0Z_64 "psum1_saved(64)") (joined + (portRef Q (instanceRef psum1_saved_64)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_67)) + (portRef I0 (instanceRef un1_psum0_signed_axb_64)) + )) + (net (rename psum1_savedZ0Z_65 "psum1_saved(65)") (joined + (portRef Q (instanceRef psum1_saved_65)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_67)) + (portRef I0 (instanceRef un1_psum0_signed_axb_65)) + )) + (net (rename psum1_savedZ0Z_66 "psum1_saved(66)") (joined + (portRef Q (instanceRef psum1_saved_66)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_67)) + (portRef I0 (instanceRef un1_psum0_signed_axb_66)) + )) + (net (rename psum1_savedZ0Z_67 "psum1_saved(67)") (joined + (portRef Q (instanceRef psum1_saved_67)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_67)) + (portRef I0 (instanceRef un1_psum0_signed_axb_67)) + )) + (net (rename psum1_savedZ0Z_68 "psum1_saved(68)") (joined + (portRef Q (instanceRef psum1_saved_68)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_s_70)) + (portRef I0 (instanceRef un1_psum0_signed_axb_68)) + )) + (net saturation_1 (joined + (portRef Q (instanceRef saturation_final)) + (portRef saturation_1) + )) + (net N_68_i (joined + (portRef N_68_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef saturation_final)) + )) + (net (rename dout_1_0 "dout_1(0)") (joined + (portRef Q (instanceRef data_out_final_0)) + (portRef (member dout_1 35)) + )) + (net (rename data_out_final_3_0 "data_out_final_3(0)") (joined + (portRef (member data_out_final_3 22) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_0)) + )) + (net (rename dout_1_1 "dout_1(1)") (joined + (portRef Q (instanceRef data_out_final_1)) + (portRef (member dout_1 34)) + )) + (net N_46_i (joined + (portRef N_46_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_1)) + )) + (net (rename dout_1_2 "dout_1(2)") (joined + (portRef Q (instanceRef data_out_final_2)) + (portRef (member dout_1 33)) + )) + (net (rename data_out_final_3_2 "data_out_final_3(2)") (joined + (portRef (member data_out_final_3 20) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_2)) + )) + (net (rename dout_1_3 "dout_1(3)") (joined + (portRef Q (instanceRef data_out_final_3)) + (portRef (member dout_1 32)) + )) + (net (rename data_out_final_3_3 "data_out_final_3(3)") (joined + (portRef (member data_out_final_3 19) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_3)) + )) + (net (rename dout_1_4 "dout_1(4)") (joined + (portRef Q (instanceRef data_out_final_4)) + (portRef (member dout_1 31)) + )) + (net (rename data_out_final_3_4 "data_out_final_3(4)") (joined + (portRef (member data_out_final_3 18) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_4)) + )) + (net (rename dout_1_5 "dout_1(5)") (joined + (portRef Q (instanceRef data_out_final_5)) + (portRef (member dout_1 30)) + )) + (net N_42_i (joined + (portRef N_42_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_5)) + )) + (net (rename dout_1_6 "dout_1(6)") (joined + (portRef Q (instanceRef data_out_final_6)) + (portRef (member dout_1 29)) + )) + (net N_38_i (joined + (portRef N_38_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_6)) + )) + (net (rename dout_1_7 "dout_1(7)") (joined + (portRef Q (instanceRef data_out_final_7)) + (portRef (member dout_1 28)) + )) + (net (rename data_out_final_3_7 "data_out_final_3(7)") (joined + (portRef (member data_out_final_3 15) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_7)) + )) + (net (rename dout_1_8 "dout_1(8)") (joined + (portRef Q (instanceRef data_out_final_8)) + (portRef (member dout_1 27)) + )) + (net (rename data_out_final_3_8 "data_out_final_3(8)") (joined + (portRef (member data_out_final_3 14) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_8)) + )) + (net (rename dout_1_9 "dout_1(9)") (joined + (portRef Q (instanceRef data_out_final_9)) + (portRef (member dout_1 26)) + )) + (net (rename data_out_final_3_9 "data_out_final_3(9)") (joined + (portRef (member data_out_final_3 13) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_9)) + )) + (net (rename dout_1_10 "dout_1(10)") (joined + (portRef Q (instanceRef data_out_final_10)) + (portRef (member dout_1 25)) + )) + (net (rename data_out_final_3_10 "data_out_final_3(10)") (joined + (portRef (member data_out_final_3 12) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_10)) + )) + (net (rename dout_1_11 "dout_1(11)") (joined + (portRef Q (instanceRef data_out_final_11)) + (portRef (member dout_1 24)) + )) + (net N_45_i (joined + (portRef N_45_i (instanceRef gO_4_11_MUX12)) + (portRef D (instanceRef data_out_final_11)) + )) + (net (rename dout_1_12 "dout_1(12)") (joined + (portRef Q (instanceRef data_out_final_12)) + (portRef (member dout_1 23)) + )) + (net (rename data_out_final_3_12 "data_out_final_3(12)") (joined + (portRef (member data_out_final_3 10) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_12)) + )) + (net (rename dout_1_13 "dout_1(13)") (joined + (portRef Q (instanceRef data_out_final_13)) + (portRef (member dout_1 22)) + )) + (net (rename data_out_final_3_13 "data_out_final_3(13)") (joined + (portRef (member data_out_final_3 9) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_13)) + )) + (net (rename dout_1_14 "dout_1(14)") (joined + (portRef Q (instanceRef data_out_final_14)) + (portRef (member dout_1 21)) + )) + (net (rename data_out_final_3_14 "data_out_final_3(14)") (joined + (portRef (member data_out_final_3 8) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_14)) + )) + (net (rename dout_1_15 "dout_1(15)") (joined + (portRef Q (instanceRef data_out_final_15)) + (portRef (member dout_1 20)) + )) + (net (rename data_out_final_3_15 "data_out_final_3(15)") (joined + (portRef (member data_out_final_3 7) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_15)) + )) + (net (rename dout_1_16 "dout_1(16)") (joined + (portRef Q (instanceRef data_out_final_16)) + (portRef (member dout_1 19)) + )) + (net N_34_i (joined + (portRef N_34_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_16)) + )) + (net (rename dout_1_17 "dout_1(17)") (joined + (portRef Q (instanceRef data_out_final_17)) + (portRef (member dout_1 18)) + )) + (net N_30_i (joined + (portRef N_30_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_17)) + )) + (net (rename dout_1_18 "dout_1(18)") (joined + (portRef Q (instanceRef data_out_final_18)) + (portRef (member dout_1 17)) + )) + (net N_26_i (joined + (portRef N_26_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_18)) + )) + (net (rename dout_1_19 "dout_1(19)") (joined + (portRef Q (instanceRef data_out_final_19)) + (portRef (member dout_1 16)) + )) + (net (rename data_out_final_3_19 "data_out_final_3(19)") (joined + (portRef (member data_out_final_3 3) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_19)) + )) + (net (rename dout_1_20 "dout_1(20)") (joined + (portRef Q (instanceRef data_out_final_20)) + (portRef (member dout_1 15)) + )) + (net N_22_i (joined + (portRef N_22_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_20)) + )) + (net (rename dout_1_21 "dout_1(21)") (joined + (portRef Q (instanceRef data_out_final_21)) + (portRef (member dout_1 14)) + )) + (net N_18_i (joined + (portRef N_18_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_21)) + )) + (net (rename dout_1_22 "dout_1(22)") (joined + (portRef Q (instanceRef data_out_final_22)) + (portRef (member dout_1 13)) + )) + (net (rename data_out_final_3_22 "data_out_final_3(22)") (joined + (portRef (member data_out_final_3 0) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_22)) + )) + (net (rename dout_1_23 "dout_1(23)") (joined + (portRef Q (instanceRef data_out_final_23)) + (portRef (member dout_1 12)) + )) + (net N_49_i (joined + (portRef N_49_i (instanceRef gO_4_11_MUX12)) + (portRef D (instanceRef data_out_final_23)) + )) + (net (rename dout_1_24 "dout_1(24)") (joined + (portRef Q (instanceRef data_out_final_24)) + (portRef (member dout_1 11)) + )) + (net N_53_i (joined + (portRef N_53_i (instanceRef gO_4_11_MUX12)) + (portRef D (instanceRef data_out_final_24)) + )) + (net (rename dout_1_25 "dout_1(25)") (joined + (portRef Q (instanceRef data_out_final_25)) + (portRef (member dout_1 10)) + )) + (net N_57_i (joined + (portRef N_57_i (instanceRef gO_4_11_MUX12)) + (portRef D (instanceRef data_out_final_25)) + )) + (net (rename dout_1_26 "dout_1(26)") (joined + (portRef Q (instanceRef data_out_final_26)) + (portRef (member dout_1 9)) + )) + (net N_61_i (joined + (portRef N_61_i (instanceRef gO_4_11_MUX12)) + (portRef D (instanceRef data_out_final_26)) + )) + (net (rename dout_1_27 "dout_1(27)") (joined + (portRef Q (instanceRef data_out_final_27)) + (portRef (member dout_1 8)) + )) + (net N_65_i (joined + (portRef N_65_i (instanceRef gO_4_11_MUX12)) + (portRef D (instanceRef data_out_final_27)) + )) + (net (rename dout_1_28 "dout_1(28)") (joined + (portRef Q (instanceRef data_out_final_28)) + (portRef (member dout_1 7)) + )) + (net data_out_final_3_6_3 (joined + (portRef data_out_final_3_6_3 (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_28)) + )) + (net (rename dout_1_29 "dout_1(29)") (joined + (portRef Q (instanceRef data_out_final_29)) + (portRef (member dout_1 6)) + )) + (net N_14_i (joined + (portRef N_14_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_29)) + )) + (net (rename dout_1_30 "dout_1(30)") (joined + (portRef Q (instanceRef data_out_final_30)) + (portRef (member dout_1 5)) + )) + (net N_75_i (joined + (portRef N_75_i (instanceRef gO_4_11_MUX12)) + (portRef D (instanceRef data_out_final_30)) + )) + (net (rename dout_1_31 "dout_1(31)") (joined + (portRef Q (instanceRef data_out_final_31)) + (portRef (member dout_1 4)) + )) + (net N_10_i (joined + (portRef N_10_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_31)) + )) + (net (rename dout_1_32 "dout_1(32)") (joined + (portRef Q (instanceRef data_out_final_32)) + (portRef (member dout_1 3)) + )) + (net N_77_i (joined + (portRef N_77_i (instanceRef gO_4_11_MUX12)) + (portRef D (instanceRef data_out_final_32)) + )) + (net (rename dout_1_33 "dout_1(33)") (joined + (portRef Q (instanceRef data_out_final_33)) + (portRef (member dout_1 2)) + )) + (net N_153_i (joined + (portRef N_153_i (instanceRef gO_4_11_MUX12)) + (portRef D (instanceRef data_out_final_33)) + )) + (net (rename dout_1_34 "dout_1(34)") (joined + (portRef Q (instanceRef data_out_final_34)) + (portRef (member dout_1 1)) + )) + (net N_6_i (joined + (portRef N_6_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_34)) + )) + (net (rename dout_1_35 "dout_1(35)") (joined + (portRef Q (instanceRef data_out_final_35)) + (portRef (member dout_1 0)) + )) + (net data_out_final_3_38 (joined + (portRef data_out_final_3_38 (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_35)) + )) + (net psum1_signed_cry_65 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_67)) + (portRef I1 (instanceRef psum1_signed_s_66)) + )) + (net (rename psum1_signed_cryZ0Z_63 "psum1_signed_cry_63") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_63)) + (portRef CI (instanceRef psum1_signed_cry_67)) + (portRef I1 (instanceRef psum1_signed_s_64)) + )) + (net psum1_signed_cry_62 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_63)) + (portRef I1 (instanceRef psum1_signed_s_63)) + )) + (net psum1_signed_cry_61 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_63)) + (portRef I1 (instanceRef psum1_signed_s_62)) + )) + (net psum1_signed_cry_60 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_63)) + (portRef I1 (instanceRef psum1_signed_s_61)) + )) + (net (rename psum1_signed_cryZ0Z_59 "psum1_signed_cry_59") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_59)) + (portRef CI (instanceRef psum1_signed_cry_63)) + (portRef I1 (instanceRef psum1_signed_s_60)) + )) + (net psum1_signed_cry_58 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_59)) + (portRef I1 (instanceRef psum1_signed_s_59)) + )) + (net psum1_signed_cry_57 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_59)) + (portRef I1 (instanceRef psum1_signed_s_58)) + )) + (net psum1_signed_cry_56 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_59)) + (portRef I1 (instanceRef psum1_signed_s_57)) + )) + (net (rename psum1_signed_cryZ0Z_55 "psum1_signed_cry_55") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_55)) + (portRef CI (instanceRef psum1_signed_cry_59)) + (portRef I1 (instanceRef psum1_signed_s_56)) + )) + (net psum1_signed_cry_54 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_55)) + (portRef I1 (instanceRef psum1_signed_s_55)) + )) + (net psum1_signed_cry_53 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_55)) + (portRef I1 (instanceRef psum1_signed_s_54)) + )) + (net psum1_signed_cry_52 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_55)) + (portRef I1 (instanceRef psum1_signed_s_53)) + )) + (net (rename psum1_signed_cryZ0Z_51 "psum1_signed_cry_51") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_51)) + (portRef CI (instanceRef psum1_signed_cry_55)) + (portRef I1 (instanceRef psum1_signed_s_52)) + )) + (net psum1_signed_cry_50 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_51)) + (portRef I1 (instanceRef psum1_signed_s_51)) + )) + (net psum1_signed_cry_49 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_51)) + (portRef I1 (instanceRef psum1_signed_s_50)) + )) + (net psum1_signed_cry_48 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_51)) + (portRef I1 (instanceRef psum1_signed_s_49)) + )) + (net (rename psum1_signed_cryZ0Z_47 "psum1_signed_cry_47") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_47)) + (portRef CI (instanceRef psum1_signed_cry_51)) + (portRef I1 (instanceRef psum1_signed_s_48)) + )) + (net psum1_signed_cry_46 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_47)) + (portRef I1 (instanceRef psum1_signed_s_47)) + )) + (net psum1_signed_cry_45 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_47)) + (portRef I1 (instanceRef psum1_signed_s_46)) + )) + (net psum1_signed_cry_44 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_47)) + (portRef I1 (instanceRef psum1_signed_s_45)) + )) + (net (rename psum1_signed_cryZ0Z_43 "psum1_signed_cry_43") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_43)) + (portRef CI (instanceRef psum1_signed_cry_47)) + (portRef I1 (instanceRef psum1_signed_s_44)) + )) + (net (rename un1_psum0_signed_axbZ0Z_69 "un1_psum0_signed_axb_69") (joined + (portRef O (instanceRef un1_psum0_signed_axb_69)) + (portRef (member S 2) (instanceRef un1_psum0_signed_s_70)) + )) + (net (rename un1_psum0_signed_axbZ0Z_68 "un1_psum0_signed_axb_68") (joined + (portRef O (instanceRef un1_psum0_signed_axb_68)) + (portRef (member S 3) (instanceRef un1_psum0_signed_s_70)) + )) + (net (rename un1_psum0_signed_axbZ0Z_67 "un1_psum0_signed_axb_67") (joined + (portRef O (instanceRef un1_psum0_signed_axb_67)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_67)) + )) + (net (rename un1_psum0_signed_axbZ0Z_66 "un1_psum0_signed_axb_66") (joined + (portRef O (instanceRef un1_psum0_signed_axb_66)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_67)) + )) + (net (rename un1_psum0_signed_axbZ0Z_65 "un1_psum0_signed_axb_65") (joined + (portRef O (instanceRef un1_psum0_signed_axb_65)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_67)) + )) + (net (rename un1_psum0_signed_axbZ0Z_64 "un1_psum0_signed_axb_64") (joined + (portRef O (instanceRef un1_psum0_signed_axb_64)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_67)) + )) + (net (rename un1_psum0_signed_axbZ0Z_63 "un1_psum0_signed_axb_63") (joined + (portRef O (instanceRef un1_psum0_signed_axb_63)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_63)) + )) + (net (rename un1_psum0_signed_axbZ0Z_62 "un1_psum0_signed_axb_62") (joined + (portRef O (instanceRef un1_psum0_signed_axb_62)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_63)) + )) + (net (rename un1_psum0_signed_axbZ0Z_61 "un1_psum0_signed_axb_61") (joined + (portRef O (instanceRef un1_psum0_signed_axb_61)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_63)) + )) + (net (rename un1_psum0_signed_axbZ0Z_60 "un1_psum0_signed_axb_60") (joined + (portRef O (instanceRef un1_psum0_signed_axb_60)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_63)) + )) + (net (rename un1_psum0_signed_axbZ0Z_59 "un1_psum0_signed_axb_59") (joined + (portRef O (instanceRef un1_psum0_signed_axb_59)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_59)) + )) + (net (rename un1_psum0_signed_axbZ0Z_58 "un1_psum0_signed_axb_58") (joined + (portRef O (instanceRef un1_psum0_signed_axb_58)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_59)) + )) + (net (rename un1_psum0_signed_axbZ0Z_57 "un1_psum0_signed_axb_57") (joined + (portRef O (instanceRef un1_psum0_signed_axb_57)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_59)) + )) + (net (rename un1_psum0_signed_axbZ0Z_56 "un1_psum0_signed_axb_56") (joined + (portRef O (instanceRef un1_psum0_signed_axb_56)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_59)) + )) + (net (rename un1_psum0_signed_axbZ0Z_55 "un1_psum0_signed_axb_55") (joined + (portRef O (instanceRef un1_psum0_signed_axb_55)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_55)) + )) + (net (rename un1_psum0_signed_axbZ0Z_54 "un1_psum0_signed_axb_54") (joined + (portRef O (instanceRef un1_psum0_signed_axb_54)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_55)) + )) + (net (rename un1_psum0_signed_axbZ0Z_53 "un1_psum0_signed_axb_53") (joined + (portRef O (instanceRef un1_psum0_signed_axb_53)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_55)) + )) + (net (rename un1_psum0_signed_axbZ0Z_52 "un1_psum0_signed_axb_52") (joined + (portRef O (instanceRef un1_psum0_signed_axb_52)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_55)) + )) + (net (rename un1_psum0_signed_axbZ0Z_51 "un1_psum0_signed_axb_51") (joined + (portRef O (instanceRef un1_psum0_signed_axb_51)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_51)) + )) + (net (rename un1_psum0_signed_axbZ0Z_50 "un1_psum0_signed_axb_50") (joined + (portRef O (instanceRef un1_psum0_signed_axb_50)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_51)) + )) + (net (rename un1_psum0_signed_axbZ0Z_49 "un1_psum0_signed_axb_49") (joined + (portRef O (instanceRef un1_psum0_signed_axb_49)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_51)) + )) + (net (rename un1_psum0_signed_axbZ0Z_48 "un1_psum0_signed_axb_48") (joined + (portRef O (instanceRef un1_psum0_signed_axb_48)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_51)) + )) + (net (rename un1_psum0_signed_axbZ0Z_47 "un1_psum0_signed_axb_47") (joined + (portRef O (instanceRef un1_psum0_signed_axb_47)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_47)) + )) + (net (rename un1_psum0_signed_axbZ0Z_46 "un1_psum0_signed_axb_46") (joined + (portRef O (instanceRef un1_psum0_signed_axb_46)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_47)) + )) + (net (rename un1_psum0_signed_axbZ0Z_45 "un1_psum0_signed_axb_45") (joined + (portRef O (instanceRef un1_psum0_signed_axb_45)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_47)) + )) + (net (rename un1_psum0_signed_axbZ0Z_44 "un1_psum0_signed_axb_44") (joined + (portRef O (instanceRef un1_psum0_signed_axb_44)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_47)) + )) + (net (rename un1_psum0_signed_axbZ0Z_43 "un1_psum0_signed_axb_43") (joined + (portRef O (instanceRef un1_psum0_signed_axb_43)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_43)) + )) + (net (rename un1_psum0_signed_axbZ0Z_42 "un1_psum0_signed_axb_42") (joined + (portRef O (instanceRef un1_psum0_signed_axb_42)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_43)) + )) + (net (rename un1_psum0_signed_axbZ0Z_41 "un1_psum0_signed_axb_41") (joined + (portRef O (instanceRef un1_psum0_signed_axb_41)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_43)) + )) + (net (rename un1_psum0_signed_axbZ0Z_40 "un1_psum0_signed_axb_40") (joined + (portRef O (instanceRef un1_psum0_signed_axb_40)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_43)) + )) + (net (rename un1_psum0_signed_axbZ0Z_39 "un1_psum0_signed_axb_39") (joined + (portRef O (instanceRef un1_psum0_signed_axb_39)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_39)) + )) + (net (rename un1_psum0_signed_axbZ0Z_38 "un1_psum0_signed_axb_38") (joined + (portRef O (instanceRef un1_psum0_signed_axb_38)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_39)) + )) + (net (rename un1_psum0_signed_axbZ0Z_37 "un1_psum0_signed_axb_37") (joined + (portRef O (instanceRef un1_psum0_signed_axb_37)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_39)) + )) + (net (rename un1_psum0_signed_axbZ0Z_36 "un1_psum0_signed_axb_36") (joined + (portRef O (instanceRef un1_psum0_signed_axb_36)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_39)) + )) + (net (rename un1_psum0_signed_axbZ0Z_35 "un1_psum0_signed_axb_35") (joined + (portRef O (instanceRef un1_psum0_signed_axb_35)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_35)) + )) + (net (rename un1_psum0_signed_axbZ0Z_34 "un1_psum0_signed_axb_34") (joined + (portRef O (instanceRef un1_psum0_signed_axb_34)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_35)) + )) + (net (rename un1_psum0_signed_axbZ0Z_33 "un1_psum0_signed_axb_33") (joined + (portRef O (instanceRef un1_psum0_signed_axb_33)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_35)) + )) + (net (rename un1_psum0_signed_axbZ0Z_32 "un1_psum0_signed_axb_32") (joined + (portRef O (instanceRef un1_psum0_signed_axb_32)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_35)) + )) + (net (rename un1_psum0_signed_axbZ0Z_31 "un1_psum0_signed_axb_31") (joined + (portRef O (instanceRef un1_psum0_signed_axb_31)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_31)) + )) + (net (rename un1_psum0_signed_axbZ0Z_30 "un1_psum0_signed_axb_30") (joined + (portRef O (instanceRef un1_psum0_signed_axb_30)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_31)) + )) + (net (rename un1_psum0_signed_axbZ0Z_29 "un1_psum0_signed_axb_29") (joined + (portRef O (instanceRef un1_psum0_signed_axb_29)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_31)) + )) + (net (rename un1_psum0_signed_axbZ0Z_28 "un1_psum0_signed_axb_28") (joined + (portRef O (instanceRef un1_psum0_signed_axb_28)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_31)) + )) + (net (rename un1_psum0_signed_axbZ0Z_27 "un1_psum0_signed_axb_27") (joined + (portRef O (instanceRef un1_psum0_signed_axb_27)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_27)) + )) + (net (rename un1_psum0_signed_axbZ0Z_26 "un1_psum0_signed_axb_26") (joined + (portRef O (instanceRef un1_psum0_signed_axb_26)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_27)) + )) + (net (rename un1_psum0_signed_axbZ0Z_25 "un1_psum0_signed_axb_25") (joined + (portRef O (instanceRef un1_psum0_signed_axb_25)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_27)) + )) + (net (rename un1_psum0_signed_axbZ0Z_24 "un1_psum0_signed_axb_24") (joined + (portRef O (instanceRef un1_psum0_signed_axb_24)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_27)) + )) + (net (rename un1_psum0_signed_axbZ0Z_23 "un1_psum0_signed_axb_23") (joined + (portRef O (instanceRef un1_psum0_signed_axb_23)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_23)) + )) + (net (rename un1_psum0_signed_axbZ0Z_22 "un1_psum0_signed_axb_22") (joined + (portRef O (instanceRef un1_psum0_signed_axb_22)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_23)) + )) + (net (rename un1_psum0_signed_axbZ0Z_21 "un1_psum0_signed_axb_21") (joined + (portRef O (instanceRef un1_psum0_signed_axb_21)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_23)) + )) + (net (rename un1_psum0_signed_20 "un1_psum0_signed(20)") (joined + (portRef O (instanceRef un1_psum0_signed_axb_20)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_23)) + )) + (net psum1_signed_cry_68_sf (joined + (portRef O (instanceRef psum1_signed_s_69_RNO)) + (portRef (member S 3) (instanceRef psum1_signed_s_69)) + )) + (net psum1_signed_cry_67_sf (joined + (portRef O (instanceRef psum1_signed_cry_67_RNO)) + (portRef (member S 0) (instanceRef psum1_signed_cry_67)) + )) + (net (rename A1_product_signed_0_66 "A1_product_signed_0(66)") (joined + (portRef O (instanceRef psum1_signed_cry_66_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_67)) + )) + (net (rename A1_product_signed_0_65 "A1_product_signed_0(65)") (joined + (portRef O (instanceRef psum1_signed_cry_65_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_67)) + )) + (net (rename A1_product_signed_0_64 "A1_product_signed_0(64)") (joined + (portRef O (instanceRef psum1_signed_cry_64_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_67)) + )) + (net (rename A1_product_signed_0_63 "A1_product_signed_0(63)") (joined + (portRef O (instanceRef psum1_signed_cry_63_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_63)) + )) + (net (rename A1_product_signed_0_62 "A1_product_signed_0(62)") (joined + (portRef O (instanceRef psum1_signed_cry_62_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_63)) + )) + (net (rename A1_product_signed_0_61 "A1_product_signed_0(61)") (joined + (portRef O (instanceRef psum1_signed_cry_61_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_63)) + )) + (net (rename A1_product_signed_0_60 "A1_product_signed_0(60)") (joined + (portRef O (instanceRef psum1_signed_cry_60_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_63)) + )) + (net (rename A1_product_signed_0_59 "A1_product_signed_0(59)") (joined + (portRef O (instanceRef psum1_signed_cry_59_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_59)) + )) + (net (rename A1_product_signed_0_58 "A1_product_signed_0(58)") (joined + (portRef O (instanceRef psum1_signed_cry_58_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_59)) + )) + (net (rename A1_product_signed_0_57 "A1_product_signed_0(57)") (joined + (portRef O (instanceRef psum1_signed_cry_57_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_59)) + )) + (net (rename A1_product_signed_0_56 "A1_product_signed_0(56)") (joined + (portRef O (instanceRef psum1_signed_cry_56_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_59)) + )) + (net (rename A1_product_signed_0_55 "A1_product_signed_0(55)") (joined + (portRef O (instanceRef psum1_signed_cry_55_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_55)) + )) + (net (rename A1_product_signed_0_54 "A1_product_signed_0(54)") (joined + (portRef O (instanceRef psum1_signed_cry_54_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_55)) + )) + (net (rename A1_product_signed_0_53 "A1_product_signed_0(53)") (joined + (portRef O (instanceRef psum1_signed_cry_53_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_55)) + )) + (net (rename A1_product_signed_0_52 "A1_product_signed_0(52)") (joined + (portRef O (instanceRef psum1_signed_cry_52_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_55)) + )) + (net (rename A1_product_signed_0_51 "A1_product_signed_0(51)") (joined + (portRef O (instanceRef psum1_signed_cry_51_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_51)) + )) + (net (rename A1_product_signed_0_50 "A1_product_signed_0(50)") (joined + (portRef O (instanceRef psum1_signed_cry_50_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_51)) + )) + (net (rename A1_product_signed_0_49 "A1_product_signed_0(49)") (joined + (portRef O (instanceRef psum1_signed_cry_49_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_51)) + )) + (net (rename A1_product_signed_0_48 "A1_product_signed_0(48)") (joined + (portRef O (instanceRef psum1_signed_cry_48_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_51)) + )) + (net (rename A1_product_signed_0_47 "A1_product_signed_0(47)") (joined + (portRef O (instanceRef psum1_signed_cry_47_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_47)) + )) + (net (rename A1_product_signed_0_46 "A1_product_signed_0(46)") (joined + (portRef O (instanceRef psum1_signed_cry_46_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_47)) + )) + (net (rename A1_product_signed_0_45 "A1_product_signed_0(45)") (joined + (portRef O (instanceRef psum1_signed_cry_45_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_47)) + )) + (net (rename A1_product_signed_1_44 "A1_product_signed_1(44)") (joined + (portRef O (instanceRef psum1_signed_cry_44_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_47)) + )) + (net (rename A1_product_signed_1_43 "A1_product_signed_1(43)") (joined + (portRef O (instanceRef psum1_signed_cry_43_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_43)) + )) + (net (rename A1_product_signed_2_42 "A1_product_signed_2(42)") (joined + (portRef O (instanceRef psum1_signed_cry_42_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_43)) + )) + (net (rename A1_product_signed_2_41 "A1_product_signed_2(41)") (joined + (portRef O (instanceRef psum1_signed_cry_41_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_43)) + )) + (net (rename A1_product_signed_2_40 "A1_product_signed_2(40)") (joined + (portRef O (instanceRef psum1_signed_cry_40_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_43)) + )) + (net (rename A1_product_signed_2_39 "A1_product_signed_2(39)") (joined + (portRef O (instanceRef psum1_signed_cry_39_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_39)) + )) + (net (rename A1_product_signed_2_38 "A1_product_signed_2(38)") (joined + (portRef O (instanceRef psum1_signed_cry_38_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_39)) + )) + (net (rename A1_product_signed_2_37 "A1_product_signed_2(37)") (joined + (portRef O (instanceRef psum1_signed_cry_37_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_39)) + )) + (net (rename A1_product_signed_2_36 "A1_product_signed_2(36)") (joined + (portRef O (instanceRef psum1_signed_cry_36_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_39)) + )) + (net (rename A1_product_signed_2_35 "A1_product_signed_2(35)") (joined + (portRef O (instanceRef psum1_signed_cry_35_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_35)) + )) + (net (rename A1_product_signed_2_34 "A1_product_signed_2(34)") (joined + (portRef O (instanceRef psum1_signed_cry_34_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_35)) + )) + (net (rename A1_product_signed_2_33 "A1_product_signed_2(33)") (joined + (portRef O (instanceRef psum1_signed_cry_33_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_35)) + )) + (net (rename A1_product_signed_2_32 "A1_product_signed_2(32)") (joined + (portRef O (instanceRef psum1_signed_cry_32_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_35)) + )) + (net (rename A1_product_signed_2_31 "A1_product_signed_2(31)") (joined + (portRef O (instanceRef psum1_signed_cry_31_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_31)) + )) + (net (rename A1_product_signed_2_30 "A1_product_signed_2(30)") (joined + (portRef O (instanceRef psum1_signed_cry_30_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_31)) + )) + (net (rename A1_product_signed_2_29 "A1_product_signed_2(29)") (joined + (portRef O (instanceRef psum1_signed_cry_29_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_31)) + )) + (net (rename A1_product_signed_2_28 "A1_product_signed_2(28)") (joined + (portRef O (instanceRef psum1_signed_cry_28_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_31)) + )) + (net (rename A1_product_signed_2_27 "A1_product_signed_2(27)") (joined + (portRef O (instanceRef psum1_signed_cry_27_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_27)) + )) + (net (rename A1_product_signed_2_26 "A1_product_signed_2(26)") (joined + (portRef O (instanceRef psum1_signed_cry_26_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_27)) + )) + (net (rename A1_product_signed_1_25 "A1_product_signed_1(25)") (joined + (portRef O (instanceRef psum1_signed_cry_25_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_27)) + )) + (net (rename A1_product_signed_1_24 "A1_product_signed_1(24)") (joined + (portRef O (instanceRef psum1_signed_cry_24_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_27)) + )) + (net (rename A1_product_signed_1_23 "A1_product_signed_1(23)") (joined + (portRef O (instanceRef psum1_signed_cry_23_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_23)) + )) + (net (rename A1_product_signed_1_22 "A1_product_signed_1(22)") (joined + (portRef O (instanceRef psum1_signed_cry_22_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_23)) + )) + (net (rename A1_product_signed_1_21 "A1_product_signed_1(21)") (joined + (portRef O (instanceRef psum1_signed_cry_21_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_23)) + )) + (net (rename A1_product_signed_1_20 "A1_product_signed_1(20)") (joined + (portRef O (instanceRef psum1_signed_cry_20_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_23)) + )) + (net (rename A1_product_signed_1_19 "A1_product_signed_1(19)") (joined + (portRef O (instanceRef psum1_signed_cry_19_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_19)) + )) + (net (rename A1_product_signed_1_18 "A1_product_signed_1(18)") (joined + (portRef O (instanceRef psum1_signed_cry_18_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_19)) + )) + (net (rename A1_product_signed_1_17 "A1_product_signed_1(17)") (joined + (portRef O (instanceRef psum1_signed_cry_17_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_19)) + )) + (net (rename A1_product_signed_1_16 "A1_product_signed_1(16)") (joined + (portRef O (instanceRef psum1_signed_cry_16_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_19)) + )) + (net (rename A1_product_signed_1_15 "A1_product_signed_1(15)") (joined + (portRef O (instanceRef psum1_signed_cry_15_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_15)) + )) + (net (rename A1_product_signed_1_14 "A1_product_signed_1(14)") (joined + (portRef O (instanceRef psum1_signed_cry_14_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_15)) + )) + (net (rename A1_product_signed_1_13 "A1_product_signed_1(13)") (joined + (portRef O (instanceRef psum1_signed_cry_13_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_15)) + )) + (net (rename A1_product_signed_1_12 "A1_product_signed_1(12)") (joined + (portRef O (instanceRef psum1_signed_cry_12_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_15)) + )) + (net (rename A1_product_signed_1_11 "A1_product_signed_1(11)") (joined + (portRef O (instanceRef psum1_signed_cry_11_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_11)) + )) + (net (rename A1_product_signed_1_10 "A1_product_signed_1(10)") (joined + (portRef O (instanceRef psum1_signed_cry_10_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_11)) + )) + (net (rename A1_product_signed_1_9 "A1_product_signed_1(9)") (joined + (portRef O (instanceRef psum1_signed_cry_9_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_11)) + )) + (net (rename A1_product_signed_1_8 "A1_product_signed_1(8)") (joined + (portRef O (instanceRef psum1_signed_cry_8_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_11)) + )) + (net (rename A1_product_signed_1_7 "A1_product_signed_1(7)") (joined + (portRef O (instanceRef psum1_signed_cry_7_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_7)) + )) + (net (rename A1_product_signed_1_6 "A1_product_signed_1(6)") (joined + (portRef O (instanceRef psum1_signed_cry_6_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_7)) + )) + (net (rename A1_product_signed_1_5 "A1_product_signed_1(5)") (joined + (portRef O (instanceRef psum1_signed_cry_5_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_7)) + )) + (net (rename A1_product_signed_1_4 "A1_product_signed_1(4)") (joined + (portRef O (instanceRef psum1_signed_cry_4_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_7)) + )) + (net (rename A1_product_signed_1_3 "A1_product_signed_1(3)") (joined + (portRef O (instanceRef psum1_signed_cry_3_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_3)) + )) + (net (rename A1_product_signed_1_2 "A1_product_signed_1(2)") (joined + (portRef O (instanceRef psum1_signed_cry_2_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_3)) + )) + (net (rename A1_product_signed_1_1 "A1_product_signed_1(1)") (joined + (portRef O (instanceRef psum1_signed_cry_1_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_3)) + )) + (net (rename A1_product_signed_1_0 "A1_product_signed_1(0)") (joined + (portRef O (instanceRef psum1_signed_cry_0_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_3)) + )) + (net (rename un1_psum0_signed_cryZ0Z_23 "un1_psum0_signed_cry_23") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_23)) + (portRef CI (instanceRef un1_psum0_signed_cry_27)) + )) + (net (rename un1_psum0_signed_21 "un1_psum0_signed(21)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_23)) + (portRef (member un1_psum0_signed 49) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_22 "un1_psum0_signed(22)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_23)) + (portRef (member un1_psum0_signed 48) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_23 "un1_psum0_signed(23)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_23)) + (portRef (member un1_psum0_signed 47) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_cryZ0Z_27 "un1_psum0_signed_cry_27") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_27)) + (portRef CI (instanceRef un1_psum0_signed_cry_31)) + )) + (net (rename un1_psum0_signed_24 "un1_psum0_signed(24)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_27)) + (portRef (member un1_psum0_signed 46) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_25 "un1_psum0_signed(25)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_27)) + (portRef (member un1_psum0_signed 45) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_26 "un1_psum0_signed(26)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_27)) + (portRef (member un1_psum0_signed 44) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_27 "un1_psum0_signed(27)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_27)) + (portRef (member un1_psum0_signed 43) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_cryZ0Z_31 "un1_psum0_signed_cry_31") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_31)) + (portRef CI (instanceRef un1_psum0_signed_cry_35)) + )) + (net (rename un1_psum0_signed_28 "un1_psum0_signed(28)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_31)) + (portRef (member un1_psum0_signed 42) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_29 "un1_psum0_signed(29)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_31)) + (portRef (member un1_psum0_signed 41) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_31)) + (portRef (member un1_psum0_signed 40) (instanceRef OR25)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_0_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_7_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_8_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_2_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_3_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_4_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_10_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_22_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_12_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_19_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_13_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_14_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_15_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_9_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_28_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_35_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_31 "un1_psum0_signed(31)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_31)) + (portRef (member un1_psum0_signed 39) (instanceRef OR25)) + (portRef (member un1_psum0_signed 39) (instanceRef gO_4_0_MUX12)) + (portRef (member un1_psum0_signed 39) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 35) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 39) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_cryZ0Z_35 "un1_psum0_signed_cry_35") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_35)) + (portRef CI (instanceRef un1_psum0_signed_cry_39)) + )) + (net (rename un1_psum0_signed_32 "un1_psum0_signed(32)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_35)) + (portRef (member un1_psum0_signed 38) (instanceRef OR25)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_1_MUX12)) + (portRef (member un1_psum0_signed 38) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 34) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 38) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_33 "un1_psum0_signed(33)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_35)) + (portRef (member un1_psum0_signed 37) (instanceRef OR25)) + (portRef (member un1_psum0_signed 37) (instanceRef gO_4_2_MUX12)) + (portRef (member un1_psum0_signed 37) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 33) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_34 "un1_psum0_signed(34)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_35)) + (portRef (member un1_psum0_signed 36) (instanceRef OR25)) + (portRef (member un1_psum0_signed 36) (instanceRef gO_4_3_MUX12)) + (portRef (member un1_psum0_signed 36) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 32) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_35 "un1_psum0_signed(35)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_35)) + (portRef (member un1_psum0_signed 35) (instanceRef OR25)) + (portRef (member un1_psum0_signed 35) (instanceRef gO_4_4_MUX12)) + (portRef (member un1_psum0_signed 35) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 31) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_cryZ0Z_39 "un1_psum0_signed_cry_39") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_39)) + (portRef CI (instanceRef un1_psum0_signed_cry_43)) + )) + (net (rename un1_psum0_signed_36 "un1_psum0_signed(36)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_39)) + (portRef (member un1_psum0_signed 34) (instanceRef OR25)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_5_MUX12)) + (portRef (member un1_psum0_signed 34) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 30) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 34) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_37 "un1_psum0_signed(37)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_39)) + (portRef (member un1_psum0_signed 33) (instanceRef OR25)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_6_MUX12)) + (portRef (member un1_psum0_signed 33) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 29) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 33) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_38 "un1_psum0_signed(38)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_39)) + (portRef (member un1_psum0_signed 32) (instanceRef OR25)) + (portRef (member un1_psum0_signed 32) (instanceRef gO_4_7_MUX12)) + (portRef (member un1_psum0_signed 32) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 28) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_39 "un1_psum0_signed(39)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_39)) + (portRef (member un1_psum0_signed 31) (instanceRef gO_4_8_MUX12)) + (portRef (member un1_psum0_signed 26) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 27) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_cryZ0Z_43 "un1_psum0_signed_cry_43") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_43)) + (portRef CI (instanceRef un1_psum0_signed_cry_47)) + )) + (net (rename un1_psum0_signed_40 "un1_psum0_signed(40)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_43)) + (portRef (member un1_psum0_signed 30) (instanceRef gO_4_9_MUX12)) + (portRef (member un1_psum0_signed 25) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 26) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_41 "un1_psum0_signed(41)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_43)) + (portRef (member un1_psum0_signed 29) (instanceRef gO_4_10_MUX12)) + (portRef (member un1_psum0_signed 24) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 25) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_42 "un1_psum0_signed(42)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_43)) + (portRef (member un1_psum0_signed 22) (instanceRef gO_4_11_MUX12)) + (portRef (member un1_psum0_signed 23) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 24) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_43 "un1_psum0_signed(43)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_43)) + (portRef (member un1_psum0_signed 27) (instanceRef gO_4_12_MUX12)) + (portRef (member un1_psum0_signed 22) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 23) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_cryZ0Z_47 "un1_psum0_signed_cry_47") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_47)) + (portRef CI (instanceRef un1_psum0_signed_cry_51)) + )) + (net (rename un1_psum0_signed_44 "un1_psum0_signed(44)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_47)) + (portRef (member un1_psum0_signed 26) (instanceRef gO_4_13_MUX12)) + (portRef (member un1_psum0_signed 21) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 22) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_45 "un1_psum0_signed(45)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_47)) + (portRef (member un1_psum0_signed 25) (instanceRef gO_4_14_MUX12)) + (portRef (member un1_psum0_signed 20) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 21) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_46 "un1_psum0_signed(46)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_47)) + (portRef (member un1_psum0_signed 24) (instanceRef gO_4_15_MUX12)) + (portRef (member un1_psum0_signed 19) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 20) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_47 "un1_psum0_signed(47)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_47)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_16_MUX12)) + (portRef (member un1_psum0_signed 18) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 19) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 23) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_cryZ0Z_51 "un1_psum0_signed_cry_51") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_51)) + (portRef CI (instanceRef un1_psum0_signed_cry_55)) + )) + (net (rename un1_psum0_signed_48 "un1_psum0_signed(48)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_51)) + (portRef (member un1_psum0_signed 22) (instanceRef OR25)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_17_MUX12)) + (portRef (member un1_psum0_signed 17) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 18) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 22) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_49 "un1_psum0_signed(49)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_51)) + (portRef (member un1_psum0_signed 21) (instanceRef OR25)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_18_MUX12)) + (portRef (member un1_psum0_signed 21) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 17) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 21) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_50 "un1_psum0_signed(50)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_51)) + (portRef (member un1_psum0_signed 20) (instanceRef OR25)) + (portRef (member un1_psum0_signed 20) (instanceRef gO_4_19_MUX12)) + (portRef (member un1_psum0_signed 20) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 16) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_51 "un1_psum0_signed(51)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_51)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_20_MUX12)) + (portRef (member un1_psum0_signed 19) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 14) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 15) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 19) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_cryZ0Z_55 "un1_psum0_signed_cry_55") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_55)) + (portRef CI (instanceRef un1_psum0_signed_cry_59)) + )) + (net (rename un1_psum0_signed_52 "un1_psum0_signed(52)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_55)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_21_MUX12)) + (portRef (member un1_psum0_signed 18) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 13) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 14) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 18) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_53 "un1_psum0_signed(53)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_55)) + (portRef (member un1_psum0_signed 17) (instanceRef gO_4_22_MUX12)) + (portRef (member un1_psum0_signed 17) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 12) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 13) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_54 "un1_psum0_signed(54)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_55)) + (portRef (member un1_psum0_signed 10) (instanceRef gO_4_11_MUX12)) + (portRef (member un1_psum0_signed 16) (instanceRef gO_4_34_MUX12)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_23_MUX12)) + (portRef (member un1_psum0_signed 11) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 12) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_55 "un1_psum0_signed(55)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_55)) + (portRef (member un1_psum0_signed 9) (instanceRef gO_4_11_MUX12)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_24_MUX12)) + (portRef (member un1_psum0_signed 15) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 10) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 11) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_cryZ0Z_59 "un1_psum0_signed_cry_59") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_59)) + (portRef CI (instanceRef un1_psum0_signed_cry_63)) + )) + (net (rename un1_psum0_signed_56 "un1_psum0_signed(56)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_59)) + (portRef (member un1_psum0_signed 8) (instanceRef gO_4_11_MUX12)) + (portRef (member un1_psum0_signed 14) (instanceRef gO_4_34_MUX12)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_25_MUX12)) + (portRef (member un1_psum0_signed 9) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 10) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_57 "un1_psum0_signed(57)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_59)) + (portRef (member un1_psum0_signed 13) (instanceRef OR25)) + (portRef (member un1_psum0_signed 7) (instanceRef gO_4_11_MUX12)) + (portRef (member un1_psum0_signed 13) (instanceRef gO_4_34_MUX12)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_26_MUX12)) + (portRef (member un1_psum0_signed 9) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_59 "un1_psum0_signed(59)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_59)) + (portRef (member un1_psum0_signed 11) (instanceRef OR25)) + (portRef (member un1_psum0_signed 11) (instanceRef gO_4_28_MUX12)) + (portRef (member un1_psum0_signed 11) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 7) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_cryZ0Z_63 "un1_psum0_signed_cry_63") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_63)) + (portRef CI (instanceRef un1_psum0_signed_cry_67)) + )) + (net (rename un1_psum0_signed_63 "un1_psum0_signed(63)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_63)) + (portRef (member un1_psum0_signed 7) (instanceRef OR25)) + (portRef (member un1_psum0_signed 1) (instanceRef gO_4_11_MUX12)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_32_MUX12)) + (portRef (member un1_psum0_signed 2) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 3) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_cryZ0Z_67 "un1_psum0_signed_cry_67") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_67)) + (portRef CI (instanceRef un1_psum0_signed_s_70)) + )) + (net (rename un1_psum0_signed_64 "un1_psum0_signed(64)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_67)) + (portRef (member un1_psum0_signed 6) (instanceRef OR25)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_11_MUX12)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_33_MUX12)) + (portRef (member un1_psum0_signed 1) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 2) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_65 "un1_psum0_signed(65)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_67)) + (portRef (member un1_psum0_signed 5) (instanceRef OR25)) + (portRef (member un1_psum0_signed 5) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 1) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 5) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_66 "un1_psum0_signed(66)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_67)) + (portRef (member un1_psum0_signed 4) (instanceRef OR25)) + (portRef (member un1_psum0_signed 4) (instanceRef AN24)) + (portRef (member un1_psum0_signed 4) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_67 "un1_psum0_signed(67)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_67)) + (portRef (member un1_psum0_signed 3) (instanceRef OR25)) + (portRef (member un1_psum0_signed 3) (instanceRef AN24)) + (portRef (member un1_psum0_signed 3) (instanceRef gO_4_34_MUX12)) + )) + (net (rename un1_psum0_signed_68 "un1_psum0_signed(68)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_s_70)) + (portRef (member un1_psum0_signed 2) (instanceRef OR25)) + (portRef (member un1_psum0_signed 2) (instanceRef AN24)) + (portRef (member un1_psum0_signed 2) (instanceRef gO_4_34_MUX12)) + )) + (net (rename un1_psum0_signed_69 "un1_psum0_signed(69)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_s_70)) + (portRef (member un1_psum0_signed 1) (instanceRef OR25)) + (portRef (member un1_psum0_signed 1) (instanceRef AN24)) + (portRef (member un1_psum0_signed 1) (instanceRef gO_4_34_MUX12)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_s_70)) + (portRef (member un1_psum0_signed 0) (instanceRef OR25)) + (portRef (member un1_psum0_signed 0) (instanceRef AN24)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_0_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_7_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_8_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_2_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_3_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_4_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_10_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_22_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_12_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_19_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_13_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_14_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_15_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_9_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_28_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_35_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_signed_cryZ0Z_67 "psum1_signed_cry_67") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_67)) + (portRef CI (instanceRef psum1_signed_s_69)) + )) + (net (rename feedback_inc_data_1 "feedback_inc_data(1)") (joined + (portRef (member feedback_inc_data 34) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_1_MUX12)) + (portRef (member feedback_inc_data 34) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_5 "feedback_inc_data(5)") (joined + (portRef (member feedback_inc_data 30) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_5_MUX12)) + (portRef (member feedback_inc_data 30) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_6 "feedback_inc_data(6)") (joined + (portRef (member feedback_inc_data 29) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_6_MUX12)) + (portRef (member feedback_inc_data 29) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_16 "feedback_inc_data(16)") (joined + (portRef (member feedback_inc_data 19) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_16_MUX12)) + (portRef (member feedback_inc_data 19) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_17 "feedback_inc_data(17)") (joined + (portRef (member feedback_inc_data 18) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_17_MUX12)) + (portRef (member feedback_inc_data 18) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_18 "feedback_inc_data(18)") (joined + (portRef (member feedback_inc_data 17) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_18_MUX12)) + (portRef (member feedback_inc_data 17) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_20 "feedback_inc_data(20)") (joined + (portRef (member feedback_inc_data 15) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_20_MUX12)) + (portRef (member feedback_inc_data 15) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_21 "feedback_inc_data(21)") (joined + (portRef (member feedback_inc_data 14) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_21_MUX12)) + (portRef (member feedback_inc_data 14) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_29 "feedback_inc_data(29)") (joined + (portRef (member feedback_inc_data 6) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_29_MUX12)) + (portRef (member feedback_inc_data 6) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_31 "feedback_inc_data(31)") (joined + (portRef (member feedback_inc_data 4) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_31_MUX12)) + (portRef (member feedback_inc_data 4) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_34 "feedback_inc_data(34)") (joined + (portRef (member feedback_inc_data 1) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_34_MUX12)) + (portRef (member feedback_inc_data 1) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_35 "feedback_inc_data(35)") (joined + (portRef (member feedback_inc_data 0) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_35_MUX12)) + (portRef (member feedback_inc_data 0) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net N_213 (joined + (portRef N_213 (instanceRef AN24)) + (portRef N_213 (instanceRef gO_4_11_MUX12)) + (portRef N_213 (instanceRef gO_4_18_MUX12)) + (portRef N_213 (instanceRef gO_4_24_MUX12)) + (portRef N_213 (instanceRef gO_4_31_MUX12)) + (portRef N_213 (instanceRef gO_4_5_MUX12)) + (portRef N_213 (instanceRef gO_4_6_MUX12)) + (portRef N_213 (instanceRef gO_4_1_MUX12)) + (portRef N_213 (instanceRef gO_4_17_MUX12)) + (portRef N_213 (instanceRef gO_4_29_MUX12)) + (portRef N_213 (instanceRef gO_4_20_MUX12)) + (portRef N_213 (instanceRef gO_4_21_MUX12)) + (portRef N_213 (instanceRef gO_4_16_MUX12)) + (portRef N_213 (instanceRef gO_4_27_MUX12)) + (portRef N_213 (instanceRef gO_4_34_MUX12)) + (portRef N_213 (instanceRef gO_4_26_MUX12)) + (portRef N_213 (instanceRef gO_4_33_MUX12)) + (portRef N_213 (instanceRef gO_4_25_MUX12)) + (portRef N_213 (instanceRef gO_4_32_MUX12)) + (portRef N_213 (instanceRef gO_4_23_MUX12)) + (portRef N_213 (instanceRef gO_4_30_MUX12)) + (portRef N_213 (instanceRef gO_2_gO_2cN_AN8)) + )) + (net N_186 (joined + (portRef N_186 (instanceRef gO_4_34_MUX12)) + (portRef N_186 (instanceRef gO_4_11_MUX12)) + (portRef N_186 (instanceRef gO_4_18_MUX12)) + (portRef N_186 (instanceRef gO_4_24_MUX12)) + (portRef N_186 (instanceRef gO_4_31_MUX12)) + (portRef N_186 (instanceRef gO_4_5_MUX12)) + (portRef N_186 (instanceRef gO_4_6_MUX12)) + (portRef N_186 (instanceRef gO_4_1_MUX12)) + (portRef N_186 (instanceRef gO_4_17_MUX12)) + (portRef N_186 (instanceRef gO_4_29_MUX12)) + (portRef N_186 (instanceRef gO_4_20_MUX12)) + (portRef N_186 (instanceRef gO_4_21_MUX12)) + (portRef N_186 (instanceRef gO_4_16_MUX12)) + (portRef N_186 (instanceRef gO_4_27_MUX12)) + (portRef N_186 (instanceRef gO_4_26_MUX12)) + (portRef N_186 (instanceRef gO_4_33_MUX12)) + (portRef N_186 (instanceRef gO_4_25_MUX12)) + (portRef N_186 (instanceRef gO_4_32_MUX12)) + (portRef N_186 (instanceRef gO_4_23_MUX12)) + (portRef N_186 (instanceRef gO_4_30_MUX12)) + (portRef N_186 (instanceRef gO_2_gO_2cN_AN8)) + )) + (net N_218 (joined + (portRef N_218 (instanceRef gO_4_34_MUX12)) + (portRef N_218 (instanceRef gO_4_11_MUX12)) + (portRef N_218 (instanceRef gO_4_18_MUX12)) + (portRef N_218 (instanceRef gO_4_24_MUX12)) + (portRef N_218 (instanceRef gO_4_31_MUX12)) + (portRef N_218 (instanceRef gO_4_5_MUX12)) + (portRef N_218 (instanceRef gO_4_6_MUX12)) + (portRef N_218 (instanceRef gO_4_1_MUX12)) + (portRef N_218 (instanceRef gO_4_17_MUX12)) + (portRef N_218 (instanceRef gO_4_29_MUX12)) + (portRef N_218 (instanceRef gO_4_20_MUX12)) + (portRef N_218 (instanceRef gO_4_21_MUX12)) + (portRef N_218 (instanceRef gO_4_16_MUX12)) + (portRef N_218 (instanceRef gO_4_27_MUX12)) + (portRef N_218 (instanceRef gO_4_26_MUX12)) + (portRef N_218 (instanceRef gO_4_33_MUX12)) + (portRef N_218 (instanceRef gO_4_25_MUX12)) + (portRef N_218 (instanceRef gO_4_32_MUX12)) + (portRef N_218 (instanceRef gO_4_23_MUX12)) + (portRef N_218 (instanceRef gO_4_30_MUX12)) + (portRef N_218 (instanceRef gO_2_gO_2cN_AN8)) + )) + (net Z_i_0_o3_28 (joined + (portRef Z_i_0_o3_28 (instanceRef gO_2_gO_2cN_AN8)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_0_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_7_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_8_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_2_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_3_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_4_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_10_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_22_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_12_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_19_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_13_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_14_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_15_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_9_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_28_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_35_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_34_MUX12)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big (instanceRef OR25)) + (portRef feedback_to_big (instanceRef gO_4_0_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_7_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_8_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_2_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_3_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_4_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_10_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_22_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_12_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_19_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_13_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_14_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_15_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_9_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_28_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_35_MUX12)) + (portRef feedback_to_big (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_2 "feedback_inc_data(2)") (joined + (portRef (member feedback_inc_data 33) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_2_MUX12)) + )) + (net (rename feedback_inc_data_3 "feedback_inc_data(3)") (joined + (portRef (member feedback_inc_data 32) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_3_MUX12)) + )) + (net (rename feedback_inc_data_4 "feedback_inc_data(4)") (joined + (portRef (member feedback_inc_data 31) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_4_MUX12)) + )) + (net (rename feedback_inc_data_7 "feedback_inc_data(7)") (joined + (portRef (member feedback_inc_data 28) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_7_MUX12)) + )) + (net (rename feedback_inc_data_8 "feedback_inc_data(8)") (joined + (portRef (member feedback_inc_data 27) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_8_MUX12)) + )) + (net (rename feedback_inc_data_9 "feedback_inc_data(9)") (joined + (portRef (member feedback_inc_data 26) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_9_MUX12)) + )) + (net (rename feedback_inc_data_10 "feedback_inc_data(10)") (joined + (portRef (member feedback_inc_data 25) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_10_MUX12)) + )) + (net (rename feedback_inc_data_11 "feedback_inc_data(11)") (joined + (portRef (member feedback_inc_data 24) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member feedback_inc_data 22) (instanceRef gO_4_11_MUX12)) + )) + (net (rename feedback_inc_data_12 "feedback_inc_data(12)") (joined + (portRef (member feedback_inc_data 23) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_12_MUX12)) + )) + (net (rename feedback_inc_data_13 "feedback_inc_data(13)") (joined + (portRef (member feedback_inc_data 22) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_13_MUX12)) + )) + (net (rename feedback_inc_data_14 "feedback_inc_data(14)") (joined + (portRef (member feedback_inc_data 21) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_14_MUX12)) + )) + (net (rename feedback_inc_data_15 "feedback_inc_data(15)") (joined + (portRef (member feedback_inc_data 20) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_15_MUX12)) + )) + (net (rename feedback_inc_data_19 "feedback_inc_data(19)") (joined + (portRef (member feedback_inc_data 16) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_19_MUX12)) + )) + (net (rename feedback_inc_data_22 "feedback_inc_data(22)") (joined + (portRef (member feedback_inc_data 13) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_22_MUX12)) + )) + (net (rename feedback_inc_data_23 "feedback_inc_data(23)") (joined + (portRef (member feedback_inc_data 12) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member feedback_inc_data 10) (instanceRef gO_4_11_MUX12)) + (portRef feedback_inc_data_0 (instanceRef gO_4_23_MUX12)) + )) + (net (rename feedback_inc_data_24 "feedback_inc_data(24)") (joined + (portRef (member feedback_inc_data 11) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member feedback_inc_data 9) (instanceRef gO_4_11_MUX12)) + (portRef feedback_inc_data_0 (instanceRef gO_4_24_MUX12)) + )) + (net (rename feedback_inc_data_25 "feedback_inc_data(25)") (joined + (portRef (member feedback_inc_data 10) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member feedback_inc_data 8) (instanceRef gO_4_11_MUX12)) + (portRef feedback_inc_data_0 (instanceRef gO_4_25_MUX12)) + )) + (net (rename feedback_inc_data_26 "feedback_inc_data(26)") (joined + (portRef (member feedback_inc_data 9) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member feedback_inc_data 7) (instanceRef gO_4_11_MUX12)) + (portRef feedback_inc_data_0 (instanceRef gO_4_26_MUX12)) + )) + (net (rename feedback_inc_data_27 "feedback_inc_data(27)") (joined + (portRef (member feedback_inc_data 8) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member feedback_inc_data 6) (instanceRef gO_4_11_MUX12)) + (portRef feedback_inc_data_0 (instanceRef gO_4_27_MUX12)) + )) + (net (rename feedback_inc_data_28 "feedback_inc_data(28)") (joined + (portRef (member feedback_inc_data 7) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_28_MUX12)) + )) + (net (rename feedback_inc_data_30 "feedback_inc_data(30)") (joined + (portRef (member feedback_inc_data 5) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member feedback_inc_data 3) (instanceRef gO_4_11_MUX12)) + (portRef feedback_inc_data_0 (instanceRef gO_4_30_MUX12)) + )) + (net (rename feedback_inc_data_32 "feedback_inc_data(32)") (joined + (portRef (member feedback_inc_data 3) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member feedback_inc_data 1) (instanceRef gO_4_11_MUX12)) + (portRef feedback_inc_data_0 (instanceRef gO_4_32_MUX12)) + )) + (net (rename feedback_inc_data_33 "feedback_inc_data(33)") (joined + (portRef (member feedback_inc_data 2) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member feedback_inc_data 0) (instanceRef gO_4_11_MUX12)) + (portRef feedback_inc_data_0 (instanceRef gO_4_33_MUX12)) + )) + (net Z_32_0 (joined + (portRef Z_32_0 (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef Z_32_0 (instanceRef OR25)) + )) + (net Z_33_2 (joined + (portRef Z_33_2 (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef Z_33_2 (instanceRef OR25)) + )) + (net Z_32_1 (joined + (portRef Z_32_1 (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef Z_32_1 (instanceRef OR25)) + )) + (net Z_32 (joined + (portRef Z_32 (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef Z_32 (instanceRef gO_4_34_MUX12)) + )) + ) + (property langParams (string "data_in_width data_out_width frac_data_out_width feedback_width max_coef_width frac_coef_width saturation_mode out_reg")) + (property orig_inst_of (string "DW_iir_dc")) + (property out_reg (integer 1)) + (property saturation_mode (integer 0)) + (property frac_coef_width (integer 31)) + (property max_coef_width (integer 32)) + (property feedback_width (integer 36)) + (property frac_data_out_width (integer 20)) + (property data_out_width (integer 36)) + (property data_in_width (integer 16)) + ) + ) + (cell (rename dw03_dw_iir_dc_mult_16_36_20_31_0_1_1_data_in_w2xivl1_widthfrac_coef_widthsaturation_modeout_reg_5_2 "dw03_dw_iir_dc_mult_16_36_20_31_0_1_1_data_in_widthdata_out_widthfrac_data_out_widthfeedback_widthmax_coef_widthfrac_coef_widthsaturation_modeout_reg_5_2") (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename b2_re "b2_re(31:0)") 32) (direction INPUT)) + (port (array (rename gated_in_data "gated_in_data(15:0)") 16) (direction INPUT)) + (port (array (rename a2_re "a2_re(31:0)") 32) (direction INPUT)) + (port (array (rename dout_2 "dout_2(35:0)") 36) (direction OUTPUT)) + (port rstn (direction INPUT)) + (port en (direction INPUT)) + (port clk (direction INPUT)) + (port rstn_i (direction INPUT)) + (port saturation_2 (direction OUTPUT)) + ) + (contents + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_43 "PSUM2AND1_REGS.psum1_saved_3_lut[43]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_41 "PSUM2AND1_REGS.psum1_saved_3_lut[41]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_42 "PSUM2AND1_REGS.psum1_saved_3_lut[42]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_39 "PSUM2AND1_REGS.psum1_saved_3_lut[39]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_40 "PSUM2AND1_REGS.psum1_saved_3_lut[40]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_37 "PSUM2AND1_REGS.psum1_saved_3_lut[37]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_38 "PSUM2AND1_REGS.psum1_saved_3_lut[38]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_35 "PSUM2AND1_REGS.psum1_saved_3_lut[35]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_36 "PSUM2AND1_REGS.psum1_saved_3_lut[36]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_34 "PSUM2AND1_REGS.psum1_saved_3_lut[34]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_33 "PSUM2AND1_REGS.psum1_saved_3_lut[33]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_32 "PSUM2AND1_REGS.psum1_saved_3_lut[32]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_30 "PSUM2AND1_REGS.psum1_saved_3_lut[30]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_31 "PSUM2AND1_REGS.psum1_saved_3_lut[31]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_29 "PSUM2AND1_REGS.psum1_saved_3_lut[29]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_27 "PSUM2AND1_REGS.psum1_saved_3_lut[27]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_28 "PSUM2AND1_REGS.psum1_saved_3_lut[28]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_26 "PSUM2AND1_REGS.psum1_saved_3_lut[26]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_25 "PSUM2AND1_REGS.psum1_saved_3_lut[25]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_23 "PSUM2AND1_REGS.psum1_saved_3_lut[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_21 "PSUM2AND1_REGS.psum1_saved_3_lut[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_22 "PSUM2AND1_REGS.psum1_saved_3_lut[22]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_24 "PSUM2AND1_REGS.psum1_saved_3_lut[24]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_20 "PSUM2AND1_REGS.psum1_saved_3_lut[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_19 "PSUM2AND1_REGS.psum1_saved_3_lut[19]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_17 "PSUM2AND1_REGS.psum1_saved_3_lut[17]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_18 "PSUM2AND1_REGS.psum1_saved_3_lut[18]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_13 "PSUM2AND1_REGS.psum1_saved_3_lut[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_16 "PSUM2AND1_REGS.psum1_saved_3_lut[16]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_15 "PSUM2AND1_REGS.psum1_saved_3_lut[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_14 "PSUM2AND1_REGS.psum1_saved_3_lut[14]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_11 "PSUM2AND1_REGS.psum1_saved_3_lut[11]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_12 "PSUM2AND1_REGS.psum1_saved_3_lut[12]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_9 "PSUM2AND1_REGS.psum1_saved_3_lut[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_10 "PSUM2AND1_REGS.psum1_saved_3_lut[10]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_6 "PSUM2AND1_REGS.psum1_saved_3_lut[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_8 "PSUM2AND1_REGS.psum1_saved_3_lut[8]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_7 "PSUM2AND1_REGS.psum1_saved_3_lut[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_5 "PSUM2AND1_REGS.psum1_saved_3_lut[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_4 "PSUM2AND1_REGS.psum1_saved_3_lut[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_3 "PSUM2AND1_REGS.psum1_saved_3_lut[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_1 "PSUM2AND1_REGS.psum1_saved_3_lut[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_2 "PSUM2AND1_REGS.psum1_saved_3_lut[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_65 "PSUM2AND1_REGS.psum1_saved_3[65]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'h28")) + ) + (instance (rename A1_product_signedAdd_0_42_0 "A1_product_signedAdd_0[42:0]") (viewRef PRIM (cellRef DSP48E2 (libraryRef VIRTEXR))) + (property ADREG (integer 0)) + (property BMULTSEL (string "B")) + (property PREADDINSEL (string "A")) + (property AMULTSEL (string "A")) + (property INMODEREG (integer 0)) + (property DREG (integer 0)) + (property AUTORESET_PATDET (string "NO_RESET")) + (property USE_SIMD (string "ONE48")) + (property A_INPUT (string "CASCADE")) + (property B_INPUT (string "DIRECT")) + (property ALUMODEREG (integer 0)) + (property CARRYINREG (integer 0)) + (property CARRYINSELREG (integer 0)) + (property OPMODEREG (integer 0)) + (property MREG (integer 0)) + (property PREG (integer 0)) + (property CREG (integer 0)) + (property BCASCREG (integer 0)) + (property BREG (integer 0)) + (property ACASCREG (integer 0)) + (property AREG (integer 0)) + (property USE_MULT (string "MULTIPLY")) + ) + (instance (rename A1_product_signedAdd_1_34_0 "A1_product_signedAdd_1[34:0]") (viewRef PRIM (cellRef DSP48E2 (libraryRef VIRTEXR))) + (property ADREG (integer 0)) + (property BMULTSEL (string "B")) + (property PREADDINSEL (string "A")) + (property AMULTSEL (string "A")) + (property INMODEREG (integer 0)) + (property DREG (integer 0)) + (property AUTORESET_PATDET (string "NO_RESET")) + (property USE_SIMD (string "ONE48")) + (property A_INPUT (string "DIRECT")) + (property B_INPUT (string "DIRECT")) + (property ALUMODEREG (integer 0)) + (property CARRYINREG (integer 0)) + (property CARRYINSELREG (integer 0)) + (property OPMODEREG (integer 0)) + (property MREG (integer 0)) + (property PREG (integer 0)) + (property CREG (integer 0)) + (property BCASCREG (integer 0)) + (property BREG (integer 0)) + (property ACASCREG (integer 0)) + (property AREG (integer 0)) + (property USE_MULT (string "MULTIPLY")) + ) + (instance (rename B0_product_signedAdd_0_30_0 "B0_product_signedAdd_0[30:0]") (viewRef PRIM (cellRef DSP48E2 (libraryRef VIRTEXR))) + (property ADREG (integer 0)) + (property BMULTSEL (string "B")) + (property PREADDINSEL (string "A")) + (property AMULTSEL (string "A")) + (property INMODEREG (integer 0)) + (property DREG (integer 0)) + (property AUTORESET_PATDET (string "NO_RESET")) + (property USE_SIMD (string "ONE48")) + (property A_INPUT (string "DIRECT")) + (property B_INPUT (string "DIRECT")) + (property ALUMODEREG (integer 0)) + (property CARRYINREG (integer 0)) + (property CARRYINSELREG (integer 0)) + (property OPMODEREG (integer 0)) + (property MREG (integer 0)) + (property PREG (integer 0)) + (property CREG (integer 0)) + (property BCASCREG (integer 0)) + (property BREG (integer 0)) + (property ACASCREG (integer 0)) + (property AREG (integer 0)) + (property USE_MULT (string "MULTIPLY")) + ) + (instance (rename A1_product_signedAdd_2_24_0 "A1_product_signedAdd_2[24:0]") (viewRef PRIM (cellRef DSP48E2 (libraryRef VIRTEXR))) + (property ADREG (integer 0)) + (property BMULTSEL (string "B")) + (property PREADDINSEL (string "A")) + (property AMULTSEL (string "A")) + (property INMODEREG (integer 0)) + (property DREG (integer 0)) + (property AUTORESET_PATDET (string "NO_RESET")) + (property USE_SIMD (string "ONE48")) + (property A_INPUT (string "DIRECT")) + (property B_INPUT (string "DIRECT")) + (property ALUMODEREG (integer 0)) + (property CARRYINREG (integer 0)) + (property CARRYINSELREG (integer 0)) + (property OPMODEREG (integer 0)) + (property MREG (integer 0)) + (property PREG (integer 0)) + (property CREG (integer 0)) + (property BCASCREG (integer 0)) + (property BREG (integer 0)) + (property ACASCREG (integer 0)) + (property AREG (integer 0)) + (property USE_MULT (string "MULTIPLY")) + ) + (instance (rename A1_product_signed_0_44_0 "A1_product_signed_0[44:0]") (viewRef PRIM (cellRef DSP48E2 (libraryRef VIRTEXR))) + (property ADREG (integer 0)) + (property BMULTSEL (string "B")) + (property PREADDINSEL (string "A")) + (property AMULTSEL (string "A")) + (property INMODEREG (integer 0)) + (property DREG (integer 0)) + (property AUTORESET_PATDET (string "NO_RESET")) + (property USE_SIMD (string "ONE48")) + (property A_INPUT (string "DIRECT")) + (property B_INPUT (string "DIRECT")) + (property ALUMODEREG (integer 0)) + (property CARRYINREG (integer 0)) + (property CARRYINSELREG (integer 0)) + (property OPMODEREG (integer 0)) + (property MREG (integer 0)) + (property PREG (integer 0)) + (property CREG (integer 0)) + (property BCASCREG (integer 0)) + (property BREG (integer 0)) + (property ACASCREG (integer 0)) + (property AREG (integer 0)) + (property USE_MULT (string "MULTIPLY")) + ) + (instance (rename B0_product_signed_0_33_0 "B0_product_signed_0[33:0]") (viewRef PRIM (cellRef DSP48E2 (libraryRef VIRTEXR))) + (property ADREG (integer 0)) + (property BMULTSEL (string "B")) + (property PREADDINSEL (string "A")) + (property AMULTSEL (string "A")) + (property INMODEREG (integer 0)) + (property DREG (integer 0)) + (property AUTORESET_PATDET (string "NO_RESET")) + (property USE_SIMD (string "ONE48")) + (property A_INPUT (string "DIRECT")) + (property B_INPUT (string "DIRECT")) + (property ALUMODEREG (integer 0)) + (property CARRYINREG (integer 0)) + (property CARRYINSELREG (integer 0)) + (property OPMODEREG (integer 0)) + (property MREG (integer 0)) + (property PREG (integer 0)) + (property CREG (integer 0)) + (property BCASCREG (integer 0)) + (property BREG (integer 0)) + (property ACASCREG (integer 0)) + (property AREG (integer 0)) + (property USE_MULT (string "MULTIPLY")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_69 "PSUM2AND1_REGS.psum1_saved_3[69]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_68 "PSUM2AND1_REGS.psum1_saved_3[68]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_67 "PSUM2AND1_REGS.psum1_saved_3[67]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_66 "PSUM2AND1_REGS.psum1_saved_3[66]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_64 "PSUM2AND1_REGS.psum1_saved_3[64]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_63 "PSUM2AND1_REGS.psum1_saved_3[63]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_62 "PSUM2AND1_REGS.psum1_saved_3[62]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_61 "PSUM2AND1_REGS.psum1_saved_3[61]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_60 "PSUM2AND1_REGS.psum1_saved_3[60]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_59 "PSUM2AND1_REGS.psum1_saved_3[59]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_58 "PSUM2AND1_REGS.psum1_saved_3[58]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_57 "PSUM2AND1_REGS.psum1_saved_3[57]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_56 "PSUM2AND1_REGS.psum1_saved_3[56]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_55 "PSUM2AND1_REGS.psum1_saved_3[55]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_54 "PSUM2AND1_REGS.psum1_saved_3[54]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_53 "PSUM2AND1_REGS.psum1_saved_3[53]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_52 "PSUM2AND1_REGS.psum1_saved_3[52]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_51 "PSUM2AND1_REGS.psum1_saved_3[51]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_50 "PSUM2AND1_REGS.psum1_saved_3[50]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_49 "PSUM2AND1_REGS.psum1_saved_3[49]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_48 "PSUM2AND1_REGS.psum1_saved_3[48]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_47 "PSUM2AND1_REGS.psum1_saved_3[47]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_46 "PSUM2AND1_REGS.psum1_saved_3[46]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_45 "PSUM2AND1_REGS.psum1_saved_3[45]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_44 "PSUM2AND1_REGS.psum1_saved_3[44]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_0 "PSUM2AND1_REGS.psum1_saved_3[0]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_un1_enable "PSUM2AND1_REGS.un1_enable") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'hB")) + ) + (instance un1_psum0_signed_axb_70 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_cry_59_RNIH92T (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h8000")) + ) + (instance psum1_signed_s_44_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_45_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_46_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_47_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_48_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_49_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_50_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_51_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_52_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_53_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_54_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_55_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_56_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_57_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_58_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_59_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_60_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_61_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_62_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_63_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_64_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_65_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_66_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_69_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance (rename psum1_saved_0 "psum1_saved[0]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_1 "psum1_saved[1]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_2 "psum1_saved[2]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_3 "psum1_saved[3]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_4 "psum1_saved[4]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_5 "psum1_saved[5]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_6 "psum1_saved[6]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_7 "psum1_saved[7]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_8 "psum1_saved[8]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_9 "psum1_saved[9]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_10 "psum1_saved[10]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_11 "psum1_saved[11]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_12 "psum1_saved[12]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_13 "psum1_saved[13]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_14 "psum1_saved[14]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_15 "psum1_saved[15]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_16 "psum1_saved[16]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_17 "psum1_saved[17]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_18 "psum1_saved[18]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_19 "psum1_saved[19]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_20 "psum1_saved[20]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_21 "psum1_saved[21]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_22 "psum1_saved[22]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_23 "psum1_saved[23]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_24 "psum1_saved[24]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_25 "psum1_saved[25]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_26 "psum1_saved[26]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_27 "psum1_saved[27]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_28 "psum1_saved[28]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_29 "psum1_saved[29]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_30 "psum1_saved[30]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_31 "psum1_saved[31]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_32 "psum1_saved[32]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_33 "psum1_saved[33]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_34 "psum1_saved[34]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_35 "psum1_saved[35]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_36 "psum1_saved[36]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_37 "psum1_saved[37]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_38 "psum1_saved[38]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_39 "psum1_saved[39]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_40 "psum1_saved[40]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_41 "psum1_saved[41]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_42 "psum1_saved[42]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_43 "psum1_saved[43]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_44 "psum1_saved[44]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_45 "psum1_saved[45]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_46 "psum1_saved[46]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_47 "psum1_saved[47]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_48 "psum1_saved[48]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_49 "psum1_saved[49]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_50 "psum1_saved[50]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_51 "psum1_saved[51]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_52 "psum1_saved[52]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_53 "psum1_saved[53]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_54 "psum1_saved[54]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_55 "psum1_saved[55]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_56 "psum1_saved[56]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_57 "psum1_saved[57]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_58 "psum1_saved[58]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_59 "psum1_saved[59]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_60 "psum1_saved[60]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_61 "psum1_saved[61]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_62 "psum1_saved[62]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_63 "psum1_saved[63]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_64 "psum1_saved[64]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_65 "psum1_saved[65]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_66 "psum1_saved[66]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_67 "psum1_saved[67]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_68 "psum1_saved[68]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_69 "psum1_saved[69]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance saturation_final (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_0 "data_out_final[0]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_1 "data_out_final[1]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_2 "data_out_final[2]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_3 "data_out_final[3]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_4 "data_out_final[4]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_5 "data_out_final[5]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_6 "data_out_final[6]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_7 "data_out_final[7]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_8 "data_out_final[8]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_9 "data_out_final[9]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_10 "data_out_final[10]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_11 "data_out_final[11]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_12 "data_out_final[12]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_13 "data_out_final[13]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_14 "data_out_final[14]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_15 "data_out_final[15]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_16 "data_out_final[16]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_17 "data_out_final[17]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_18 "data_out_final[18]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_19 "data_out_final[19]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_20 "data_out_final[20]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_21 "data_out_final[21]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_22 "data_out_final[22]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_23 "data_out_final[23]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_24 "data_out_final[24]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_25 "data_out_final[25]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_26 "data_out_final[26]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_27 "data_out_final[27]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_28 "data_out_final[28]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_29 "data_out_final[29]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_30 "data_out_final[30]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_31 "data_out_final[31]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_32 "data_out_final[32]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_33 "data_out_final[33]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_34 "data_out_final[34]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_35 "data_out_final[35]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance psum1_signed_s_66 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_64 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_63 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_62 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_61 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_60 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_59 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_58 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_57 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_56 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_55 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_54 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_53 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_52 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_51 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_50 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_49 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_48 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_47 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_46 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_45 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_44 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_69 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_68 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_67 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_66 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_65 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_64 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_63 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_62 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_61 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_60 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_59 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_58 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_57 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_56 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_55 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_54 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_53 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_52 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_51 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_50 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_49 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_48 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_47 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_46 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_45 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_44 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_43 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_42 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_41 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_40 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_39 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_38 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_37 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_36 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_35 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_34 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_33 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_32 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_31 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_30 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_29 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_28 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_27 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_26 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_25 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_24 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_23 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_22 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_21 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_20 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_69_RNO (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_67_RNO (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_66_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_65_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_64_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_63_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_62_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_61_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_60_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_59_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_58_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_57_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_56_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_55_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_54_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_53_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_52_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_51_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_50_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_49_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_48_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_47_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_46_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_45_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_44_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_43_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_42_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_41_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_40_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_39_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_38_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_37_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_36_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_35_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_34_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_33_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_32_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_31_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_30_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_29_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_28_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_27_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_26_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_25_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_24_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_23_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_22_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_21_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_20_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_19_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_18_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_17_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_16_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_15_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_14_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_13_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_12_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_11_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_10_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_9_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_8_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_7_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_6_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_5_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_4_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_3_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_2_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_1_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_0_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_psum0_signed_cry_23 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + (property xcn_c4chain_base (integer 1)) + ) + (instance un1_psum0_signed_cry_27 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_31 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_35 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_39 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_43 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_47 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_51 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_55 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_59 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_63 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_67 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_s_70 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_3 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + (property xcn_c4chain_base (integer 1)) + ) + (instance psum1_signed_cry_7 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_11 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_15 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_19 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_23 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_27 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_31 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_35 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_39 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_43 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_47 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_51 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_55 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_59 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_63 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_67 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_s_69 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance (rename gO_2_gO_2cN_AN8 "gO_2.gO_2cN.AN8") (viewRef netlist (cellRef GTECH_AND2_190 (libraryRef gtech))) + ) + (instance (rename gO_2_gO_2d_INC2 "gO_2.gO_2d.INC2") (viewRef netlist (cellRef DW01_inc_36_2 (libraryRef dw01))) + ) + (instance (rename gO_2_gO_2d_gO_2d1_0_AN11 "gO_2.gO_2d.gO_2d1.0.AN11") (viewRef netlist (cellRef GTECH_AND2_222 (libraryRef gtech))) + ) + (instance (rename gO_4_30_MUX12 "gO_4.30.MUX12") (viewRef netlist (cellRef GTECH_MUX2_251 (libraryRef gtech))) + ) + (instance (rename gO_4_23_MUX12 "gO_4.23.MUX12") (viewRef netlist (cellRef GTECH_MUX2_252 (libraryRef gtech))) + ) + (instance (rename gO_4_32_MUX12 "gO_4.32.MUX12") (viewRef netlist (cellRef GTECH_MUX2_253 (libraryRef gtech))) + ) + (instance (rename gO_4_25_MUX12 "gO_4.25.MUX12") (viewRef netlist (cellRef GTECH_MUX2_254 (libraryRef gtech))) + ) + (instance (rename gO_4_33_MUX12 "gO_4.33.MUX12") (viewRef netlist (cellRef GTECH_MUX2_255 (libraryRef gtech))) + ) + (instance (rename gO_4_26_MUX12 "gO_4.26.MUX12") (viewRef netlist (cellRef GTECH_MUX2_256 (libraryRef gtech))) + ) + (instance (rename gO_4_34_MUX12 "gO_4.34.MUX12") (viewRef netlist (cellRef GTECH_MUX2_257 (libraryRef gtech))) + ) + (instance (rename gO_4_27_MUX12 "gO_4.27.MUX12") (viewRef netlist (cellRef GTECH_MUX2_258 (libraryRef gtech))) + ) + (instance (rename gO_4_35_MUX12 "gO_4.35.MUX12") (viewRef netlist (cellRef GTECH_MUX2_259 (libraryRef gtech))) + ) + (instance (rename gO_4_28_MUX12 "gO_4.28.MUX12") (viewRef netlist (cellRef GTECH_MUX2_260 (libraryRef gtech))) + ) + (instance (rename gO_4_16_MUX12 "gO_4.16.MUX12") (viewRef netlist (cellRef GTECH_MUX2_261 (libraryRef gtech))) + ) + (instance (rename gO_4_9_MUX12 "gO_4.9.MUX12") (viewRef netlist (cellRef GTECH_MUX2_262 (libraryRef gtech))) + ) + (instance (rename gO_4_15_MUX12 "gO_4.15.MUX12") (viewRef netlist (cellRef GTECH_MUX2_263 (libraryRef gtech))) + ) + (instance (rename gO_4_21_MUX12 "gO_4.21.MUX12") (viewRef netlist (cellRef GTECH_MUX2_264 (libraryRef gtech))) + ) + (instance (rename gO_4_14_MUX12 "gO_4.14.MUX12") (viewRef netlist (cellRef GTECH_MUX2_265 (libraryRef gtech))) + ) + (instance (rename gO_4_20_MUX12 "gO_4.20.MUX12") (viewRef netlist (cellRef GTECH_MUX2_266 (libraryRef gtech))) + ) + (instance (rename gO_4_13_MUX12 "gO_4.13.MUX12") (viewRef netlist (cellRef GTECH_MUX2_267 (libraryRef gtech))) + ) + (instance (rename gO_4_19_MUX12 "gO_4.19.MUX12") (viewRef netlist (cellRef GTECH_MUX2_268 (libraryRef gtech))) + ) + (instance (rename gO_4_12_MUX12 "gO_4.12.MUX12") (viewRef netlist (cellRef GTECH_MUX2_269 (libraryRef gtech))) + ) + (instance (rename gO_4_29_MUX12 "gO_4.29.MUX12") (viewRef netlist (cellRef GTECH_MUX2_270 (libraryRef gtech))) + ) + (instance (rename gO_4_22_MUX12 "gO_4.22.MUX12") (viewRef netlist (cellRef GTECH_MUX2_271 (libraryRef gtech))) + ) + (instance (rename gO_4_17_MUX12 "gO_4.17.MUX12") (viewRef netlist (cellRef GTECH_MUX2_272 (libraryRef gtech))) + ) + (instance (rename gO_4_10_MUX12 "gO_4.10.MUX12") (viewRef netlist (cellRef GTECH_MUX2_273 (libraryRef gtech))) + ) + (instance (rename gO_4_4_MUX12 "gO_4.4.MUX12") (viewRef netlist (cellRef GTECH_MUX2_274 (libraryRef gtech))) + ) + (instance (rename gO_4_3_MUX12 "gO_4.3.MUX12") (viewRef netlist (cellRef GTECH_MUX2_275 (libraryRef gtech))) + ) + (instance (rename gO_4_2_MUX12 "gO_4.2.MUX12") (viewRef netlist (cellRef GTECH_MUX2_276 (libraryRef gtech))) + ) + (instance (rename gO_4_8_MUX12 "gO_4.8.MUX12") (viewRef netlist (cellRef GTECH_MUX2_277 (libraryRef gtech))) + ) + (instance (rename gO_4_1_MUX12 "gO_4.1.MUX12") (viewRef netlist (cellRef GTECH_MUX2_278 (libraryRef gtech))) + ) + (instance (rename gO_4_7_MUX12 "gO_4.7.MUX12") (viewRef netlist (cellRef GTECH_MUX2_279 (libraryRef gtech))) + ) + (instance (rename gO_4_0_MUX12 "gO_4.0.MUX12") (viewRef netlist (cellRef GTECH_MUX2_280 (libraryRef gtech))) + ) + (instance (rename gO_4_6_MUX12 "gO_4.6.MUX12") (viewRef netlist (cellRef GTECH_MUX2_281 (libraryRef gtech))) + ) + (instance (rename gO_4_5_MUX12 "gO_4.5.MUX12") (viewRef netlist (cellRef GTECH_MUX2_282 (libraryRef gtech))) + ) + (instance (rename gO_4_31_MUX12 "gO_4.31.MUX12") (viewRef netlist (cellRef GTECH_MUX2_283 (libraryRef gtech))) + ) + (instance (rename gO_4_24_MUX12 "gO_4.24.MUX12") (viewRef netlist (cellRef GTECH_MUX2_284 (libraryRef gtech))) + ) + (instance (rename gO_4_18_MUX12 "gO_4.18.MUX12") (viewRef netlist (cellRef GTECH_MUX2_285 (libraryRef gtech))) + ) + (instance (rename gO_4_11_MUX12 "gO_4.11.MUX12") (viewRef netlist (cellRef GTECH_MUX2_286 (libraryRef gtech))) + ) + (instance AN24 (viewRef netlist (cellRef GTECH_AND2_226 (libraryRef gtech))) + ) + (instance OR25 (viewRef netlist (cellRef GTECH_OR2_138 (libraryRef gtech))) + ) + (instance GND (viewRef PRIM (cellRef GND (libraryRef UNILIB))) ) + (instance VCC (viewRef PRIM (cellRef VCC (libraryRef UNILIB))) ) + (net (rename A1_product_signed_43 "A1_product_signed(43)") (joined + (portRef (member P 47) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_43)) + (portRef I0 (instanceRef psum1_signed_cry_43_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_43)) + )) + (net psum1_signed_cry_42 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_43)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_43)) + )) + (net rstn (joined + (portRef rstn) + (portRef rstn (instanceRef gO_4_11_MUX12)) + (portRef rstn (instanceRef gO_2_gO_2cN_AN8)) + (portRef I1 (instanceRef PSUM2AND1_REGS_un1_enable)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_0)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_44)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_45)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_46)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_47)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_48)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_49)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_50)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_51)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_52)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_53)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_54)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_55)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_56)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_57)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_58)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_59)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_60)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_61)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_62)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_63)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_64)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_66)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_67)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_68)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_69)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_65)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_2)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_1)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_3)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_8)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_10)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_12)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_11)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_14)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_15)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_16)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_13)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_18)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_17)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_19)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_20)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_24)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_23)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_25)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_26)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_28)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_27)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_29)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_31)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_30)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_32)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_33)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_34)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_36)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_35)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_38)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_37)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_40)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_39)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_42)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_41)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_43)) + )) + (net (rename psum1_saved_3_43 "psum1_saved_3(43)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_43)) + (portRef D (instanceRef psum1_saved_43)) + )) + (net (rename A1_product_signed_41 "A1_product_signed(41)") (joined + (portRef (member P 32) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_43)) + (portRef I0 (instanceRef psum1_signed_cry_41_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_41)) + )) + (net psum1_signed_cry_40 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_43)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_41)) + )) + (net (rename psum1_saved_3_41 "psum1_saved_3(41)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_41)) + (portRef D (instanceRef psum1_saved_41)) + )) + (net (rename A1_product_signed_42 "A1_product_signed(42)") (joined + (portRef (member P 31) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_43)) + (portRef I0 (instanceRef psum1_signed_cry_42_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_42)) + )) + (net psum1_signed_cry_41 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_43)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_42)) + )) + (net (rename psum1_saved_3_42 "psum1_saved_3(42)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_42)) + (portRef D (instanceRef psum1_saved_42)) + )) + (net (rename A1_product_signed_39 "A1_product_signed(39)") (joined + (portRef (member P 34) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_39)) + (portRef I0 (instanceRef psum1_signed_cry_39_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_39)) + )) + (net psum1_signed_cry_38 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_39)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_39)) + )) + (net (rename psum1_saved_3_39 "psum1_saved_3(39)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_39)) + (portRef D (instanceRef psum1_saved_39)) + )) + (net (rename A1_product_signed_40 "A1_product_signed(40)") (joined + (portRef (member P 33) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_43)) + (portRef I0 (instanceRef psum1_signed_cry_40_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_40)) + )) + (net (rename psum1_signed_cryZ0Z_39 "psum1_signed_cry_39") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_39)) + (portRef CI (instanceRef psum1_signed_cry_43)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_40)) + )) + (net (rename psum1_saved_3_40 "psum1_saved_3(40)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_40)) + (portRef D (instanceRef psum1_saved_40)) + )) + (net (rename A1_product_signed_37 "A1_product_signed(37)") (joined + (portRef (member P 36) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_39)) + (portRef I0 (instanceRef psum1_signed_cry_37_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_37)) + )) + (net psum1_signed_cry_36 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_39)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_37)) + )) + (net (rename psum1_saved_3_37 "psum1_saved_3(37)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_37)) + (portRef D (instanceRef psum1_saved_37)) + )) + (net (rename A1_product_signed_38 "A1_product_signed(38)") (joined + (portRef (member P 35) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_39)) + (portRef I0 (instanceRef psum1_signed_cry_38_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_38)) + )) + (net psum1_signed_cry_37 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_39)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_38)) + )) + (net (rename psum1_saved_3_38 "psum1_saved_3(38)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_38)) + (portRef D (instanceRef psum1_saved_38)) + )) + (net (rename A1_product_signed_35 "A1_product_signed(35)") (joined + (portRef (member P 38) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_35)) + (portRef I0 (instanceRef psum1_signed_cry_35_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_35)) + )) + (net psum1_signed_cry_34 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_35)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_35)) + )) + (net (rename psum1_saved_3_35 "psum1_saved_3(35)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_35)) + (portRef D (instanceRef psum1_saved_35)) + )) + (net (rename A1_product_signed_36 "A1_product_signed(36)") (joined + (portRef (member P 37) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_39)) + (portRef I0 (instanceRef psum1_signed_cry_36_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_36)) + )) + (net (rename psum1_signed_cryZ0Z_35 "psum1_signed_cry_35") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_35)) + (portRef CI (instanceRef psum1_signed_cry_39)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_36)) + )) + (net (rename psum1_saved_3_36 "psum1_saved_3(36)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_36)) + (portRef D (instanceRef psum1_saved_36)) + )) + (net (rename A1_product_signed_34 "A1_product_signed(34)") (joined + (portRef (member P 39) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_35)) + (portRef I0 (instanceRef psum1_signed_cry_34_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_34)) + )) + (net psum1_signed_cry_33 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_35)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_34)) + )) + (net (rename psum1_saved_3_34 "psum1_saved_3(34)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_34)) + (portRef D (instanceRef psum1_saved_34)) + )) + (net (rename A1_product_signed_33 "A1_product_signed(33)") (joined + (portRef (member P 40) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_35)) + (portRef I0 (instanceRef psum1_signed_cry_33_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_33)) + )) + (net psum1_signed_cry_32 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_35)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_33)) + )) + (net (rename psum1_saved_3_33 "psum1_saved_3(33)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_33)) + (portRef D (instanceRef psum1_saved_33)) + )) + (net (rename A1_product_signed_32 "A1_product_signed(32)") (joined + (portRef (member P 41) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_35)) + (portRef I0 (instanceRef psum1_signed_cry_32_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_32)) + )) + (net (rename psum1_signed_cryZ0Z_31 "psum1_signed_cry_31") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_31)) + (portRef CI (instanceRef psum1_signed_cry_35)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_32)) + )) + (net (rename psum1_saved_3_32 "psum1_saved_3(32)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_32)) + (portRef D (instanceRef psum1_saved_32)) + )) + (net (rename A1_product_signed_30 "A1_product_signed(30)") (joined + (portRef (member P 43) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_31)) + (portRef I0 (instanceRef psum1_signed_cry_30_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_30)) + )) + (net psum1_signed_cry_29 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_31)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_30)) + )) + (net (rename psum1_saved_3_30 "psum1_saved_3(30)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_30)) + (portRef D (instanceRef psum1_saved_30)) + )) + (net (rename A1_product_signed_31 "A1_product_signed(31)") (joined + (portRef (member P 42) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_31)) + (portRef I0 (instanceRef psum1_signed_cry_31_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_31)) + )) + (net psum1_signed_cry_30 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_31)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_31)) + )) + (net (rename psum1_saved_3_31 "psum1_saved_3(31)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_31)) + (portRef D (instanceRef psum1_saved_31)) + )) + (net (rename A1_product_signed_29 "A1_product_signed(29)") (joined + (portRef (member P 44) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_31)) + (portRef I0 (instanceRef psum1_signed_cry_29_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_29)) + )) + (net psum1_signed_cry_28 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_31)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_29)) + )) + (net (rename psum1_saved_3_29 "psum1_saved_3(29)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_29)) + (portRef D (instanceRef psum1_saved_29)) + )) + (net (rename A1_product_signed_27 "A1_product_signed(27)") (joined + (portRef (member P 46) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_27)) + (portRef I0 (instanceRef psum1_signed_cry_27_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_27)) + )) + (net psum1_signed_cry_26 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_27)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_27)) + )) + (net (rename psum1_saved_3_27 "psum1_saved_3(27)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_27)) + (portRef D (instanceRef psum1_saved_27)) + )) + (net (rename A1_product_signed_28 "A1_product_signed(28)") (joined + (portRef (member P 45) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_31)) + (portRef I0 (instanceRef psum1_signed_cry_28_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_28)) + )) + (net (rename psum1_signed_cryZ0Z_27 "psum1_signed_cry_27") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_27)) + (portRef CI (instanceRef psum1_signed_cry_31)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_28)) + )) + (net (rename psum1_saved_3_28 "psum1_saved_3(28)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_28)) + (portRef D (instanceRef psum1_saved_28)) + )) + (net (rename A1_product_signed_26 "A1_product_signed(26)") (joined + (portRef (member P 47) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_27)) + (portRef I0 (instanceRef psum1_signed_cry_26_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_26)) + )) + (net psum1_signed_cry_25 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_27)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_26)) + )) + (net (rename psum1_saved_3_26 "psum1_saved_3(26)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_26)) + (portRef D (instanceRef psum1_saved_26)) + )) + (net (rename A1_product_signed_25 "A1_product_signed(25)") (joined + (portRef (member P 39) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_27)) + (portRef I0 (instanceRef psum1_signed_cry_25_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_25)) + )) + (net psum1_signed_cry_24 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_27)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_25)) + )) + (net (rename psum1_saved_3_25 "psum1_saved_3(25)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_25)) + (portRef D (instanceRef psum1_saved_25)) + )) + (net (rename A1_product_signed_23 "A1_product_signed(23)") (joined + (portRef (member P 41) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_23)) + (portRef I0 (instanceRef psum1_signed_cry_23_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_23)) + )) + (net psum1_signed_cry_22 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_23)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_23)) + )) + (net (rename psum1_saved_3_23 "psum1_saved_3(23)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_23)) + (portRef D (instanceRef psum1_saved_23)) + )) + (net (rename A1_product_signed_21 "A1_product_signed(21)") (joined + (portRef (member P 43) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_23)) + (portRef I0 (instanceRef psum1_signed_cry_21_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) + )) + (net psum1_signed_cry_20 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_23)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) + )) + (net (rename psum1_saved_3_21 "psum1_saved_3(21)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) + (portRef D (instanceRef psum1_saved_21)) + )) + (net (rename A1_product_signed_22 "A1_product_signed(22)") (joined + (portRef (member P 42) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_23)) + (portRef I0 (instanceRef psum1_signed_cry_22_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) + )) + (net psum1_signed_cry_21 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_23)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) + )) + (net (rename psum1_saved_3_22 "psum1_saved_3(22)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) + (portRef D (instanceRef psum1_saved_22)) + )) + (net (rename A1_product_signed_24 "A1_product_signed(24)") (joined + (portRef (member P 40) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_27)) + (portRef I0 (instanceRef psum1_signed_cry_24_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_24)) + )) + (net (rename psum1_signed_cryZ0Z_23 "psum1_signed_cry_23") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_23)) + (portRef CI (instanceRef psum1_signed_cry_27)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_24)) + )) + (net (rename psum1_saved_3_24 "psum1_saved_3(24)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_24)) + (portRef D (instanceRef psum1_saved_24)) + )) + (net (rename A1_product_signed_20 "A1_product_signed(20)") (joined + (portRef (member P 44) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_23)) + (portRef I0 (instanceRef psum1_signed_cry_20_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_20)) + )) + (net (rename psum1_signed_cryZ0Z_19 "psum1_signed_cry_19") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_19)) + (portRef CI (instanceRef psum1_signed_cry_23)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_20)) + )) + (net (rename psum1_saved_3_20 "psum1_saved_3(20)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_20)) + (portRef D (instanceRef psum1_saved_20)) + )) + (net (rename A1_product_signed_19 "A1_product_signed(19)") (joined + (portRef (member P 45) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_19)) + (portRef I0 (instanceRef psum1_signed_cry_19_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_19)) + )) + (net psum1_signed_cry_18 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_19)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_19)) + )) + (net (rename psum1_saved_3_19 "psum1_saved_3(19)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_19)) + (portRef D (instanceRef psum1_saved_19)) + )) + (net (rename A1_product_signed_17 "A1_product_signed(17)") (joined + (portRef (member P 47) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_19)) + (portRef I0 (instanceRef psum1_signed_cry_17_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_17)) + )) + (net psum1_signed_cry_16 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_19)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_17)) + )) + (net (rename psum1_saved_3_17 "psum1_saved_3(17)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_17)) + (portRef D (instanceRef psum1_saved_17)) + )) + (net (rename A1_product_signed_18 "A1_product_signed(18)") (joined + (portRef (member P 46) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_19)) + (portRef I0 (instanceRef psum1_signed_cry_18_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_18)) + )) + (net psum1_signed_cry_17 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_19)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_18)) + )) + (net (rename psum1_saved_3_18 "psum1_saved_3(18)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_18)) + (portRef D (instanceRef psum1_saved_18)) + )) + (net (rename A1_product_signed_13 "A1_product_signed(13)") (joined + (portRef (member P 34) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_15)) + (portRef I0 (instanceRef psum1_signed_cry_13_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_13)) + )) + (net psum1_signed_cry_12 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_15)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_13)) + )) + (net (rename psum1_saved_3_13 "psum1_saved_3(13)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_13)) + (portRef D (instanceRef psum1_saved_13)) + )) + (net (rename A1_product_signed_16 "A1_product_signed(16)") (joined + (portRef (member P 31) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_19)) + (portRef I0 (instanceRef psum1_signed_cry_16_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_16)) + )) + (net (rename psum1_signed_cryZ0Z_15 "psum1_signed_cry_15") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_15)) + (portRef CI (instanceRef psum1_signed_cry_19)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_16)) + )) + (net (rename psum1_saved_3_16 "psum1_saved_3(16)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_16)) + (portRef D (instanceRef psum1_saved_16)) + )) + (net (rename A1_product_signed_15 "A1_product_signed(15)") (joined + (portRef (member P 32) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_15)) + (portRef I0 (instanceRef psum1_signed_cry_15_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_15)) + )) + (net psum1_signed_cry_14 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_15)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_15)) + )) + (net (rename psum1_saved_3_15 "psum1_saved_3(15)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_15)) + (portRef D (instanceRef psum1_saved_15)) + )) + (net (rename A1_product_signed_14 "A1_product_signed(14)") (joined + (portRef (member P 33) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_15)) + (portRef I0 (instanceRef psum1_signed_cry_14_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_14)) + )) + (net psum1_signed_cry_13 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_15)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_14)) + )) + (net (rename psum1_saved_3_14 "psum1_saved_3(14)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_14)) + (portRef D (instanceRef psum1_saved_14)) + )) + (net (rename A1_product_signed_11 "A1_product_signed(11)") (joined + (portRef (member P 36) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_11)) + (portRef I0 (instanceRef psum1_signed_cry_11_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_11)) + )) + (net psum1_signed_cry_10 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_11)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_11)) + )) + (net (rename psum1_saved_3_11 "psum1_saved_3(11)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_11)) + (portRef D (instanceRef psum1_saved_11)) + )) + (net (rename A1_product_signed_12 "A1_product_signed(12)") (joined + (portRef (member P 35) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_15)) + (portRef I0 (instanceRef psum1_signed_cry_12_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_12)) + )) + (net (rename psum1_signed_cryZ0Z_11 "psum1_signed_cry_11") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_11)) + (portRef CI (instanceRef psum1_signed_cry_15)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_12)) + )) + (net (rename psum1_saved_3_12 "psum1_saved_3(12)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_12)) + (portRef D (instanceRef psum1_saved_12)) + )) + (net (rename A1_product_signed_9 "A1_product_signed(9)") (joined + (portRef (member P 38) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_11)) + (portRef I0 (instanceRef psum1_signed_cry_9_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) + )) + (net psum1_signed_cry_8 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_11)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) + )) + (net (rename psum1_saved_3_9 "psum1_saved_3(9)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) + (portRef D (instanceRef psum1_saved_9)) + )) + (net (rename A1_product_signed_10 "A1_product_signed(10)") (joined + (portRef (member P 37) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_11)) + (portRef I0 (instanceRef psum1_signed_cry_10_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_10)) + )) + (net psum1_signed_cry_9 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_11)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_10)) + )) + (net (rename psum1_saved_3_10 "psum1_saved_3(10)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_10)) + (portRef D (instanceRef psum1_saved_10)) + )) + (net (rename A1_product_signed_6 "A1_product_signed(6)") (joined + (portRef (member P 41) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_7)) + (portRef I0 (instanceRef psum1_signed_cry_6_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) + )) + (net psum1_signed_cry_5 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_7)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) + )) + (net (rename psum1_saved_3_6 "psum1_saved_3(6)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) + (portRef D (instanceRef psum1_saved_6)) + )) + (net (rename A1_product_signed_8 "A1_product_signed(8)") (joined + (portRef (member P 39) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_11)) + (portRef I0 (instanceRef psum1_signed_cry_8_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_8)) + )) + (net (rename psum1_signed_cryZ0Z_7 "psum1_signed_cry_7") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_7)) + (portRef CI (instanceRef psum1_signed_cry_11)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_8)) + )) + (net (rename psum1_saved_3_8 "psum1_saved_3(8)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_8)) + (portRef D (instanceRef psum1_saved_8)) + )) + (net (rename A1_product_signed_7 "A1_product_signed(7)") (joined + (portRef (member P 40) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_7)) + (portRef I0 (instanceRef psum1_signed_cry_7_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) + )) + (net psum1_signed_cry_6 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_7)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) + )) + (net (rename psum1_saved_3_7 "psum1_saved_3(7)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) + (portRef D (instanceRef psum1_saved_7)) + )) + (net (rename A1_product_signed_5 "A1_product_signed(5)") (joined + (portRef (member P 42) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_7)) + (portRef I0 (instanceRef psum1_signed_cry_5_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) + )) + (net psum1_signed_cry_4 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_7)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) + )) + (net (rename psum1_saved_3_5 "psum1_saved_3(5)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) + (portRef D (instanceRef psum1_saved_5)) + )) + (net (rename A1_product_signed_4 "A1_product_signed(4)") (joined + (portRef (member P 43) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_7)) + (portRef I0 (instanceRef psum1_signed_cry_4_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) + )) + (net (rename psum1_signed_cryZ0Z_3 "psum1_signed_cry_3") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_3)) + (portRef CI (instanceRef psum1_signed_cry_7)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) + )) + (net (rename psum1_saved_3_4 "psum1_saved_3(4)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) + (portRef D (instanceRef psum1_saved_4)) + )) + (net (rename A1_product_signed_3 "A1_product_signed(3)") (joined + (portRef (member P 44) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_3)) + (portRef I0 (instanceRef psum1_signed_cry_3_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_3)) + )) + (net psum1_signed_cry_2 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_3)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_3)) + )) + (net (rename psum1_saved_3_3 "psum1_saved_3(3)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_3)) + (portRef D (instanceRef psum1_saved_3)) + )) + (net (rename A1_product_signed_1 "A1_product_signed(1)") (joined + (portRef (member P 46) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_3)) + (portRef I0 (instanceRef psum1_signed_cry_1_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_1)) + )) + (net psum1_signed_cry_0 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_3)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_1)) + )) + (net (rename psum1_saved_3_1 "psum1_saved_3(1)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_1)) + (portRef D (instanceRef psum1_saved_1)) + )) + (net (rename A1_product_signed_2 "A1_product_signed(2)") (joined + (portRef (member P 45) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_3)) + (portRef I0 (instanceRef psum1_signed_cry_2_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_2)) + )) + (net psum1_signed_cry_1 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_3)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_2)) + )) + (net (rename psum1_saved_3_2 "psum1_saved_3(2)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_2)) + (portRef D (instanceRef psum1_saved_2)) + )) + (net (rename A1_product_signed_1_65 "A1_product_signed_1(65)") (joined + (portRef O (instanceRef psum1_signed_s_65_thru)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_65)) + )) + (net psum1_signed_cry_64 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_67)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_65)) + )) + (net psum1_saved_3_7_2 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_65)) + (portRef D (instanceRef psum1_saved_65)) + )) + (net (rename A1_product_signedAdd_0_9 "A1_product_signedAdd_0(9)") (joined + (portRef (member P 38) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 47) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_10 "A1_product_signedAdd_0(10)") (joined + (portRef (member P 37) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 46) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_11 "A1_product_signedAdd_0(11)") (joined + (portRef (member P 36) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 45) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_12 "A1_product_signedAdd_0(12)") (joined + (portRef (member P 35) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 44) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_13 "A1_product_signedAdd_0(13)") (joined + (portRef (member P 34) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 43) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_14 "A1_product_signedAdd_0(14)") (joined + (portRef (member P 33) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 42) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_15 "A1_product_signedAdd_0(15)") (joined + (portRef (member P 32) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 41) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_16 "A1_product_signedAdd_0(16)") (joined + (portRef (member P 31) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 40) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_17 "A1_product_signedAdd_0(17)") (joined + (portRef (member P 30) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 39) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_18 "A1_product_signedAdd_0(18)") (joined + (portRef (member P 29) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 38) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_19 "A1_product_signedAdd_0(19)") (joined + (portRef (member P 28) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 37) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_20 "A1_product_signedAdd_0(20)") (joined + (portRef (member P 27) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 36) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_21 "A1_product_signedAdd_0(21)") (joined + (portRef (member P 26) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 35) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_22 "A1_product_signedAdd_0(22)") (joined + (portRef (member P 25) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 34) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_23 "A1_product_signedAdd_0(23)") (joined + (portRef (member P 24) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 33) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_24 "A1_product_signedAdd_0(24)") (joined + (portRef (member P 23) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 32) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_25 "A1_product_signedAdd_0(25)") (joined + (portRef (member P 22) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 31) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_26 "A1_product_signedAdd_0(26)") (joined + (portRef (member P 21) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 30) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_27 "A1_product_signedAdd_0(27)") (joined + (portRef (member P 20) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 29) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_28 "A1_product_signedAdd_0(28)") (joined + (portRef (member P 19) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 28) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_29 "A1_product_signedAdd_0(29)") (joined + (portRef (member P 18) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 27) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_30 "A1_product_signedAdd_0(30)") (joined + (portRef (member P 17) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 26) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_31 "A1_product_signedAdd_0(31)") (joined + (portRef (member P 16) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 25) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_32 "A1_product_signedAdd_0(32)") (joined + (portRef (member P 15) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 24) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_33 "A1_product_signedAdd_0(33)") (joined + (portRef (member P 14) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 23) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_34 "A1_product_signedAdd_0(34)") (joined + (portRef (member P 13) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 22) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_35 "A1_product_signedAdd_0(35)") (joined + (portRef (member P 12) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 21) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_36 "A1_product_signedAdd_0(36)") (joined + (portRef (member P 11) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 20) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_37 "A1_product_signedAdd_0(37)") (joined + (portRef (member P 10) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 19) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_38 "A1_product_signedAdd_0(38)") (joined + (portRef (member P 9) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 18) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_39 "A1_product_signedAdd_0(39)") (joined + (portRef (member P 8) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 17) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_40 "A1_product_signedAdd_0(40)") (joined + (portRef (member P 7) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 16) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_41 "A1_product_signedAdd_0(41)") (joined + (portRef (member P 6) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 15) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_42 "A1_product_signedAdd_0(42)") (joined + (portRef (member P 5) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 5) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 6) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 7) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 8) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 9) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 10) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 11) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 12) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 13) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 14) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename GNDZ0 "GND") (joined + (portRef G (instanceRef GND)) + (portRef (member S 0) (instanceRef psum1_signed_s_69)) + (portRef (member S 1) (instanceRef psum1_signed_s_69)) + (portRef (member DI 0) (instanceRef psum1_signed_s_69)) + (portRef (member DI 1) (instanceRef psum1_signed_s_69)) + (portRef (member DI 2) (instanceRef psum1_signed_s_69)) + (portRef (member DI 3) (instanceRef psum1_signed_s_69)) + (portRef CYINIT (instanceRef psum1_signed_s_69)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_67)) + (portRef CYINIT (instanceRef psum1_signed_cry_67)) + (portRef CYINIT (instanceRef psum1_signed_cry_63)) + (portRef CYINIT (instanceRef psum1_signed_cry_59)) + (portRef CYINIT (instanceRef psum1_signed_cry_55)) + (portRef CYINIT (instanceRef psum1_signed_cry_51)) + (portRef CYINIT (instanceRef psum1_signed_cry_47)) + (portRef CYINIT (instanceRef psum1_signed_cry_43)) + (portRef CYINIT (instanceRef psum1_signed_cry_39)) + (portRef CYINIT (instanceRef psum1_signed_cry_35)) + (portRef CYINIT (instanceRef psum1_signed_cry_31)) + (portRef CYINIT (instanceRef psum1_signed_cry_27)) + (portRef CYINIT (instanceRef psum1_signed_cry_23)) + (portRef CYINIT (instanceRef psum1_signed_cry_19)) + (portRef CYINIT (instanceRef psum1_signed_cry_15)) + (portRef CYINIT (instanceRef psum1_signed_cry_11)) + (portRef CYINIT (instanceRef psum1_signed_cry_7)) + (portRef CYINIT (instanceRef psum1_signed_cry_3)) + (portRef CI (instanceRef psum1_signed_cry_3)) + (portRef (member S 0) (instanceRef un1_psum0_signed_s_70)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_s_70)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_s_70)) + (portRef CYINIT (instanceRef un1_psum0_signed_s_70)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_67)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_63)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_59)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_55)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_51)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_47)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_43)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_39)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_35)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_31)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_27)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_23)) + (portRef CI (instanceRef un1_psum0_signed_cry_23)) + (portRef RSTP (instanceRef B0_product_signed_0_33_0)) + (portRef RSTM (instanceRef B0_product_signed_0_33_0)) + (portRef RSTINMODE (instanceRef B0_product_signed_0_33_0)) + (portRef RSTD (instanceRef B0_product_signed_0_33_0)) + (portRef RSTCTRL (instanceRef B0_product_signed_0_33_0)) + (portRef RSTC (instanceRef B0_product_signed_0_33_0)) + (portRef RSTB (instanceRef B0_product_signed_0_33_0)) + (portRef RSTALUMODE (instanceRef B0_product_signed_0_33_0)) + (portRef RSTALLCARRYIN (instanceRef B0_product_signed_0_33_0)) + (portRef RSTA (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 5) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 6) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 7) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 8) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 9) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 10) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 11) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 12) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 13) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 14) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 15) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 16) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 17) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 18) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 19) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 20) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 21) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 22) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 23) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 24) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 25) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 26) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 27) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 28) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 29) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 30) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 31) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 32) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 33) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 34) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 35) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 36) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 37) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 38) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 39) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 40) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 41) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 42) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 43) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 44) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 45) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 46) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 47) (instanceRef B0_product_signed_0_33_0)) + (portRef (member OPMODE 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member OPMODE 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member OPMODE 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member OPMODE 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member OPMODE 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member OPMODE 5) (instanceRef B0_product_signed_0_33_0)) + (portRef (member OPMODE 7) (instanceRef B0_product_signed_0_33_0)) + (portRef MULTSIGNIN (instanceRef B0_product_signed_0_33_0)) + (portRef (member INMODE 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member INMODE 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member INMODE 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member INMODE 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member INMODE 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 5) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 6) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 7) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 8) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 9) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 10) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 11) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 12) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 13) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 14) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 15) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 16) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 17) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 18) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 19) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 20) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 21) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 22) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 23) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 24) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 25) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 26) (instanceRef B0_product_signed_0_33_0)) + (portRef CLK (instanceRef B0_product_signed_0_33_0)) + (portRef CEP (instanceRef B0_product_signed_0_33_0)) + (portRef CEM (instanceRef B0_product_signed_0_33_0)) + (portRef CEINMODE (instanceRef B0_product_signed_0_33_0)) + (portRef CED (instanceRef B0_product_signed_0_33_0)) + (portRef CECTRL (instanceRef B0_product_signed_0_33_0)) + (portRef CECARRYIN (instanceRef B0_product_signed_0_33_0)) + (portRef CEC (instanceRef B0_product_signed_0_33_0)) + (portRef CEB2 (instanceRef B0_product_signed_0_33_0)) + (portRef CEB1 (instanceRef B0_product_signed_0_33_0)) + (portRef CEALUMODE (instanceRef B0_product_signed_0_33_0)) + (portRef CEAD (instanceRef B0_product_signed_0_33_0)) + (portRef CEA2 (instanceRef B0_product_signed_0_33_0)) + (portRef CEA1 (instanceRef B0_product_signed_0_33_0)) + (portRef (member CARRYINSEL 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member CARRYINSEL 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member CARRYINSEL 2) (instanceRef B0_product_signed_0_33_0)) + (portRef CARRYIN (instanceRef B0_product_signed_0_33_0)) + (portRef CARRYCASCIN (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 5) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 6) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 7) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 8) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 9) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 10) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 11) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 12) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 13) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 14) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 15) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 16) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 17) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 18) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 19) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 20) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 21) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 22) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 23) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 24) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 25) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 26) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 27) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 28) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 29) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 30) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 31) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 32) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 33) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 34) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 35) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 36) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 37) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 38) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 39) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 40) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 41) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 42) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 43) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 44) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 45) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 46) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 47) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 5) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 6) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 7) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 8) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 9) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 10) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 11) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 12) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 13) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 14) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 15) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 16) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 17) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ALUMODE 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ALUMODE 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ALUMODE 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ALUMODE 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 5) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 6) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 7) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 8) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 9) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 10) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 11) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 12) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 13) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 14) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 15) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 16) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 17) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 18) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 19) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 20) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 21) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 22) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 23) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 24) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 25) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 26) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 27) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 28) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 29) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 5) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 6) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 7) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 8) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 9) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 10) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 11) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 12) (instanceRef B0_product_signed_0_33_0)) + (portRef RSTP (instanceRef A1_product_signed_0_44_0)) + (portRef RSTM (instanceRef A1_product_signed_0_44_0)) + (portRef RSTINMODE (instanceRef A1_product_signed_0_44_0)) + (portRef RSTD (instanceRef A1_product_signed_0_44_0)) + (portRef RSTCTRL (instanceRef A1_product_signed_0_44_0)) + (portRef RSTC (instanceRef A1_product_signed_0_44_0)) + (portRef RSTB (instanceRef A1_product_signed_0_44_0)) + (portRef RSTALUMODE (instanceRef A1_product_signed_0_44_0)) + (portRef RSTALLCARRYIN (instanceRef A1_product_signed_0_44_0)) + (portRef RSTA (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 5) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 6) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 7) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 8) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 9) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 10) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 11) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 12) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 13) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 14) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 15) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 16) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 17) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 18) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 19) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 20) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 21) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 22) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 23) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 24) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 25) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 26) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 27) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 28) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 29) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 30) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 31) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 32) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 33) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 34) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 35) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 36) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 37) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 38) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 39) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 40) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 41) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 42) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 43) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 44) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 45) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 46) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 47) (instanceRef A1_product_signed_0_44_0)) + (portRef (member OPMODE 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member OPMODE 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member OPMODE 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member OPMODE 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member OPMODE 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member OPMODE 5) (instanceRef A1_product_signed_0_44_0)) + (portRef (member OPMODE 7) (instanceRef A1_product_signed_0_44_0)) + (portRef MULTSIGNIN (instanceRef A1_product_signed_0_44_0)) + (portRef (member INMODE 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member INMODE 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member INMODE 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member INMODE 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member INMODE 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 5) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 6) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 7) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 8) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 9) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 10) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 11) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 12) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 13) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 14) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 15) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 16) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 17) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 18) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 19) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 20) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 21) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 22) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 23) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 24) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 25) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 26) (instanceRef A1_product_signed_0_44_0)) + (portRef CLK (instanceRef A1_product_signed_0_44_0)) + (portRef CEP (instanceRef A1_product_signed_0_44_0)) + (portRef CEM (instanceRef A1_product_signed_0_44_0)) + (portRef CEINMODE (instanceRef A1_product_signed_0_44_0)) + (portRef CED (instanceRef A1_product_signed_0_44_0)) + (portRef CECTRL (instanceRef A1_product_signed_0_44_0)) + (portRef CECARRYIN (instanceRef A1_product_signed_0_44_0)) + (portRef CEC (instanceRef A1_product_signed_0_44_0)) + (portRef CEB2 (instanceRef A1_product_signed_0_44_0)) + (portRef CEB1 (instanceRef A1_product_signed_0_44_0)) + (portRef CEALUMODE (instanceRef A1_product_signed_0_44_0)) + (portRef CEAD (instanceRef A1_product_signed_0_44_0)) + (portRef CEA2 (instanceRef A1_product_signed_0_44_0)) + (portRef CEA1 (instanceRef A1_product_signed_0_44_0)) + (portRef (member CARRYINSEL 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member CARRYINSEL 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member CARRYINSEL 2) (instanceRef A1_product_signed_0_44_0)) + (portRef CARRYIN (instanceRef A1_product_signed_0_44_0)) + (portRef CARRYCASCIN (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 5) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 6) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 7) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 8) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 9) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 10) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 11) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 12) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 13) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 14) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 15) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 16) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 17) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 18) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 19) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 20) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 21) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 22) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 23) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 24) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 25) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 26) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 27) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 28) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 29) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 30) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 31) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 32) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 33) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 34) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 35) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 36) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 37) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 38) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 39) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 40) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 41) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 42) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 43) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 44) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 45) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 46) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 47) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 5) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 6) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 7) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 8) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 9) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 10) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 11) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 12) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 13) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 14) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 15) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 16) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 17) (instanceRef A1_product_signed_0_44_0)) + (portRef (member B 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ALUMODE 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ALUMODE 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ALUMODE 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ALUMODE 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 5) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 6) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 7) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 8) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 9) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 10) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 11) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 12) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 13) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 14) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 15) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 16) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 17) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 18) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 19) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 20) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 21) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 22) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 23) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 24) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 25) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 26) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 27) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 28) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 29) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 3) (instanceRef A1_product_signed_0_44_0)) + (portRef RSTP (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTM (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTINMODE (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTD (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTCTRL (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTC (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTB (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTALUMODE (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTALLCARRYIN (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTA (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member OPMODE 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member OPMODE 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member OPMODE 3) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member OPMODE 5) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member OPMODE 7) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef MULTSIGNIN (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member INMODE 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member INMODE 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member INMODE 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member INMODE 3) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member INMODE 4) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 3) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 4) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 5) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 6) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 7) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 8) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 9) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 10) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 11) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 12) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 13) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 14) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 15) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 16) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 17) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 18) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 19) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 20) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 21) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 22) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 23) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 24) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 25) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 26) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CLK (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEP (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEM (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEINMODE (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CED (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CECTRL (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CECARRYIN (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEC (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEB2 (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEB1 (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEALUMODE (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEAD (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEA2 (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEA1 (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member CARRYINSEL 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member CARRYINSEL 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member CARRYINSEL 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CARRYIN (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CARRYCASCIN (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 3) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 4) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 5) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 6) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 7) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 8) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 9) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 10) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 11) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 12) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 13) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 14) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 15) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 16) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 17) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 18) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 19) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 20) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 21) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 22) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 23) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 24) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 25) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 26) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 27) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 28) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 29) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 30) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 31) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 32) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 33) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 34) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 35) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 36) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 37) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 38) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 39) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 40) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 41) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 42) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 43) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 44) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 45) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 46) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 47) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 3) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 4) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 5) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 6) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 7) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 8) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 9) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 10) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 11) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 12) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 13) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 14) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 15) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 16) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 17) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ALUMODE 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ALUMODE 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ALUMODE 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ALUMODE 3) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 3) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 4) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 5) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 6) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 7) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 8) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 9) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 10) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 11) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 12) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 13) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 14) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 15) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 16) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 17) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 18) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 19) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 20) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 21) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 22) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 23) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 24) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 25) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 26) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 27) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 28) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 29) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTP (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTM (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTINMODE (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTD (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTCTRL (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTC (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTB (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTALUMODE (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTALLCARRYIN (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTA (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member OPMODE 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member OPMODE 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member OPMODE 3) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member OPMODE 5) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member OPMODE 7) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef MULTSIGNIN (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member INMODE 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member INMODE 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member INMODE 2) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member INMODE 3) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member INMODE 4) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 2) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 3) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 4) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 5) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 6) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 7) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 8) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 9) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 10) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 11) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 12) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 13) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 14) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 15) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 16) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 17) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 18) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 19) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 20) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 21) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 22) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 23) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 24) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 25) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 26) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CLK (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEP (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEM (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEINMODE (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CED (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CECTRL (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CECARRYIN (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEC (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEB2 (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEB1 (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEALUMODE (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEAD (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEA2 (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEA1 (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member CARRYINSEL 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member CARRYINSEL 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member CARRYINSEL 2) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CARRYIN (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CARRYCASCIN (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 2) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 3) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 4) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 5) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 6) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 7) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 8) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 9) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 10) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 11) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 12) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 13) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 14) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 15) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 16) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 17) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 18) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 19) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 20) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 21) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 22) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 23) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 24) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 25) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 26) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 27) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 28) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 29) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 30) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 31) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 32) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 33) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 34) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 35) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 36) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 37) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 38) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 39) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 40) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 41) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 42) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 43) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 44) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 45) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 46) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 47) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 2) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 3) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 4) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 5) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 6) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 7) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 8) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 9) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 10) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 11) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 12) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 13) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 14) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 15) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 16) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 17) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ALUMODE 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ALUMODE 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ALUMODE 2) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ALUMODE 3) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 2) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 3) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 4) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 5) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 6) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 7) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 8) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 9) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 10) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 11) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 12) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 13) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 14) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 15) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 16) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 17) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 18) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 19) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 20) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 21) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 22) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 23) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 24) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 25) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 26) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 27) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 28) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 29) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTP (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTM (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTINMODE (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTD (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTCTRL (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTC (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTB (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTALUMODE (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTALLCARRYIN (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTA (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 5) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 6) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 7) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 8) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 9) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 10) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 11) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 12) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 13) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 14) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 15) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 16) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 17) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 18) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 19) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 20) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 21) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 22) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 23) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 24) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 25) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 26) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 27) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 28) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 29) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 30) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 31) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 32) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 33) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 34) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 35) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 36) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 37) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 38) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 39) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 40) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 41) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 42) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 43) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 44) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 45) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 46) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 47) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member OPMODE 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member OPMODE 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member OPMODE 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member OPMODE 5) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member OPMODE 7) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef MULTSIGNIN (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member INMODE 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member INMODE 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member INMODE 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member INMODE 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member INMODE 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 5) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 6) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 7) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 8) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 9) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 10) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 11) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 12) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 13) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 14) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 15) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 16) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 17) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 18) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 19) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 20) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 21) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 22) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 23) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 24) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 25) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 26) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CLK (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEP (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEM (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEINMODE (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CED (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CECTRL (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CECARRYIN (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEC (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEB2 (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEB1 (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEALUMODE (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEAD (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEA2 (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEA1 (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member CARRYINSEL 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member CARRYINSEL 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member CARRYINSEL 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CARRYIN (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CARRYCASCIN (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 5) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 6) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 7) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 8) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 9) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 10) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 11) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 12) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 13) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 14) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 15) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 16) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 17) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ALUMODE 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ALUMODE 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ALUMODE 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ALUMODE 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 5) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 6) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 7) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 8) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 9) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 10) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 11) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 12) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 13) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 14) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 15) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 16) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 17) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 18) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 19) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 20) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 21) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 22) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 23) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 24) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 25) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 26) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 27) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 28) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 29) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 5) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 6) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 7) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 8) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 9) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 10) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 11) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 12) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTP (instanceRef A1_product_signedAdd_0_42_0)) + (portRef RSTM (instanceRef A1_product_signedAdd_0_42_0)) + (portRef RSTINMODE (instanceRef A1_product_signedAdd_0_42_0)) + (portRef RSTD (instanceRef A1_product_signedAdd_0_42_0)) + (portRef RSTCTRL (instanceRef A1_product_signedAdd_0_42_0)) + (portRef RSTC (instanceRef A1_product_signedAdd_0_42_0)) + (portRef RSTB (instanceRef A1_product_signedAdd_0_42_0)) + (portRef RSTALUMODE (instanceRef A1_product_signedAdd_0_42_0)) + (portRef RSTALLCARRYIN (instanceRef A1_product_signedAdd_0_42_0)) + (portRef RSTA (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member OPMODE 0) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member OPMODE 1) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member OPMODE 3) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member OPMODE 5) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member OPMODE 7) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef MULTSIGNIN (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member INMODE 0) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member INMODE 1) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member INMODE 2) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member INMODE 3) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member INMODE 4) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 0) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 1) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 2) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 3) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 4) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 5) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 6) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 7) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 8) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 9) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 10) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 11) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 12) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 13) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 14) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 15) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 16) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 17) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 18) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 19) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 20) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 21) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 22) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 23) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 24) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 25) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 26) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CLK (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEP (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEM (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEINMODE (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CED (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CECTRL (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CECARRYIN (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEC (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEB2 (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEB1 (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEALUMODE (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEAD (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEA2 (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEA1 (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member CARRYINSEL 0) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member CARRYINSEL 1) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member CARRYINSEL 2) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CARRYIN (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CARRYCASCIN (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 0) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 1) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 2) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 3) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 4) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 5) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 6) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 7) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 8) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 9) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 10) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 11) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 12) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 13) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 14) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 15) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 16) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 17) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 18) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 19) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 20) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 21) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 22) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 23) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 24) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 25) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 26) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 27) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 28) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 29) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 30) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 31) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 32) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 33) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 34) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 35) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 36) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 37) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 38) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 39) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 40) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 41) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 42) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 43) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 44) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 45) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 46) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 47) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 0) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 1) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 2) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 3) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 4) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 5) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 6) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 7) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 8) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 9) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 10) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 11) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 12) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 13) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 14) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 15) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 16) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 17) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member ALUMODE 0) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member ALUMODE 1) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member ALUMODE 2) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member ALUMODE 3) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 0) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 1) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 2) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 3) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 4) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 5) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 6) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 7) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 8) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 9) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 10) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 11) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 12) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 13) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 14) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 15) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 16) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 17) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 18) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 19) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 20) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 21) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 22) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 23) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 24) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 25) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 26) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 27) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 28) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 29) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_0 "feedback_data_0(0)") (joined + (portRef (member ACOUT 29) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 29) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_48_i_0 (joined + (portRef (member ACOUT 28) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 28) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_2 "feedback_data_0(2)") (joined + (portRef (member ACOUT 27) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 27) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_3 "feedback_data_0(3)") (joined + (portRef (member ACOUT 26) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 26) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_4 "feedback_data_0(4)") (joined + (portRef (member ACOUT 25) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 25) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_44_i_0 (joined + (portRef (member ACOUT 24) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 24) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_40_i_0 (joined + (portRef (member ACOUT 23) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 23) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_7 "feedback_data_0(7)") (joined + (portRef (member ACOUT 22) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 22) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_8 "feedback_data_0(8)") (joined + (portRef (member ACOUT 21) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 21) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_9 "feedback_data_0(9)") (joined + (portRef (member ACOUT 20) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 20) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_10 "feedback_data_0(10)") (joined + (portRef (member ACOUT 19) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 19) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_41_i_0 (joined + (portRef (member ACOUT 18) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 18) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_12 "feedback_data_0(12)") (joined + (portRef (member ACOUT 17) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 17) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_13 "feedback_data_0(13)") (joined + (portRef (member ACOUT 16) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 16) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_14 "feedback_data_0(14)") (joined + (portRef (member ACOUT 15) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 15) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_15 "feedback_data_0(15)") (joined + (portRef (member ACOUT 14) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 14) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_36_i_0 (joined + (portRef (member ACOUT 13) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 13) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_32_i_0 (joined + (portRef (member ACOUT 12) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 12) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_28_i_0 (joined + (portRef (member ACOUT 11) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 11) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_19 "feedback_data_0(19)") (joined + (portRef (member ACOUT 10) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 10) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_24_i_0 (joined + (portRef (member ACOUT 9) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 9) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_20_i_0 (joined + (portRef (member ACOUT 8) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 8) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_22 "feedback_data_0(22)") (joined + (portRef (member ACOUT 7) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 7) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_37_i_0 (joined + (portRef (member ACOUT 6) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 6) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_33_i_0 (joined + (portRef (member ACOUT 5) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 5) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_29_i_0 (joined + (portRef (member ACOUT 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 4) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net GND_8 (joined + (portRef (member ACOUT 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 3) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net GND_9 (joined + (portRef (member ACOUT 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 2) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net GND_10 (joined + (portRef (member ACOUT 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 1) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net GND_11 (joined + (portRef (member ACOUT 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 0) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b2_re_17 "b2_re(17)") (joined + (portRef (member b2_re 14)) + (portRef (member A 29) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 17) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b2_re_18 "b2_re(18)") (joined + (portRef (member b2_re 13)) + (portRef (member A 28) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 16) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b2_re_19 "b2_re(19)") (joined + (portRef (member b2_re 12)) + (portRef (member A 27) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 15) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b2_re_20 "b2_re(20)") (joined + (portRef (member b2_re 11)) + (portRef (member A 26) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 14) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b2_re_21 "b2_re(21)") (joined + (portRef (member b2_re 10)) + (portRef (member A 25) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 13) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b2_re_22 "b2_re(22)") (joined + (portRef (member b2_re 9)) + (portRef (member A 24) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 12) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b2_re_23 "b2_re(23)") (joined + (portRef (member b2_re 8)) + (portRef (member A 23) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 11) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b2_re_24 "b2_re(24)") (joined + (portRef (member b2_re 7)) + (portRef (member A 22) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 10) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b2_re_25 "b2_re(25)") (joined + (portRef (member b2_re 6)) + (portRef (member A 21) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 9) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b2_re_26 "b2_re(26)") (joined + (portRef (member b2_re 5)) + (portRef (member A 20) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 8) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b2_re_27 "b2_re(27)") (joined + (portRef (member b2_re 4)) + (portRef (member A 19) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 7) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b2_re_28 "b2_re(28)") (joined + (portRef (member b2_re 3)) + (portRef (member A 18) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 6) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b2_re_29 "b2_re(29)") (joined + (portRef (member b2_re 2)) + (portRef (member A 17) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 5) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b2_re_30 "b2_re(30)") (joined + (portRef (member b2_re 1)) + (portRef (member A 16) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 4) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b2_re_31 "b2_re(31)") (joined + (portRef (member b2_re 0)) + (portRef (member A 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 3) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 4) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 5) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 6) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 7) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 8) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 9) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 10) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 11) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 12) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 13) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 14) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 15) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 0) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member B 1) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member B 2) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member B 3) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename VCCZ0 "VCC") (joined + (portRef P (instanceRef VCC)) + (portRef (member OPMODE 6) (instanceRef B0_product_signed_0_33_0)) + (portRef (member OPMODE 8) (instanceRef B0_product_signed_0_33_0)) + (portRef (member OPMODE 6) (instanceRef A1_product_signed_0_44_0)) + (portRef (member OPMODE 8) (instanceRef A1_product_signed_0_44_0)) + (portRef (member OPMODE 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member OPMODE 4) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member OPMODE 6) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member OPMODE 8) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member OPMODE 2) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member OPMODE 4) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member OPMODE 6) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member OPMODE 8) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member OPMODE 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member OPMODE 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member OPMODE 6) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member OPMODE 8) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member OPMODE 2) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member OPMODE 4) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member OPMODE 6) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member OPMODE 8) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0 "A1_product_signed_0(0)") (joined + (portRef (member PCOUT 47) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 47) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_1 "A1_product_signed_0(1)") (joined + (portRef (member PCOUT 46) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 46) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_2 "A1_product_signed_0(2)") (joined + (portRef (member PCOUT 45) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 45) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_3 "A1_product_signed_0(3)") (joined + (portRef (member PCOUT 44) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 44) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_4 "A1_product_signed_0(4)") (joined + (portRef (member PCOUT 43) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 43) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_5 "A1_product_signed_0(5)") (joined + (portRef (member PCOUT 42) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 42) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_6 "A1_product_signed_0(6)") (joined + (portRef (member PCOUT 41) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 41) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_7 "A1_product_signed_0(7)") (joined + (portRef (member PCOUT 40) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 40) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_8 "A1_product_signed_0(8)") (joined + (portRef (member PCOUT 39) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 39) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_9 "A1_product_signed_0(9)") (joined + (portRef (member PCOUT 38) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 38) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_10 "A1_product_signed_0(10)") (joined + (portRef (member PCOUT 37) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 37) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_11 "A1_product_signed_0(11)") (joined + (portRef (member PCOUT 36) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 36) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_12 "A1_product_signed_0(12)") (joined + (portRef (member PCOUT 35) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 35) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_13 "A1_product_signed_0(13)") (joined + (portRef (member PCOUT 34) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 34) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_14 "A1_product_signed_0(14)") (joined + (portRef (member PCOUT 33) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 33) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_15 "A1_product_signed_0(15)") (joined + (portRef (member PCOUT 32) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 32) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_16 "A1_product_signed_0(16)") (joined + (portRef (member PCOUT 31) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 31) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_17 "A1_product_signed_0_0(17)") (joined + (portRef (member PCOUT 30) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 30) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_18 "A1_product_signed_0_0(18)") (joined + (portRef (member PCOUT 29) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 29) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_19 "A1_product_signed_0_0(19)") (joined + (portRef (member PCOUT 28) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 28) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_20 "A1_product_signed_0_0(20)") (joined + (portRef (member PCOUT 27) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 27) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_21 "A1_product_signed_0_0(21)") (joined + (portRef (member PCOUT 26) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 26) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_22 "A1_product_signed_0_0(22)") (joined + (portRef (member PCOUT 25) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 25) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_23 "A1_product_signed_0_0(23)") (joined + (portRef (member PCOUT 24) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 24) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_24 "A1_product_signed_0_0(24)") (joined + (portRef (member PCOUT 23) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 23) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_25 "A1_product_signed_0_0(25)") (joined + (portRef (member PCOUT 22) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 22) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_26 "A1_product_signed_0_0(26)") (joined + (portRef (member PCOUT 21) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 21) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_27 "A1_product_signed_0_0(27)") (joined + (portRef (member PCOUT 20) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 20) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_28 "A1_product_signed_0_0(28)") (joined + (portRef (member PCOUT 19) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 19) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_29 "A1_product_signed_0_0(29)") (joined + (portRef (member PCOUT 18) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 18) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_30 "A1_product_signed_0_0(30)") (joined + (portRef (member PCOUT 17) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 17) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_31 "A1_product_signed_0_0(31)") (joined + (portRef (member PCOUT 16) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 16) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_32 "A1_product_signed_0_0(32)") (joined + (portRef (member PCOUT 15) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 15) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_33 "A1_product_signed_0_0(33)") (joined + (portRef (member PCOUT 14) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 14) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_34 "A1_product_signed_0_0(34)") (joined + (portRef (member PCOUT 13) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 13) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_35 "A1_product_signed_0_0(35)") (joined + (portRef (member PCOUT 12) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 12) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_36 "A1_product_signed_0_0(36)") (joined + (portRef (member PCOUT 11) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 11) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_37 "A1_product_signed_0_0(37)") (joined + (portRef (member PCOUT 10) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 10) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_38 "A1_product_signed_0_0(38)") (joined + (portRef (member PCOUT 9) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 9) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_39 "A1_product_signed_0_0(39)") (joined + (portRef (member PCOUT 8) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 8) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_40 "A1_product_signed_0_0(40)") (joined + (portRef (member PCOUT 7) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 7) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_41 "A1_product_signed_0_0(41)") (joined + (portRef (member PCOUT 6) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 6) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_42 "A1_product_signed_0_0(42)") (joined + (portRef (member PCOUT 5) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 5) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_43 "A1_product_signed_0_0(43)") (joined + (portRef (member PCOUT 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 4) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_44 "A1_product_signed_0_0(44)") (joined + (portRef (member PCOUT 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 3) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename P_uc_25_0_45 "P_uc_25_0(45)") (joined + (portRef (member PCOUT 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 2) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename P_uc_25_0_46 "P_uc_25_0(46)") (joined + (portRef (member PCOUT 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 1) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename P_uc_25_0_47 "P_uc_25_0(47)") (joined + (portRef (member PCOUT 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 0) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_1_26 "A1_product_signed_1(26)") (joined + (portRef (member PCOUT 47) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 47) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_27 "A1_product_signed_1(27)") (joined + (portRef (member PCOUT 46) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 46) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_28 "A1_product_signed_1(28)") (joined + (portRef (member PCOUT 45) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 45) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_29 "A1_product_signed_1(29)") (joined + (portRef (member PCOUT 44) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 44) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_30 "A1_product_signed_1(30)") (joined + (portRef (member PCOUT 43) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 43) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_31 "A1_product_signed_1(31)") (joined + (portRef (member PCOUT 42) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 42) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_32 "A1_product_signed_1(32)") (joined + (portRef (member PCOUT 41) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 41) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_33 "A1_product_signed_1(33)") (joined + (portRef (member PCOUT 40) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 40) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_34 "A1_product_signed_1(34)") (joined + (portRef (member PCOUT 39) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 39) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_35 "A1_product_signed_1(35)") (joined + (portRef (member PCOUT 38) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 38) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_36 "A1_product_signed_1(36)") (joined + (portRef (member PCOUT 37) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 37) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_37 "A1_product_signed_1(37)") (joined + (portRef (member PCOUT 36) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 36) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_38 "A1_product_signed_1(38)") (joined + (portRef (member PCOUT 35) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 35) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_39 "A1_product_signed_1(39)") (joined + (portRef (member PCOUT 34) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 34) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_40 "A1_product_signed_1(40)") (joined + (portRef (member PCOUT 33) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 33) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_41 "A1_product_signed_1(41)") (joined + (portRef (member PCOUT 32) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 32) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_42 "A1_product_signed_1(42)") (joined + (portRef (member PCOUT 31) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 31) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_17 "A1_product_signedAdd_1_0(17)") (joined + (portRef (member PCOUT 30) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 30) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_18 "A1_product_signedAdd_1_0(18)") (joined + (portRef (member PCOUT 29) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 29) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_19 "A1_product_signedAdd_1_0(19)") (joined + (portRef (member PCOUT 28) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 28) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_20 "A1_product_signedAdd_1_0(20)") (joined + (portRef (member PCOUT 27) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 27) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_21 "A1_product_signedAdd_1_0(21)") (joined + (portRef (member PCOUT 26) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 26) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_22 "A1_product_signedAdd_1_0(22)") (joined + (portRef (member PCOUT 25) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 25) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_23 "A1_product_signedAdd_1_0(23)") (joined + (portRef (member PCOUT 24) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 24) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_24 "A1_product_signedAdd_1_0(24)") (joined + (portRef (member PCOUT 23) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 23) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_25 "A1_product_signedAdd_1_0(25)") (joined + (portRef (member PCOUT 22) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 22) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_26 "A1_product_signedAdd_1_0(26)") (joined + (portRef (member PCOUT 21) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 21) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_27 "A1_product_signedAdd_1_0(27)") (joined + (portRef (member PCOUT 20) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 20) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_28 "A1_product_signedAdd_1_0(28)") (joined + (portRef (member PCOUT 19) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 19) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_29 "A1_product_signedAdd_1_0(29)") (joined + (portRef (member PCOUT 18) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 18) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_30 "A1_product_signedAdd_1_0(30)") (joined + (portRef (member PCOUT 17) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 17) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_31 "A1_product_signedAdd_1_0(31)") (joined + (portRef (member PCOUT 16) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 16) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_32 "A1_product_signedAdd_1_0(32)") (joined + (portRef (member PCOUT 15) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 15) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_33 "A1_product_signedAdd_1_0(33)") (joined + (portRef (member PCOUT 14) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 14) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_34 "A1_product_signedAdd_1_0(34)") (joined + (portRef (member PCOUT 13) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 13) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_1_0_35 "P_uc_1_0(35)") (joined + (portRef (member PCOUT 12) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 12) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_1_0_36 "P_uc_1_0(36)") (joined + (portRef (member PCOUT 11) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 11) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_1_0_37 "P_uc_1_0(37)") (joined + (portRef (member PCOUT 10) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 10) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_1_0_38 "P_uc_1_0(38)") (joined + (portRef (member PCOUT 9) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 9) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_1_0_39 "P_uc_1_0(39)") (joined + (portRef (member PCOUT 8) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 8) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_1_0_40 "P_uc_1_0(40)") (joined + (portRef (member PCOUT 7) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 7) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_1_0_41 "P_uc_1_0(41)") (joined + (portRef (member PCOUT 6) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 6) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_1_0_42 "P_uc_1_0(42)") (joined + (portRef (member PCOUT 5) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 5) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_7_0_43 "P_uc_7_0(43)") (joined + (portRef (member PCOUT 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 4) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_7_0_44 "P_uc_7_0(44)") (joined + (portRef (member PCOUT 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 3) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_7_0_45 "P_uc_7_0(45)") (joined + (portRef (member PCOUT 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 2) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_7_0_46 "P_uc_7_0(46)") (joined + (portRef (member PCOUT 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 1) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_7_0_47 "P_uc_7_0(47)") (joined + (portRef (member PCOUT 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 0) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename b2_re_0 "b2_re(0)") (joined + (portRef (member b2_re 31)) + (portRef (member B 17) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 29) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b2_re_1 "b2_re(1)") (joined + (portRef (member b2_re 30)) + (portRef (member B 16) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 28) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b2_re_2 "b2_re(2)") (joined + (portRef (member b2_re 29)) + (portRef (member B 15) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 27) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b2_re_3 "b2_re(3)") (joined + (portRef (member b2_re 28)) + (portRef (member B 14) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 26) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b2_re_4 "b2_re(4)") (joined + (portRef (member b2_re 27)) + (portRef (member B 13) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 25) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b2_re_5 "b2_re(5)") (joined + (portRef (member b2_re 26)) + (portRef (member B 12) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 24) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b2_re_6 "b2_re(6)") (joined + (portRef (member b2_re 25)) + (portRef (member B 11) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 23) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b2_re_7 "b2_re(7)") (joined + (portRef (member b2_re 24)) + (portRef (member B 10) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 22) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b2_re_8 "b2_re(8)") (joined + (portRef (member b2_re 23)) + (portRef (member B 9) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 21) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b2_re_9 "b2_re(9)") (joined + (portRef (member b2_re 22)) + (portRef (member B 8) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 20) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b2_re_10 "b2_re(10)") (joined + (portRef (member b2_re 21)) + (portRef (member B 7) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 19) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b2_re_11 "b2_re(11)") (joined + (portRef (member b2_re 20)) + (portRef (member B 6) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 18) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b2_re_12 "b2_re(12)") (joined + (portRef (member b2_re 19)) + (portRef (member B 5) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 17) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b2_re_13 "b2_re(13)") (joined + (portRef (member b2_re 18)) + (portRef (member B 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 16) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b2_re_14 "b2_re(14)") (joined + (portRef (member b2_re 17)) + (portRef (member B 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 15) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b2_re_15 "b2_re(15)") (joined + (portRef (member b2_re 16)) + (portRef (member B 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 14) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b2_re_16 "b2_re(16)") (joined + (portRef (member b2_re 15)) + (portRef (member B 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 13) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net N_25_i (joined + (portRef N_25_i (instanceRef gO_4_26_MUX12)) + (portRef (member B 17) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 17) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net N_21_i (joined + (portRef N_21_i (instanceRef gO_4_27_MUX12)) + (portRef (member B 16) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 16) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename feedback_data_28 "feedback_data(28)") (joined + (portRef feedback_data_0 (instanceRef gO_4_28_MUX12)) + (portRef (member feedback_data 0) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member B 15) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 15) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net N_16_i (joined + (portRef N_16_i (instanceRef gO_4_29_MUX12)) + (portRef (member B 14) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 14) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net N_17_i (joined + (portRef N_17_i (instanceRef gO_4_30_MUX12)) + (portRef (member B 13) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 13) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net N_12_i (joined + (portRef N_12_i (instanceRef gO_4_31_MUX12)) + (portRef (member B 12) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 12) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net N_13_i (joined + (portRef N_13_i (instanceRef gO_4_32_MUX12)) + (portRef (member B 11) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 11) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net N_9_i (joined + (portRef N_9_i (instanceRef gO_4_33_MUX12)) + (portRef (member B 10) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 10) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net N_8_i (joined + (portRef N_8_i (instanceRef gO_4_34_MUX12)) + (portRef (member B 9) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 9) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename feedback_data_35 "feedback_data(35)") (joined + (portRef feedback_data_0 (instanceRef gO_4_35_MUX12)) + (portRef (member B 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 3) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 4) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 5) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 6) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 7) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 8) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member B 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member B 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member B 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member B 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member B 5) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member B 6) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member B 7) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member B 8) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename B0_product_signed_17 "B0_product_signed(17)") (joined + (portRef (member P 47) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_37)) + )) + (net (rename B0_product_signed_18 "B0_product_signed(18)") (joined + (portRef (member P 46) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_38)) + )) + (net (rename B0_product_signed_19 "B0_product_signed(19)") (joined + (portRef (member P 45) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_39)) + )) + (net (rename B0_product_signed_20 "B0_product_signed(20)") (joined + (portRef (member P 44) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_40)) + )) + (net (rename B0_product_signed_21 "B0_product_signed(21)") (joined + (portRef (member P 43) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_41)) + )) + (net (rename B0_product_signed_22 "B0_product_signed(22)") (joined + (portRef (member P 42) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_42)) + )) + (net (rename B0_product_signed_23 "B0_product_signed(23)") (joined + (portRef (member P 41) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_43)) + )) + (net (rename B0_product_signed_24 "B0_product_signed(24)") (joined + (portRef (member P 40) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_44)) + )) + (net (rename B0_product_signed_25 "B0_product_signed(25)") (joined + (portRef (member P 39) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_45)) + )) + (net (rename B0_product_signed_26 "B0_product_signed(26)") (joined + (portRef (member P 38) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_46)) + )) + (net (rename B0_product_signed_27 "B0_product_signed(27)") (joined + (portRef (member P 37) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_47)) + )) + (net (rename B0_product_signed_28 "B0_product_signed(28)") (joined + (portRef (member P 36) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_48)) + )) + (net (rename B0_product_signed_29 "B0_product_signed(29)") (joined + (portRef (member P 35) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_49)) + )) + (net (rename B0_product_signed_30 "B0_product_signed(30)") (joined + (portRef (member P 34) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_50)) + )) + (net (rename B0_product_signed_31 "B0_product_signed(31)") (joined + (portRef (member P 33) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_51)) + )) + (net (rename B0_product_signed_32 "B0_product_signed(32)") (joined + (portRef (member P 32) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_52)) + )) + (net (rename B0_product_signed_33 "B0_product_signed(33)") (joined + (portRef (member P 31) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_53)) + )) + (net (rename B0_product_signed_34 "B0_product_signed(34)") (joined + (portRef (member P 30) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_54)) + )) + (net (rename B0_product_signed_35 "B0_product_signed(35)") (joined + (portRef (member P 29) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_55)) + )) + (net (rename B0_product_signed_36 "B0_product_signed(36)") (joined + (portRef (member P 28) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_56)) + )) + (net (rename B0_product_signed_37 "B0_product_signed(37)") (joined + (portRef (member P 27) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_57)) + )) + (net (rename B0_product_signed_38 "B0_product_signed(38)") (joined + (portRef (member P 26) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_58)) + )) + (net (rename B0_product_signed_39 "B0_product_signed(39)") (joined + (portRef (member P 25) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_59)) + )) + (net (rename B0_product_signed_40 "B0_product_signed(40)") (joined + (portRef (member P 24) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_60)) + )) + (net (rename B0_product_signed_41 "B0_product_signed(41)") (joined + (portRef (member P 23) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_61)) + )) + (net (rename B0_product_signed_42 "B0_product_signed(42)") (joined + (portRef (member P 22) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_62)) + )) + (net (rename B0_product_signed_43 "B0_product_signed(43)") (joined + (portRef (member P 21) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_63)) + )) + (net (rename B0_product_signed_44 "B0_product_signed(44)") (joined + (portRef (member P 20) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_64)) + )) + (net (rename B0_product_signed_45 "B0_product_signed(45)") (joined + (portRef (member P 19) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_65)) + )) + (net (rename B0_product_signed_46 "B0_product_signed(46)") (joined + (portRef (member P 18) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_66)) + )) + (net (rename B0_product_signed_47 "B0_product_signed(47)") (joined + (portRef (member P 17) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_67)) + (portRef I1 (instanceRef un1_psum0_signed_axb_68)) + (portRef I1 (instanceRef un1_psum0_signed_axb_69)) + (portRef I1 (instanceRef un1_psum0_signed_axb_70)) + )) + (net (rename a2_re_17 "a2_re(17)") (joined + (portRef (member a2_re 14)) + (portRef (member A 29) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a2_re_18 "a2_re(18)") (joined + (portRef (member a2_re 13)) + (portRef (member A 28) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a2_re_19 "a2_re(19)") (joined + (portRef (member a2_re 12)) + (portRef (member A 27) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a2_re_20 "a2_re(20)") (joined + (portRef (member a2_re 11)) + (portRef (member A 26) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a2_re_21 "a2_re(21)") (joined + (portRef (member a2_re 10)) + (portRef (member A 25) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a2_re_22 "a2_re(22)") (joined + (portRef (member a2_re 9)) + (portRef (member A 24) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a2_re_23 "a2_re(23)") (joined + (portRef (member a2_re 8)) + (portRef (member A 23) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a2_re_24 "a2_re(24)") (joined + (portRef (member a2_re 7)) + (portRef (member A 22) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a2_re_25 "a2_re(25)") (joined + (portRef (member a2_re 6)) + (portRef (member A 21) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a2_re_26 "a2_re(26)") (joined + (portRef (member a2_re 5)) + (portRef (member A 20) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a2_re_27 "a2_re(27)") (joined + (portRef (member a2_re 4)) + (portRef (member A 19) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a2_re_28 "a2_re(28)") (joined + (portRef (member a2_re 3)) + (portRef (member A 18) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a2_re_29 "a2_re(29)") (joined + (portRef (member a2_re 2)) + (portRef (member A 17) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a2_re_30 "a2_re(30)") (joined + (portRef (member a2_re 1)) + (portRef (member A 16) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a2_re_31 "a2_re(31)") (joined + (portRef (member a2_re 0)) + (portRef (member A 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 2) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 3) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 4) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 5) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 6) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 7) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 8) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 9) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 10) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 11) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 12) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 13) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 14) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 15) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_0 "gated_in_data(0)") (joined + (portRef (member gated_in_data 15)) + (portRef (member B 17) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 17) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_1 "gated_in_data(1)") (joined + (portRef (member gated_in_data 14)) + (portRef (member B 16) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 16) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_2 "gated_in_data(2)") (joined + (portRef (member gated_in_data 13)) + (portRef (member B 15) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 15) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_3 "gated_in_data(3)") (joined + (portRef (member gated_in_data 12)) + (portRef (member B 14) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 14) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_4 "gated_in_data(4)") (joined + (portRef (member gated_in_data 11)) + (portRef (member B 13) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 13) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_5 "gated_in_data(5)") (joined + (portRef (member gated_in_data 10)) + (portRef (member B 12) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 12) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_6 "gated_in_data(6)") (joined + (portRef (member gated_in_data 9)) + (portRef (member B 11) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 11) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_7 "gated_in_data(7)") (joined + (portRef (member gated_in_data 8)) + (portRef (member B 10) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 10) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_8 "gated_in_data(8)") (joined + (portRef (member gated_in_data 7)) + (portRef (member B 9) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 9) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_9 "gated_in_data(9)") (joined + (portRef (member gated_in_data 6)) + (portRef (member B 8) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 8) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_10 "gated_in_data(10)") (joined + (portRef (member gated_in_data 5)) + (portRef (member B 7) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 7) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_11 "gated_in_data(11)") (joined + (portRef (member gated_in_data 4)) + (portRef (member B 6) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 6) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_12 "gated_in_data(12)") (joined + (portRef (member gated_in_data 3)) + (portRef (member B 5) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 5) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_13 "gated_in_data(13)") (joined + (portRef (member gated_in_data 2)) + (portRef (member B 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 4) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_14 "gated_in_data(14)") (joined + (portRef (member gated_in_data 1)) + (portRef (member B 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 3) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_15 "gated_in_data(15)") (joined + (portRef (member gated_in_data 0)) + (portRef (member B 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member B 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member B 2) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0 "B0_product_signed_0(0)") (joined + (portRef (member PCOUT 47) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 47) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_1 "B0_product_signed_0(1)") (joined + (portRef (member PCOUT 46) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 46) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_2 "B0_product_signed_0(2)") (joined + (portRef (member PCOUT 45) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 45) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_3 "B0_product_signed_0(3)") (joined + (portRef (member PCOUT 44) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 44) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_4 "B0_product_signed_0(4)") (joined + (portRef (member PCOUT 43) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 43) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_5 "B0_product_signed_0(5)") (joined + (portRef (member PCOUT 42) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 42) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_6 "B0_product_signed_0(6)") (joined + (portRef (member PCOUT 41) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 41) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_7 "B0_product_signed_0(7)") (joined + (portRef (member PCOUT 40) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 40) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_8 "B0_product_signed_0(8)") (joined + (portRef (member PCOUT 39) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 39) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_9 "B0_product_signed_0(9)") (joined + (portRef (member PCOUT 38) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 38) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_10 "B0_product_signed_0(10)") (joined + (portRef (member PCOUT 37) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 37) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_11 "B0_product_signed_0(11)") (joined + (portRef (member PCOUT 36) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 36) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_12 "B0_product_signed_0(12)") (joined + (portRef (member PCOUT 35) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 35) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_13 "B0_product_signed_0(13)") (joined + (portRef (member PCOUT 34) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 34) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_14 "B0_product_signed_0(14)") (joined + (portRef (member PCOUT 33) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 33) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_15 "B0_product_signed_0(15)") (joined + (portRef (member PCOUT 32) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 32) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_16 "B0_product_signed_0(16)") (joined + (portRef (member PCOUT 31) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 31) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_17 "B0_product_signed_0_0(17)") (joined + (portRef (member PCOUT 30) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 30) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_18 "B0_product_signed_0_0(18)") (joined + (portRef (member PCOUT 29) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 29) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_19 "B0_product_signed_0_0(19)") (joined + (portRef (member PCOUT 28) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 28) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_20 "B0_product_signed_0_0(20)") (joined + (portRef (member PCOUT 27) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 27) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_21 "B0_product_signed_0_0(21)") (joined + (portRef (member PCOUT 26) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 26) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_22 "B0_product_signed_0_0(22)") (joined + (portRef (member PCOUT 25) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 25) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_23 "B0_product_signed_0_0(23)") (joined + (portRef (member PCOUT 24) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 24) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_24 "B0_product_signed_0_0(24)") (joined + (portRef (member PCOUT 23) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 23) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_25 "B0_product_signed_0_0(25)") (joined + (portRef (member PCOUT 22) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 22) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_26 "B0_product_signed_0_0(26)") (joined + (portRef (member PCOUT 21) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 21) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_27 "B0_product_signed_0_0(27)") (joined + (portRef (member PCOUT 20) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 20) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_28 "B0_product_signed_0_0(28)") (joined + (portRef (member PCOUT 19) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 19) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_29 "B0_product_signed_0_0(29)") (joined + (portRef (member PCOUT 18) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 18) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_30 "B0_product_signed_0_0(30)") (joined + (portRef (member PCOUT 17) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 17) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_31 "B0_product_signed_0_0(31)") (joined + (portRef (member PCOUT 16) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 16) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_32 "B0_product_signed_0_0(32)") (joined + (portRef (member PCOUT 15) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 15) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_33 "B0_product_signed_0_0(33)") (joined + (portRef (member PCOUT 14) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 14) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_13_0_34 "P_uc_13_0(34)") (joined + (portRef (member PCOUT 13) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 13) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_19_0_35 "P_uc_19_0(35)") (joined + (portRef (member PCOUT 12) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 12) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_19_0_36 "P_uc_19_0(36)") (joined + (portRef (member PCOUT 11) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 11) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_19_0_37 "P_uc_19_0(37)") (joined + (portRef (member PCOUT 10) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 10) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_19_0_38 "P_uc_19_0(38)") (joined + (portRef (member PCOUT 9) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 9) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_19_0_39 "P_uc_19_0(39)") (joined + (portRef (member PCOUT 8) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 8) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_19_0_40 "P_uc_19_0(40)") (joined + (portRef (member PCOUT 7) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 7) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_19_0_41 "P_uc_19_0(41)") (joined + (portRef (member PCOUT 6) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 6) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_19_0_42 "P_uc_19_0(42)") (joined + (portRef (member PCOUT 5) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 5) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_25_0_43 "P_uc_25_0(43)") (joined + (portRef (member PCOUT 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 4) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_25_0_44 "P_uc_25_0(44)") (joined + (portRef (member PCOUT 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 3) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_31_0_45 "P_uc_31_0(45)") (joined + (portRef (member PCOUT 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 2) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_31_0_46 "P_uc_31_0(46)") (joined + (portRef (member PCOUT 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 1) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_31_0_47 "P_uc_31_0(47)") (joined + (portRef (member PCOUT 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 0) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename A1_product_signed_44 "A1_product_signed(44)") (joined + (portRef (member P 46) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_47)) + (portRef I0 (instanceRef psum1_signed_cry_44_thru)) + (portRef I0 (instanceRef psum1_signed_s_44_thru)) + )) + (net (rename A1_product_signed_45 "A1_product_signed(45)") (joined + (portRef (member P 45) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_47)) + (portRef I0 (instanceRef psum1_signed_cry_45_thru)) + (portRef I0 (instanceRef psum1_signed_s_45_thru)) + )) + (net (rename A1_product_signed_46 "A1_product_signed(46)") (joined + (portRef (member P 44) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_47)) + (portRef I0 (instanceRef psum1_signed_cry_46_thru)) + (portRef I0 (instanceRef psum1_signed_s_46_thru)) + )) + (net (rename A1_product_signed_47 "A1_product_signed(47)") (joined + (portRef (member P 43) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_47)) + (portRef I0 (instanceRef psum1_signed_cry_47_thru)) + (portRef I0 (instanceRef psum1_signed_s_47_thru)) + )) + (net (rename A1_product_signed_48 "A1_product_signed(48)") (joined + (portRef (member P 42) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_51)) + (portRef I0 (instanceRef psum1_signed_cry_48_thru)) + (portRef I0 (instanceRef psum1_signed_s_48_thru)) + )) + (net (rename A1_product_signed_49 "A1_product_signed(49)") (joined + (portRef (member P 41) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_51)) + (portRef I0 (instanceRef psum1_signed_cry_49_thru)) + (portRef I0 (instanceRef psum1_signed_s_49_thru)) + )) + (net (rename A1_product_signed_50 "A1_product_signed(50)") (joined + (portRef (member P 40) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_51)) + (portRef I0 (instanceRef psum1_signed_cry_50_thru)) + (portRef I0 (instanceRef psum1_signed_s_50_thru)) + )) + (net (rename A1_product_signed_51 "A1_product_signed(51)") (joined + (portRef (member P 39) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_51)) + (portRef I0 (instanceRef psum1_signed_cry_51_thru)) + (portRef I0 (instanceRef psum1_signed_s_51_thru)) + )) + (net (rename A1_product_signed_52 "A1_product_signed(52)") (joined + (portRef (member P 38) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_55)) + (portRef I0 (instanceRef psum1_signed_cry_52_thru)) + (portRef I0 (instanceRef psum1_signed_s_52_thru)) + )) + (net (rename A1_product_signed_53 "A1_product_signed(53)") (joined + (portRef (member P 37) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_55)) + (portRef I0 (instanceRef psum1_signed_cry_53_thru)) + (portRef I0 (instanceRef psum1_signed_s_53_thru)) + )) + (net (rename A1_product_signed_54 "A1_product_signed(54)") (joined + (portRef (member P 36) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_55)) + (portRef I0 (instanceRef psum1_signed_cry_54_thru)) + (portRef I0 (instanceRef psum1_signed_s_54_thru)) + )) + (net (rename A1_product_signed_55 "A1_product_signed(55)") (joined + (portRef (member P 35) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_55)) + (portRef I0 (instanceRef psum1_signed_cry_55_thru)) + (portRef I0 (instanceRef psum1_signed_s_55_thru)) + )) + (net (rename A1_product_signed_56 "A1_product_signed(56)") (joined + (portRef (member P 34) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_59)) + (portRef I0 (instanceRef psum1_signed_cry_56_thru)) + (portRef I0 (instanceRef psum1_signed_s_56_thru)) + )) + (net (rename A1_product_signed_57 "A1_product_signed(57)") (joined + (portRef (member P 33) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_59)) + (portRef I0 (instanceRef psum1_signed_cry_57_thru)) + (portRef I0 (instanceRef psum1_signed_s_57_thru)) + )) + (net (rename A1_product_signed_58 "A1_product_signed(58)") (joined + (portRef (member P 32) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_59)) + (portRef I0 (instanceRef psum1_signed_cry_58_thru)) + (portRef I0 (instanceRef psum1_signed_s_58_thru)) + )) + (net (rename A1_product_signed_59 "A1_product_signed(59)") (joined + (portRef (member P 31) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_59)) + (portRef I0 (instanceRef psum1_signed_cry_59_thru)) + (portRef I0 (instanceRef psum1_signed_s_59_thru)) + )) + (net (rename A1_product_signed_60 "A1_product_signed(60)") (joined + (portRef (member P 30) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_63)) + (portRef I0 (instanceRef psum1_signed_cry_60_thru)) + (portRef I0 (instanceRef psum1_signed_s_60_thru)) + )) + (net (rename A1_product_signed_61 "A1_product_signed(61)") (joined + (portRef (member P 29) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_63)) + (portRef I0 (instanceRef psum1_signed_cry_61_thru)) + (portRef I0 (instanceRef psum1_signed_s_61_thru)) + )) + (net (rename A1_product_signed_62 "A1_product_signed(62)") (joined + (portRef (member P 28) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_63)) + (portRef I0 (instanceRef psum1_signed_cry_62_thru)) + (portRef I0 (instanceRef psum1_signed_s_62_thru)) + )) + (net (rename A1_product_signed_63 "A1_product_signed(63)") (joined + (portRef (member P 27) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_63)) + (portRef I0 (instanceRef psum1_signed_cry_63_thru)) + (portRef I0 (instanceRef psum1_signed_s_63_thru)) + )) + (net (rename A1_product_signed_64 "A1_product_signed(64)") (joined + (portRef (member P 26) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_67)) + (portRef I0 (instanceRef psum1_signed_cry_64_thru)) + (portRef I0 (instanceRef psum1_signed_s_64_thru)) + )) + (net (rename A1_product_signed_65 "A1_product_signed(65)") (joined + (portRef (member P 25) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_67)) + (portRef I0 (instanceRef psum1_signed_cry_65_thru)) + (portRef I0 (instanceRef psum1_signed_s_65_thru)) + )) + (net (rename A1_product_signed_66 "A1_product_signed(66)") (joined + (portRef (member P 24) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_67)) + (portRef I0 (instanceRef psum1_signed_cry_66_thru)) + (portRef I0 (instanceRef psum1_signed_s_66_thru)) + )) + (net (rename A1_product_signed_67 "A1_product_signed(67)") (joined + (portRef (member P 23) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef I0 (instanceRef psum1_signed_cry_67_RNO)) + (portRef I0 (instanceRef psum1_signed_s_69_RNO)) + (portRef I0 (instanceRef psum1_signed_s_69_thru)) + )) + (net (rename A1_product_signed_0 "A1_product_signed(0)") (joined + (portRef (member P 47) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_3)) + (portRef I0 (instanceRef psum1_signed_cry_0_thru)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_0)) + )) + (net (rename feedback_data_0 "feedback_data(0)") (joined + (portRef feedback_data_0 (instanceRef gO_4_0_MUX12)) + (portRef (member A 29) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_48_i (joined + (portRef N_48_i (instanceRef gO_4_1_MUX12)) + (portRef (member A 28) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_2 "feedback_data(2)") (joined + (portRef feedback_data_0 (instanceRef gO_4_2_MUX12)) + (portRef (member feedback_data 26) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 27) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_3 "feedback_data(3)") (joined + (portRef feedback_data_0 (instanceRef gO_4_3_MUX12)) + (portRef (member feedback_data 25) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 26) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_4 "feedback_data(4)") (joined + (portRef feedback_data_0 (instanceRef gO_4_4_MUX12)) + (portRef (member feedback_data 24) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 25) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_44_i (joined + (portRef N_44_i (instanceRef gO_4_5_MUX12)) + (portRef (member A 24) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_40_i (joined + (portRef N_40_i (instanceRef gO_4_6_MUX12)) + (portRef (member A 23) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_7 "feedback_data(7)") (joined + (portRef feedback_data_0 (instanceRef gO_4_7_MUX12)) + (portRef (member feedback_data 21) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 22) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_8 "feedback_data(8)") (joined + (portRef feedback_data_0 (instanceRef gO_4_8_MUX12)) + (portRef (member feedback_data 20) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 21) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_9 "feedback_data(9)") (joined + (portRef feedback_data_0 (instanceRef gO_4_9_MUX12)) + (portRef (member feedback_data 19) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 20) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_10 "feedback_data(10)") (joined + (portRef feedback_data_0 (instanceRef gO_4_10_MUX12)) + (portRef (member feedback_data 18) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 19) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_41_i (joined + (portRef N_41_i (instanceRef gO_4_11_MUX12)) + (portRef (member A 18) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_12 "feedback_data(12)") (joined + (portRef feedback_data_0 (instanceRef gO_4_12_MUX12)) + (portRef (member feedback_data 16) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 17) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_13 "feedback_data(13)") (joined + (portRef feedback_data_0 (instanceRef gO_4_13_MUX12)) + (portRef (member feedback_data 15) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 16) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_14 "feedback_data(14)") (joined + (portRef feedback_data_0 (instanceRef gO_4_14_MUX12)) + (portRef (member feedback_data 14) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 15) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_15 "feedback_data(15)") (joined + (portRef feedback_data_0 (instanceRef gO_4_15_MUX12)) + (portRef (member feedback_data 13) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 14) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_36_i (joined + (portRef N_36_i (instanceRef gO_4_16_MUX12)) + (portRef (member A 13) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_32_i (joined + (portRef N_32_i (instanceRef gO_4_17_MUX12)) + (portRef (member A 12) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_28_i (joined + (portRef N_28_i (instanceRef gO_4_18_MUX12)) + (portRef (member A 11) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_19 "feedback_data(19)") (joined + (portRef feedback_data_0 (instanceRef gO_4_19_MUX12)) + (portRef (member feedback_data 9) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 10) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_24_i (joined + (portRef N_24_i (instanceRef gO_4_20_MUX12)) + (portRef (member A 9) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_20_i (joined + (portRef N_20_i (instanceRef gO_4_21_MUX12)) + (portRef (member A 8) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_22 "feedback_data(22)") (joined + (portRef feedback_data_0 (instanceRef gO_4_22_MUX12)) + (portRef (member feedback_data 6) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 7) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_37_i (joined + (portRef N_37_i (instanceRef gO_4_23_MUX12)) + (portRef (member A 6) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_33_i (joined + (portRef N_33_i (instanceRef gO_4_24_MUX12)) + (portRef (member A 5) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_29_i (joined + (portRef N_29_i (instanceRef gO_4_25_MUX12)) + (portRef (member A 4) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename B0_product_signed_0 "B0_product_signed(0)") (joined + (portRef (member P 47) (instanceRef B0_product_signed_0_33_0)) + (portRef B0_product_signed_0 (instanceRef gO_2_gO_2cN_AN8)) + (portRef I1 (instanceRef un1_psum0_signed_axb_20)) + )) + (net (rename B0_product_signed_1 "B0_product_signed(1)") (joined + (portRef (member P 46) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_21)) + )) + (net (rename B0_product_signed_2 "B0_product_signed(2)") (joined + (portRef (member P 45) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_22)) + )) + (net (rename B0_product_signed_3 "B0_product_signed(3)") (joined + (portRef (member P 44) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_23)) + )) + (net (rename B0_product_signed_4 "B0_product_signed(4)") (joined + (portRef (member P 43) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_24)) + )) + (net (rename B0_product_signed_5 "B0_product_signed(5)") (joined + (portRef (member P 42) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_25)) + )) + (net (rename B0_product_signed_6 "B0_product_signed(6)") (joined + (portRef (member P 41) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_26)) + )) + (net (rename B0_product_signed_7 "B0_product_signed(7)") (joined + (portRef (member P 40) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_27)) + )) + (net (rename B0_product_signed_8 "B0_product_signed(8)") (joined + (portRef (member P 39) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_28)) + )) + (net (rename B0_product_signed_9 "B0_product_signed(9)") (joined + (portRef (member P 38) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_29)) + )) + (net (rename B0_product_signed_10 "B0_product_signed(10)") (joined + (portRef (member P 37) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_30)) + )) + (net (rename B0_product_signed_11 "B0_product_signed(11)") (joined + (portRef (member P 36) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_31)) + )) + (net (rename B0_product_signed_12 "B0_product_signed(12)") (joined + (portRef (member P 35) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_32)) + )) + (net (rename B0_product_signed_13 "B0_product_signed(13)") (joined + (portRef (member P 34) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_33)) + )) + (net (rename B0_product_signed_14 "B0_product_signed(14)") (joined + (portRef (member P 33) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_34)) + )) + (net (rename B0_product_signed_15 "B0_product_signed(15)") (joined + (portRef (member P 32) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_35)) + )) + (net (rename B0_product_signed_16 "B0_product_signed(16)") (joined + (portRef (member P 31) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_36)) + )) + (net (rename a2_re_0 "a2_re(0)") (joined + (portRef (member a2_re 31)) + (portRef (member A 29) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a2_re_1 "a2_re(1)") (joined + (portRef (member a2_re 30)) + (portRef (member A 28) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a2_re_2 "a2_re(2)") (joined + (portRef (member a2_re 29)) + (portRef (member A 27) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a2_re_3 "a2_re(3)") (joined + (portRef (member a2_re 28)) + (portRef (member A 26) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a2_re_4 "a2_re(4)") (joined + (portRef (member a2_re 27)) + (portRef (member A 25) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a2_re_5 "a2_re(5)") (joined + (portRef (member a2_re 26)) + (portRef (member A 24) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a2_re_6 "a2_re(6)") (joined + (portRef (member a2_re 25)) + (portRef (member A 23) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a2_re_7 "a2_re(7)") (joined + (portRef (member a2_re 24)) + (portRef (member A 22) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a2_re_8 "a2_re(8)") (joined + (portRef (member a2_re 23)) + (portRef (member A 21) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a2_re_9 "a2_re(9)") (joined + (portRef (member a2_re 22)) + (portRef (member A 20) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a2_re_10 "a2_re(10)") (joined + (portRef (member a2_re 21)) + (portRef (member A 19) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a2_re_11 "a2_re(11)") (joined + (portRef (member a2_re 20)) + (portRef (member A 18) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a2_re_12 "a2_re(12)") (joined + (portRef (member a2_re 19)) + (portRef (member A 17) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a2_re_13 "a2_re(13)") (joined + (portRef (member a2_re 18)) + (portRef (member A 16) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a2_re_14 "a2_re(14)") (joined + (portRef (member a2_re 17)) + (portRef (member A 15) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a2_re_15 "a2_re(15)") (joined + (portRef (member a2_re 16)) + (portRef (member A 14) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a2_re_16 "a2_re(16)") (joined + (portRef (member a2_re 15)) + (portRef (member A 13) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename psum1_signed_70 "psum1_signed(70)") (joined + (portRef (member O 2) (instanceRef psum1_signed_s_69)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_69)) + )) + (net (rename psum1_saved_3_70 "psum1_saved_3(70)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_69)) + (portRef D (instanceRef psum1_saved_69)) + )) + (net (rename psum1_signed_68 "psum1_signed(68)") (joined + (portRef (member O 3) (instanceRef psum1_signed_s_69)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_68)) + )) + (net (rename psum1_saved_3_68 "psum1_saved_3(68)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_68)) + (portRef D (instanceRef psum1_saved_68)) + )) + (net (rename psum1_signed_67 "psum1_signed(67)") (joined + (portRef (member O 0) (instanceRef psum1_signed_cry_67)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_67)) + )) + (net psum1_saved_3_9_2 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_67)) + (portRef D (instanceRef psum1_saved_67)) + )) + (net (rename psum1_signed_66 "psum1_signed(66)") (joined + (portRef LO (instanceRef psum1_signed_s_66)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_66)) + )) + (net psum1_saved_3_8_2 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_66)) + (portRef D (instanceRef psum1_saved_66)) + )) + (net (rename psum1_signed_64 "psum1_signed(64)") (joined + (portRef LO (instanceRef psum1_signed_s_64)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_64)) + )) + (net psum1_saved_3_6_2 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_64)) + (portRef D (instanceRef psum1_saved_64)) + )) + (net (rename psum1_signed_63 "psum1_signed(63)") (joined + (portRef LO (instanceRef psum1_signed_s_63)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_63)) + )) + (net psum1_saved_3_5_2 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_63)) + (portRef D (instanceRef psum1_saved_63)) + )) + (net (rename psum1_signed_62 "psum1_signed(62)") (joined + (portRef LO (instanceRef psum1_signed_s_62)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_62)) + )) + (net psum1_saved_3_4_2 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_62)) + (portRef D (instanceRef psum1_saved_62)) + )) + (net (rename psum1_signed_61 "psum1_signed(61)") (joined + (portRef LO (instanceRef psum1_signed_s_61)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_61)) + )) + (net psum1_saved_3_3_2 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_61)) + (portRef D (instanceRef psum1_saved_61)) + )) + (net (rename psum1_signed_60 "psum1_signed(60)") (joined + (portRef LO (instanceRef psum1_signed_s_60)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_60)) + )) + (net psum1_saved_3_2_2 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_60)) + (portRef D (instanceRef psum1_saved_60)) + )) + (net (rename psum1_signed_59 "psum1_signed(59)") (joined + (portRef LO (instanceRef psum1_signed_s_59)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_59)) + )) + (net psum1_saved_3_1_2 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_59)) + (portRef D (instanceRef psum1_saved_59)) + )) + (net (rename psum1_signed_58 "psum1_signed(58)") (joined + (portRef LO (instanceRef psum1_signed_s_58)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_58)) + )) + (net psum1_saved_3_0_2 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_58)) + (portRef D (instanceRef psum1_saved_58)) + )) + (net (rename psum1_signed_57 "psum1_signed(57)") (joined + (portRef LO (instanceRef psum1_signed_s_57)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_57)) + )) + (net psum1_saved_3_72 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_57)) + (portRef D (instanceRef psum1_saved_57)) + )) + (net (rename psum1_signed_56 "psum1_signed(56)") (joined + (portRef LO (instanceRef psum1_signed_s_56)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_56)) + )) + (net (rename psum1_saved_3_56 "psum1_saved_3(56)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_56)) + (portRef D (instanceRef psum1_saved_56)) + )) + (net (rename psum1_signed_55 "psum1_signed(55)") (joined + (portRef LO (instanceRef psum1_signed_s_55)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_55)) + )) + (net (rename psum1_saved_3_55 "psum1_saved_3(55)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_55)) + (portRef D (instanceRef psum1_saved_55)) + )) + (net (rename psum1_signed_54 "psum1_signed(54)") (joined + (portRef LO (instanceRef psum1_signed_s_54)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_54)) + )) + (net (rename psum1_saved_3_54 "psum1_saved_3(54)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_54)) + (portRef D (instanceRef psum1_saved_54)) + )) + (net (rename psum1_signed_53 "psum1_signed(53)") (joined + (portRef LO (instanceRef psum1_signed_s_53)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_53)) + )) + (net (rename psum1_saved_3_53 "psum1_saved_3(53)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_53)) + (portRef D (instanceRef psum1_saved_53)) + )) + (net (rename psum1_signed_52 "psum1_signed(52)") (joined + (portRef LO (instanceRef psum1_signed_s_52)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_52)) + )) + (net (rename psum1_saved_3_52 "psum1_saved_3(52)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_52)) + (portRef D (instanceRef psum1_saved_52)) + )) + (net (rename psum1_signed_51 "psum1_signed(51)") (joined + (portRef LO (instanceRef psum1_signed_s_51)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_51)) + )) + (net (rename psum1_saved_3_51 "psum1_saved_3(51)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_51)) + (portRef D (instanceRef psum1_saved_51)) + )) + (net (rename psum1_signed_50 "psum1_signed(50)") (joined + (portRef LO (instanceRef psum1_signed_s_50)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_50)) + )) + (net (rename psum1_saved_3_50 "psum1_saved_3(50)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_50)) + (portRef D (instanceRef psum1_saved_50)) + )) + (net (rename psum1_signed_49 "psum1_signed(49)") (joined + (portRef LO (instanceRef psum1_signed_s_49)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_49)) + )) + (net (rename psum1_saved_3_49 "psum1_saved_3(49)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_49)) + (portRef D (instanceRef psum1_saved_49)) + )) + (net (rename psum1_signed_48 "psum1_signed(48)") (joined + (portRef LO (instanceRef psum1_signed_s_48)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_48)) + )) + (net (rename psum1_saved_3_48 "psum1_saved_3(48)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_48)) + (portRef D (instanceRef psum1_saved_48)) + )) + (net (rename psum1_signed_47 "psum1_signed(47)") (joined + (portRef LO (instanceRef psum1_signed_s_47)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_47)) + )) + (net (rename psum1_saved_3_47 "psum1_saved_3(47)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_47)) + (portRef D (instanceRef psum1_saved_47)) + )) + (net (rename psum1_signed_46 "psum1_signed(46)") (joined + (portRef LO (instanceRef psum1_signed_s_46)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_46)) + )) + (net (rename psum1_saved_3_46 "psum1_saved_3(46)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_46)) + (portRef D (instanceRef psum1_saved_46)) + )) + (net (rename psum1_signed_45 "psum1_signed(45)") (joined + (portRef LO (instanceRef psum1_signed_s_45)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_45)) + )) + (net (rename psum1_saved_3_45 "psum1_saved_3(45)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_45)) + (portRef D (instanceRef psum1_saved_45)) + )) + (net (rename psum1_signed_44 "psum1_signed(44)") (joined + (portRef LO (instanceRef psum1_signed_s_44)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_44)) + )) + (net (rename psum1_saved_3_44 "psum1_saved_3(44)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_44)) + (portRef D (instanceRef psum1_saved_44)) + )) + (net (rename psum1_saved_3_0 "psum1_saved_3(0)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_0)) + (portRef D (instanceRef psum1_saved_0)) + )) + (net en (joined + (portRef en) + (portRef I0 (instanceRef PSUM2AND1_REGS_un1_enable)) + )) + (net un1_enable (joined + (portRef O (instanceRef PSUM2AND1_REGS_un1_enable)) + (portRef CE (instanceRef data_out_final_35)) + (portRef CE (instanceRef data_out_final_34)) + (portRef CE (instanceRef data_out_final_33)) + (portRef CE (instanceRef data_out_final_32)) + (portRef CE (instanceRef data_out_final_31)) + (portRef CE (instanceRef data_out_final_30)) + (portRef CE (instanceRef data_out_final_29)) + (portRef CE (instanceRef data_out_final_28)) + (portRef CE (instanceRef data_out_final_27)) + (portRef CE (instanceRef data_out_final_26)) + (portRef CE (instanceRef data_out_final_25)) + (portRef CE (instanceRef data_out_final_24)) + (portRef CE (instanceRef data_out_final_23)) + (portRef CE (instanceRef data_out_final_22)) + (portRef CE (instanceRef data_out_final_21)) + (portRef CE (instanceRef data_out_final_20)) + (portRef CE (instanceRef data_out_final_19)) + (portRef CE (instanceRef data_out_final_18)) + (portRef CE (instanceRef data_out_final_17)) + (portRef CE (instanceRef data_out_final_16)) + (portRef CE (instanceRef data_out_final_15)) + (portRef CE (instanceRef data_out_final_14)) + (portRef CE (instanceRef data_out_final_13)) + (portRef CE (instanceRef data_out_final_12)) + (portRef CE (instanceRef data_out_final_11)) + (portRef CE (instanceRef data_out_final_10)) + (portRef CE (instanceRef data_out_final_9)) + (portRef CE (instanceRef data_out_final_8)) + (portRef CE (instanceRef data_out_final_7)) + (portRef CE (instanceRef data_out_final_6)) + (portRef CE (instanceRef data_out_final_5)) + (portRef CE (instanceRef data_out_final_4)) + (portRef CE (instanceRef data_out_final_3)) + (portRef CE (instanceRef data_out_final_2)) + (portRef CE (instanceRef data_out_final_1)) + (portRef CE (instanceRef data_out_final_0)) + (portRef CE (instanceRef saturation_final)) + (portRef CE (instanceRef psum1_saved_69)) + (portRef CE (instanceRef psum1_saved_68)) + (portRef CE (instanceRef psum1_saved_67)) + (portRef CE (instanceRef psum1_saved_66)) + (portRef CE (instanceRef psum1_saved_65)) + (portRef CE (instanceRef psum1_saved_64)) + (portRef CE (instanceRef psum1_saved_63)) + (portRef CE (instanceRef psum1_saved_62)) + (portRef CE (instanceRef psum1_saved_61)) + (portRef CE (instanceRef psum1_saved_60)) + (portRef CE (instanceRef psum1_saved_59)) + (portRef CE (instanceRef psum1_saved_58)) + (portRef CE (instanceRef psum1_saved_57)) + (portRef CE (instanceRef psum1_saved_56)) + (portRef CE (instanceRef psum1_saved_55)) + (portRef CE (instanceRef psum1_saved_54)) + (portRef CE (instanceRef psum1_saved_53)) + (portRef CE (instanceRef psum1_saved_52)) + (portRef CE (instanceRef psum1_saved_51)) + (portRef CE (instanceRef psum1_saved_50)) + (portRef CE (instanceRef psum1_saved_49)) + (portRef CE (instanceRef psum1_saved_48)) + (portRef CE (instanceRef psum1_saved_47)) + (portRef CE (instanceRef psum1_saved_46)) + (portRef CE (instanceRef psum1_saved_45)) + (portRef CE (instanceRef psum1_saved_44)) + (portRef CE (instanceRef psum1_saved_43)) + (portRef CE (instanceRef psum1_saved_42)) + (portRef CE (instanceRef psum1_saved_41)) + (portRef CE (instanceRef psum1_saved_40)) + (portRef CE (instanceRef psum1_saved_39)) + (portRef CE (instanceRef psum1_saved_38)) + (portRef CE (instanceRef psum1_saved_37)) + (portRef CE (instanceRef psum1_saved_36)) + (portRef CE (instanceRef psum1_saved_35)) + (portRef CE (instanceRef psum1_saved_34)) + (portRef CE (instanceRef psum1_saved_33)) + (portRef CE (instanceRef psum1_saved_32)) + (portRef CE (instanceRef psum1_saved_31)) + (portRef CE (instanceRef psum1_saved_30)) + (portRef CE (instanceRef psum1_saved_29)) + (portRef CE (instanceRef psum1_saved_28)) + (portRef CE (instanceRef psum1_saved_27)) + (portRef CE (instanceRef psum1_saved_26)) + (portRef CE (instanceRef psum1_saved_25)) + (portRef CE (instanceRef psum1_saved_24)) + (portRef CE (instanceRef psum1_saved_23)) + (portRef CE (instanceRef psum1_saved_22)) + (portRef CE (instanceRef psum1_saved_21)) + (portRef CE (instanceRef psum1_saved_20)) + (portRef CE (instanceRef psum1_saved_19)) + (portRef CE (instanceRef psum1_saved_18)) + (portRef CE (instanceRef psum1_saved_17)) + (portRef CE (instanceRef psum1_saved_16)) + (portRef CE (instanceRef psum1_saved_15)) + (portRef CE (instanceRef psum1_saved_14)) + (portRef CE (instanceRef psum1_saved_13)) + (portRef CE (instanceRef psum1_saved_12)) + (portRef CE (instanceRef psum1_saved_11)) + (portRef CE (instanceRef psum1_saved_10)) + (portRef CE (instanceRef psum1_saved_9)) + (portRef CE (instanceRef psum1_saved_8)) + (portRef CE (instanceRef psum1_saved_7)) + (portRef CE (instanceRef psum1_saved_6)) + (portRef CE (instanceRef psum1_saved_5)) + (portRef CE (instanceRef psum1_saved_4)) + (portRef CE (instanceRef psum1_saved_3)) + (portRef CE (instanceRef psum1_saved_2)) + (portRef CE (instanceRef psum1_saved_1)) + (portRef CE (instanceRef psum1_saved_0)) + )) + (net (rename psum1_saved_70 "psum1_saved(70)") (joined + (portRef Q (instanceRef psum1_saved_69)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_s_70)) + (portRef I0 (instanceRef un1_psum0_signed_axb_69)) + (portRef I0 (instanceRef un1_psum0_signed_axb_70)) + )) + (net (rename un1_psum0_signed_axbZ0Z_70 "un1_psum0_signed_axb_70") (joined + (portRef O (instanceRef un1_psum0_signed_axb_70)) + (portRef (member S 1) (instanceRef un1_psum0_signed_s_70)) + )) + (net (rename un1_psum0_signed_58 "un1_psum0_signed(58)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_59)) + (portRef (member un1_psum0_signed 6) (instanceRef gO_4_11_MUX12)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_27_MUX12)) + (portRef (member un1_psum0_signed 12) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 8) (instanceRef gO_2_gO_2d_INC2)) + (portRef I0 (instanceRef un1_psum0_signed_cry_59_RNIH92T)) + )) + (net (rename un1_psum0_signed_60 "un1_psum0_signed(60)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_63)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_29_MUX12)) + (portRef (member un1_psum0_signed 10) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 6) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 10) (instanceRef gO_2_gO_2cN_AN8)) + (portRef I1 (instanceRef un1_psum0_signed_cry_59_RNIH92T)) + )) + (net (rename un1_psum0_signed_62 "un1_psum0_signed(62)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_63)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_31_MUX12)) + (portRef (member un1_psum0_signed 8) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 4) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 8) (instanceRef gO_2_gO_2cN_AN8)) + (portRef I2 (instanceRef un1_psum0_signed_cry_59_RNIH92T)) + )) + (net (rename un1_psum0_signed_61 "un1_psum0_signed(61)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_63)) + (portRef (member un1_psum0_signed 3) (instanceRef gO_4_11_MUX12)) + (portRef (member un1_psum0_signed 9) (instanceRef gO_4_34_MUX12)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_30_MUX12)) + (portRef (member un1_psum0_signed 5) (instanceRef gO_2_gO_2d_INC2)) + (portRef I3 (instanceRef un1_psum0_signed_cry_59_RNIH92T)) + )) + (net g0_11_1 (joined + (portRef O (instanceRef un1_psum0_signed_cry_59_RNIH92T)) + (portRef g0_11_1 (instanceRef OR25)) + )) + (net (rename A1_product_signed_2_44 "A1_product_signed_2(44)") (joined + (portRef O (instanceRef psum1_signed_s_44_thru)) + (portRef I0 (instanceRef psum1_signed_s_44)) + )) + (net (rename A1_product_signed_1_45 "A1_product_signed_1(45)") (joined + (portRef O (instanceRef psum1_signed_s_45_thru)) + (portRef I0 (instanceRef psum1_signed_s_45)) + )) + (net (rename A1_product_signed_1_46 "A1_product_signed_1(46)") (joined + (portRef O (instanceRef psum1_signed_s_46_thru)) + (portRef I0 (instanceRef psum1_signed_s_46)) + )) + (net (rename A1_product_signed_1_47 "A1_product_signed_1(47)") (joined + (portRef O (instanceRef psum1_signed_s_47_thru)) + (portRef I0 (instanceRef psum1_signed_s_47)) + )) + (net (rename A1_product_signed_1_48 "A1_product_signed_1(48)") (joined + (portRef O (instanceRef psum1_signed_s_48_thru)) + (portRef I0 (instanceRef psum1_signed_s_48)) + )) + (net (rename A1_product_signed_1_49 "A1_product_signed_1(49)") (joined + (portRef O (instanceRef psum1_signed_s_49_thru)) + (portRef I0 (instanceRef psum1_signed_s_49)) + )) + (net (rename A1_product_signed_1_50 "A1_product_signed_1(50)") (joined + (portRef O (instanceRef psum1_signed_s_50_thru)) + (portRef I0 (instanceRef psum1_signed_s_50)) + )) + (net (rename A1_product_signed_1_51 "A1_product_signed_1(51)") (joined + (portRef O (instanceRef psum1_signed_s_51_thru)) + (portRef I0 (instanceRef psum1_signed_s_51)) + )) + (net (rename A1_product_signed_1_52 "A1_product_signed_1(52)") (joined + (portRef O (instanceRef psum1_signed_s_52_thru)) + (portRef I0 (instanceRef psum1_signed_s_52)) + )) + (net (rename A1_product_signed_1_53 "A1_product_signed_1(53)") (joined + (portRef O (instanceRef psum1_signed_s_53_thru)) + (portRef I0 (instanceRef psum1_signed_s_53)) + )) + (net (rename A1_product_signed_1_54 "A1_product_signed_1(54)") (joined + (portRef O (instanceRef psum1_signed_s_54_thru)) + (portRef I0 (instanceRef psum1_signed_s_54)) + )) + (net (rename A1_product_signed_1_55 "A1_product_signed_1(55)") (joined + (portRef O (instanceRef psum1_signed_s_55_thru)) + (portRef I0 (instanceRef psum1_signed_s_55)) + )) + (net (rename A1_product_signed_1_56 "A1_product_signed_1(56)") (joined + (portRef O (instanceRef psum1_signed_s_56_thru)) + (portRef I0 (instanceRef psum1_signed_s_56)) + )) + (net (rename A1_product_signed_1_57 "A1_product_signed_1(57)") (joined + (portRef O (instanceRef psum1_signed_s_57_thru)) + (portRef I0 (instanceRef psum1_signed_s_57)) + )) + (net (rename A1_product_signed_1_58 "A1_product_signed_1(58)") (joined + (portRef O (instanceRef psum1_signed_s_58_thru)) + (portRef I0 (instanceRef psum1_signed_s_58)) + )) + (net (rename A1_product_signed_1_59 "A1_product_signed_1(59)") (joined + (portRef O (instanceRef psum1_signed_s_59_thru)) + (portRef I0 (instanceRef psum1_signed_s_59)) + )) + (net (rename A1_product_signed_1_60 "A1_product_signed_1(60)") (joined + (portRef O (instanceRef psum1_signed_s_60_thru)) + (portRef I0 (instanceRef psum1_signed_s_60)) + )) + (net (rename A1_product_signed_1_61 "A1_product_signed_1(61)") (joined + (portRef O (instanceRef psum1_signed_s_61_thru)) + (portRef I0 (instanceRef psum1_signed_s_61)) + )) + (net (rename A1_product_signed_1_62 "A1_product_signed_1(62)") (joined + (portRef O (instanceRef psum1_signed_s_62_thru)) + (portRef I0 (instanceRef psum1_signed_s_62)) + )) + (net (rename A1_product_signed_1_63 "A1_product_signed_1(63)") (joined + (portRef O (instanceRef psum1_signed_s_63_thru)) + (portRef I0 (instanceRef psum1_signed_s_63)) + )) + (net (rename A1_product_signed_1_64 "A1_product_signed_1(64)") (joined + (portRef O (instanceRef psum1_signed_s_64_thru)) + (portRef I0 (instanceRef psum1_signed_s_64)) + )) + (net (rename A1_product_signed_1_66 "A1_product_signed_1(66)") (joined + (portRef O (instanceRef psum1_signed_s_66_thru)) + (portRef I0 (instanceRef psum1_signed_s_66)) + )) + (net (rename A1_product_signed_0_67 "A1_product_signed_0(67)") (joined + (portRef O (instanceRef psum1_signed_s_69_thru)) + (portRef (member S 2) (instanceRef psum1_signed_s_69)) + )) + (net (rename psum1_savedZ0Z_0 "psum1_saved(0)") (joined + (portRef Q (instanceRef psum1_saved_0)) + (portRef (member psum1_saved 20) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net clk (joined + (portRef clk) + (portRef C (instanceRef data_out_final_35)) + (portRef C (instanceRef data_out_final_34)) + (portRef C (instanceRef data_out_final_33)) + (portRef C (instanceRef data_out_final_32)) + (portRef C (instanceRef data_out_final_31)) + (portRef C (instanceRef data_out_final_30)) + (portRef C (instanceRef data_out_final_29)) + (portRef C (instanceRef data_out_final_28)) + (portRef C (instanceRef data_out_final_27)) + (portRef C (instanceRef data_out_final_26)) + (portRef C (instanceRef data_out_final_25)) + (portRef C (instanceRef data_out_final_24)) + (portRef C (instanceRef data_out_final_23)) + (portRef C (instanceRef data_out_final_22)) + (portRef C (instanceRef data_out_final_21)) + (portRef C (instanceRef data_out_final_20)) + (portRef C (instanceRef data_out_final_19)) + (portRef C (instanceRef data_out_final_18)) + (portRef C (instanceRef data_out_final_17)) + (portRef C (instanceRef data_out_final_16)) + (portRef C (instanceRef data_out_final_15)) + (portRef C (instanceRef data_out_final_14)) + (portRef C (instanceRef data_out_final_13)) + (portRef C (instanceRef data_out_final_12)) + (portRef C (instanceRef data_out_final_11)) + (portRef C (instanceRef data_out_final_10)) + (portRef C (instanceRef data_out_final_9)) + (portRef C (instanceRef data_out_final_8)) + (portRef C (instanceRef data_out_final_7)) + (portRef C (instanceRef data_out_final_6)) + (portRef C (instanceRef data_out_final_5)) + (portRef C (instanceRef data_out_final_4)) + (portRef C (instanceRef data_out_final_3)) + (portRef C (instanceRef data_out_final_2)) + (portRef C (instanceRef data_out_final_1)) + (portRef C (instanceRef data_out_final_0)) + (portRef C (instanceRef saturation_final)) + (portRef C (instanceRef psum1_saved_69)) + (portRef C (instanceRef psum1_saved_68)) + (portRef C (instanceRef psum1_saved_67)) + (portRef C (instanceRef psum1_saved_66)) + (portRef C (instanceRef psum1_saved_65)) + (portRef C (instanceRef psum1_saved_64)) + (portRef C (instanceRef psum1_saved_63)) + (portRef C (instanceRef psum1_saved_62)) + (portRef C (instanceRef psum1_saved_61)) + (portRef C (instanceRef psum1_saved_60)) + (portRef C (instanceRef psum1_saved_59)) + (portRef C (instanceRef psum1_saved_58)) + (portRef C (instanceRef psum1_saved_57)) + (portRef C (instanceRef psum1_saved_56)) + (portRef C (instanceRef psum1_saved_55)) + (portRef C (instanceRef psum1_saved_54)) + (portRef C (instanceRef psum1_saved_53)) + (portRef C (instanceRef psum1_saved_52)) + (portRef C (instanceRef psum1_saved_51)) + (portRef C (instanceRef psum1_saved_50)) + (portRef C (instanceRef psum1_saved_49)) + (portRef C (instanceRef psum1_saved_48)) + (portRef C (instanceRef psum1_saved_47)) + (portRef C (instanceRef psum1_saved_46)) + (portRef C (instanceRef psum1_saved_45)) + (portRef C (instanceRef psum1_saved_44)) + (portRef C (instanceRef psum1_saved_43)) + (portRef C (instanceRef psum1_saved_42)) + (portRef C (instanceRef psum1_saved_41)) + (portRef C (instanceRef psum1_saved_40)) + (portRef C (instanceRef psum1_saved_39)) + (portRef C (instanceRef psum1_saved_38)) + (portRef C (instanceRef psum1_saved_37)) + (portRef C (instanceRef psum1_saved_36)) + (portRef C (instanceRef psum1_saved_35)) + (portRef C (instanceRef psum1_saved_34)) + (portRef C (instanceRef psum1_saved_33)) + (portRef C (instanceRef psum1_saved_32)) + (portRef C (instanceRef psum1_saved_31)) + (portRef C (instanceRef psum1_saved_30)) + (portRef C (instanceRef psum1_saved_29)) + (portRef C (instanceRef psum1_saved_28)) + (portRef C (instanceRef psum1_saved_27)) + (portRef C (instanceRef psum1_saved_26)) + (portRef C (instanceRef psum1_saved_25)) + (portRef C (instanceRef psum1_saved_24)) + (portRef C (instanceRef psum1_saved_23)) + (portRef C (instanceRef psum1_saved_22)) + (portRef C (instanceRef psum1_saved_21)) + (portRef C (instanceRef psum1_saved_20)) + (portRef C (instanceRef psum1_saved_19)) + (portRef C (instanceRef psum1_saved_18)) + (portRef C (instanceRef psum1_saved_17)) + (portRef C (instanceRef psum1_saved_16)) + (portRef C (instanceRef psum1_saved_15)) + (portRef C (instanceRef psum1_saved_14)) + (portRef C (instanceRef psum1_saved_13)) + (portRef C (instanceRef psum1_saved_12)) + (portRef C (instanceRef psum1_saved_11)) + (portRef C (instanceRef psum1_saved_10)) + (portRef C (instanceRef psum1_saved_9)) + (portRef C (instanceRef psum1_saved_8)) + (portRef C (instanceRef psum1_saved_7)) + (portRef C (instanceRef psum1_saved_6)) + (portRef C (instanceRef psum1_saved_5)) + (portRef C (instanceRef psum1_saved_4)) + (portRef C (instanceRef psum1_saved_3)) + (portRef C (instanceRef psum1_saved_2)) + (portRef C (instanceRef psum1_saved_1)) + (portRef C (instanceRef psum1_saved_0)) + )) + (net rstn_i (joined + (portRef rstn_i) + (portRef CLR (instanceRef data_out_final_35)) + (portRef CLR (instanceRef data_out_final_34)) + (portRef CLR (instanceRef data_out_final_33)) + (portRef CLR (instanceRef data_out_final_32)) + (portRef CLR (instanceRef data_out_final_31)) + (portRef CLR (instanceRef data_out_final_30)) + (portRef CLR (instanceRef data_out_final_29)) + (portRef CLR (instanceRef data_out_final_28)) + (portRef CLR (instanceRef data_out_final_27)) + (portRef CLR (instanceRef data_out_final_26)) + (portRef CLR (instanceRef data_out_final_25)) + (portRef CLR (instanceRef data_out_final_24)) + (portRef CLR (instanceRef data_out_final_23)) + (portRef CLR (instanceRef data_out_final_22)) + (portRef CLR (instanceRef data_out_final_21)) + (portRef CLR (instanceRef data_out_final_20)) + (portRef CLR (instanceRef data_out_final_19)) + (portRef CLR (instanceRef data_out_final_18)) + (portRef CLR (instanceRef data_out_final_17)) + (portRef CLR (instanceRef data_out_final_16)) + (portRef CLR (instanceRef data_out_final_15)) + (portRef CLR (instanceRef data_out_final_14)) + (portRef CLR (instanceRef data_out_final_13)) + (portRef CLR (instanceRef data_out_final_12)) + (portRef CLR (instanceRef data_out_final_11)) + (portRef CLR (instanceRef data_out_final_10)) + (portRef CLR (instanceRef data_out_final_9)) + (portRef CLR (instanceRef data_out_final_8)) + (portRef CLR (instanceRef data_out_final_7)) + (portRef CLR (instanceRef data_out_final_6)) + (portRef CLR (instanceRef data_out_final_5)) + (portRef CLR (instanceRef data_out_final_4)) + (portRef CLR (instanceRef data_out_final_3)) + (portRef CLR (instanceRef data_out_final_2)) + (portRef CLR (instanceRef data_out_final_1)) + (portRef CLR (instanceRef data_out_final_0)) + (portRef CLR (instanceRef saturation_final)) + (portRef CLR (instanceRef psum1_saved_69)) + (portRef CLR (instanceRef psum1_saved_68)) + (portRef CLR (instanceRef psum1_saved_67)) + (portRef CLR (instanceRef psum1_saved_66)) + (portRef CLR (instanceRef psum1_saved_65)) + (portRef CLR (instanceRef psum1_saved_64)) + (portRef CLR (instanceRef psum1_saved_63)) + (portRef CLR (instanceRef psum1_saved_62)) + (portRef CLR (instanceRef psum1_saved_61)) + (portRef CLR (instanceRef psum1_saved_60)) + (portRef CLR (instanceRef psum1_saved_59)) + (portRef CLR (instanceRef psum1_saved_58)) + (portRef CLR (instanceRef psum1_saved_57)) + (portRef CLR (instanceRef psum1_saved_56)) + (portRef CLR (instanceRef psum1_saved_55)) + (portRef CLR (instanceRef psum1_saved_54)) + (portRef CLR (instanceRef psum1_saved_53)) + (portRef CLR (instanceRef psum1_saved_52)) + (portRef CLR (instanceRef psum1_saved_51)) + (portRef CLR (instanceRef psum1_saved_50)) + (portRef CLR (instanceRef psum1_saved_49)) + (portRef CLR (instanceRef psum1_saved_48)) + (portRef CLR (instanceRef psum1_saved_47)) + (portRef CLR (instanceRef psum1_saved_46)) + (portRef CLR (instanceRef psum1_saved_45)) + (portRef CLR (instanceRef psum1_saved_44)) + (portRef CLR (instanceRef psum1_saved_43)) + (portRef CLR (instanceRef psum1_saved_42)) + (portRef CLR (instanceRef psum1_saved_41)) + (portRef CLR (instanceRef psum1_saved_40)) + (portRef CLR (instanceRef psum1_saved_39)) + (portRef CLR (instanceRef psum1_saved_38)) + (portRef CLR (instanceRef psum1_saved_37)) + (portRef CLR (instanceRef psum1_saved_36)) + (portRef CLR (instanceRef psum1_saved_35)) + (portRef CLR (instanceRef psum1_saved_34)) + (portRef CLR (instanceRef psum1_saved_33)) + (portRef CLR (instanceRef psum1_saved_32)) + (portRef CLR (instanceRef psum1_saved_31)) + (portRef CLR (instanceRef psum1_saved_30)) + (portRef CLR (instanceRef psum1_saved_29)) + (portRef CLR (instanceRef psum1_saved_28)) + (portRef CLR (instanceRef psum1_saved_27)) + (portRef CLR (instanceRef psum1_saved_26)) + (portRef CLR (instanceRef psum1_saved_25)) + (portRef CLR (instanceRef psum1_saved_24)) + (portRef CLR (instanceRef psum1_saved_23)) + (portRef CLR (instanceRef psum1_saved_22)) + (portRef CLR (instanceRef psum1_saved_21)) + (portRef CLR (instanceRef psum1_saved_20)) + (portRef CLR (instanceRef psum1_saved_19)) + (portRef CLR (instanceRef psum1_saved_18)) + (portRef CLR (instanceRef psum1_saved_17)) + (portRef CLR (instanceRef psum1_saved_16)) + (portRef CLR (instanceRef psum1_saved_15)) + (portRef CLR (instanceRef psum1_saved_14)) + (portRef CLR (instanceRef psum1_saved_13)) + (portRef CLR (instanceRef psum1_saved_12)) + (portRef CLR (instanceRef psum1_saved_11)) + (portRef CLR (instanceRef psum1_saved_10)) + (portRef CLR (instanceRef psum1_saved_9)) + (portRef CLR (instanceRef psum1_saved_8)) + (portRef CLR (instanceRef psum1_saved_7)) + (portRef CLR (instanceRef psum1_saved_6)) + (portRef CLR (instanceRef psum1_saved_5)) + (portRef CLR (instanceRef psum1_saved_4)) + (portRef CLR (instanceRef psum1_saved_3)) + (portRef CLR (instanceRef psum1_saved_2)) + (portRef CLR (instanceRef psum1_saved_1)) + (portRef CLR (instanceRef psum1_saved_0)) + )) + (net (rename psum1_savedZ0Z_1 "psum1_saved(1)") (joined + (portRef Q (instanceRef psum1_saved_1)) + (portRef (member psum1_saved 19) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_2 "psum1_saved(2)") (joined + (portRef Q (instanceRef psum1_saved_2)) + (portRef (member psum1_saved 18) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_3 "psum1_saved(3)") (joined + (portRef Q (instanceRef psum1_saved_3)) + (portRef (member psum1_saved 17) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_4 "psum1_saved(4)") (joined + (portRef Q (instanceRef psum1_saved_4)) + (portRef (member psum1_saved 16) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_5 "psum1_saved(5)") (joined + (portRef Q (instanceRef psum1_saved_5)) + (portRef (member psum1_saved 15) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_6 "psum1_saved(6)") (joined + (portRef Q (instanceRef psum1_saved_6)) + (portRef (member psum1_saved 14) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_7 "psum1_saved(7)") (joined + (portRef Q (instanceRef psum1_saved_7)) + (portRef (member psum1_saved 13) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_8 "psum1_saved(8)") (joined + (portRef Q (instanceRef psum1_saved_8)) + (portRef (member psum1_saved 12) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_9 "psum1_saved(9)") (joined + (portRef Q (instanceRef psum1_saved_9)) + (portRef (member psum1_saved 11) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_10 "psum1_saved(10)") (joined + (portRef Q (instanceRef psum1_saved_10)) + (portRef (member psum1_saved 10) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_11 "psum1_saved(11)") (joined + (portRef Q (instanceRef psum1_saved_11)) + (portRef (member psum1_saved 9) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_12 "psum1_saved(12)") (joined + (portRef Q (instanceRef psum1_saved_12)) + (portRef (member psum1_saved 8) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_13 "psum1_saved(13)") (joined + (portRef Q (instanceRef psum1_saved_13)) + (portRef (member psum1_saved 7) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_14 "psum1_saved(14)") (joined + (portRef Q (instanceRef psum1_saved_14)) + (portRef (member psum1_saved 6) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_15 "psum1_saved(15)") (joined + (portRef Q (instanceRef psum1_saved_15)) + (portRef (member psum1_saved 5) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_16 "psum1_saved(16)") (joined + (portRef Q (instanceRef psum1_saved_16)) + (portRef (member psum1_saved 4) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_17 "psum1_saved(17)") (joined + (portRef Q (instanceRef psum1_saved_17)) + (portRef (member psum1_saved 3) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_18 "psum1_saved(18)") (joined + (portRef Q (instanceRef psum1_saved_18)) + (portRef (member psum1_saved 2) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_19 "psum1_saved(19)") (joined + (portRef Q (instanceRef psum1_saved_19)) + (portRef (member psum1_saved 1) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_20 "psum1_saved(20)") (joined + (portRef Q (instanceRef psum1_saved_20)) + (portRef (member psum1_saved 0) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_23)) + (portRef I0 (instanceRef un1_psum0_signed_axb_20)) + )) + (net (rename psum1_savedZ0Z_21 "psum1_saved(21)") (joined + (portRef Q (instanceRef psum1_saved_21)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_23)) + (portRef I0 (instanceRef un1_psum0_signed_axb_21)) + )) + (net (rename psum1_savedZ0Z_22 "psum1_saved(22)") (joined + (portRef Q (instanceRef psum1_saved_22)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_23)) + (portRef I0 (instanceRef un1_psum0_signed_axb_22)) + )) + (net (rename psum1_savedZ0Z_23 "psum1_saved(23)") (joined + (portRef Q (instanceRef psum1_saved_23)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_23)) + (portRef I0 (instanceRef un1_psum0_signed_axb_23)) + )) + (net (rename psum1_savedZ0Z_24 "psum1_saved(24)") (joined + (portRef Q (instanceRef psum1_saved_24)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_27)) + (portRef I0 (instanceRef un1_psum0_signed_axb_24)) + )) + (net (rename psum1_savedZ0Z_25 "psum1_saved(25)") (joined + (portRef Q (instanceRef psum1_saved_25)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_27)) + (portRef I0 (instanceRef un1_psum0_signed_axb_25)) + )) + (net (rename psum1_savedZ0Z_26 "psum1_saved(26)") (joined + (portRef Q (instanceRef psum1_saved_26)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_27)) + (portRef I0 (instanceRef un1_psum0_signed_axb_26)) + )) + (net (rename psum1_savedZ0Z_27 "psum1_saved(27)") (joined + (portRef Q (instanceRef psum1_saved_27)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_27)) + (portRef I0 (instanceRef un1_psum0_signed_axb_27)) + )) + (net (rename psum1_savedZ0Z_28 "psum1_saved(28)") (joined + (portRef Q (instanceRef psum1_saved_28)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_31)) + (portRef I0 (instanceRef un1_psum0_signed_axb_28)) + )) + (net (rename psum1_savedZ0Z_29 "psum1_saved(29)") (joined + (portRef Q (instanceRef psum1_saved_29)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_31)) + (portRef I0 (instanceRef un1_psum0_signed_axb_29)) + )) + (net (rename psum1_savedZ0Z_30 "psum1_saved(30)") (joined + (portRef Q (instanceRef psum1_saved_30)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_31)) + (portRef I0 (instanceRef un1_psum0_signed_axb_30)) + )) + (net (rename psum1_savedZ0Z_31 "psum1_saved(31)") (joined + (portRef Q (instanceRef psum1_saved_31)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_31)) + (portRef I0 (instanceRef un1_psum0_signed_axb_31)) + )) + (net (rename psum1_savedZ0Z_32 "psum1_saved(32)") (joined + (portRef Q (instanceRef psum1_saved_32)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_35)) + (portRef I0 (instanceRef un1_psum0_signed_axb_32)) + )) + (net (rename psum1_savedZ0Z_33 "psum1_saved(33)") (joined + (portRef Q (instanceRef psum1_saved_33)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_35)) + (portRef I0 (instanceRef un1_psum0_signed_axb_33)) + )) + (net (rename psum1_savedZ0Z_34 "psum1_saved(34)") (joined + (portRef Q (instanceRef psum1_saved_34)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_35)) + (portRef I0 (instanceRef un1_psum0_signed_axb_34)) + )) + (net (rename psum1_savedZ0Z_35 "psum1_saved(35)") (joined + (portRef Q (instanceRef psum1_saved_35)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_35)) + (portRef I0 (instanceRef un1_psum0_signed_axb_35)) + )) + (net (rename psum1_savedZ0Z_36 "psum1_saved(36)") (joined + (portRef Q (instanceRef psum1_saved_36)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_39)) + (portRef I0 (instanceRef un1_psum0_signed_axb_36)) + )) + (net (rename psum1_savedZ0Z_37 "psum1_saved(37)") (joined + (portRef Q (instanceRef psum1_saved_37)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_39)) + (portRef I0 (instanceRef un1_psum0_signed_axb_37)) + )) + (net (rename psum1_savedZ0Z_38 "psum1_saved(38)") (joined + (portRef Q (instanceRef psum1_saved_38)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_39)) + (portRef I0 (instanceRef un1_psum0_signed_axb_38)) + )) + (net (rename psum1_savedZ0Z_39 "psum1_saved(39)") (joined + (portRef Q (instanceRef psum1_saved_39)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_39)) + (portRef I0 (instanceRef un1_psum0_signed_axb_39)) + )) + (net (rename psum1_savedZ0Z_40 "psum1_saved(40)") (joined + (portRef Q (instanceRef psum1_saved_40)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_43)) + (portRef I0 (instanceRef un1_psum0_signed_axb_40)) + )) + (net (rename psum1_savedZ0Z_41 "psum1_saved(41)") (joined + (portRef Q (instanceRef psum1_saved_41)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_43)) + (portRef I0 (instanceRef un1_psum0_signed_axb_41)) + )) + (net (rename psum1_savedZ0Z_42 "psum1_saved(42)") (joined + (portRef Q (instanceRef psum1_saved_42)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_43)) + (portRef I0 (instanceRef un1_psum0_signed_axb_42)) + )) + (net (rename psum1_savedZ0Z_43 "psum1_saved(43)") (joined + (portRef Q (instanceRef psum1_saved_43)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_43)) + (portRef I0 (instanceRef un1_psum0_signed_axb_43)) + )) + (net (rename psum1_savedZ0Z_44 "psum1_saved(44)") (joined + (portRef Q (instanceRef psum1_saved_44)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_47)) + (portRef I0 (instanceRef un1_psum0_signed_axb_44)) + )) + (net (rename psum1_savedZ0Z_45 "psum1_saved(45)") (joined + (portRef Q (instanceRef psum1_saved_45)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_47)) + (portRef I0 (instanceRef un1_psum0_signed_axb_45)) + )) + (net (rename psum1_savedZ0Z_46 "psum1_saved(46)") (joined + (portRef Q (instanceRef psum1_saved_46)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_47)) + (portRef I0 (instanceRef un1_psum0_signed_axb_46)) + )) + (net (rename psum1_savedZ0Z_47 "psum1_saved(47)") (joined + (portRef Q (instanceRef psum1_saved_47)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_47)) + (portRef I0 (instanceRef un1_psum0_signed_axb_47)) + )) + (net (rename psum1_savedZ0Z_48 "psum1_saved(48)") (joined + (portRef Q (instanceRef psum1_saved_48)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_51)) + (portRef I0 (instanceRef un1_psum0_signed_axb_48)) + )) + (net (rename psum1_savedZ0Z_49 "psum1_saved(49)") (joined + (portRef Q (instanceRef psum1_saved_49)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_51)) + (portRef I0 (instanceRef un1_psum0_signed_axb_49)) + )) + (net (rename psum1_savedZ0Z_50 "psum1_saved(50)") (joined + (portRef Q (instanceRef psum1_saved_50)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_51)) + (portRef I0 (instanceRef un1_psum0_signed_axb_50)) + )) + (net (rename psum1_savedZ0Z_51 "psum1_saved(51)") (joined + (portRef Q (instanceRef psum1_saved_51)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_51)) + (portRef I0 (instanceRef un1_psum0_signed_axb_51)) + )) + (net (rename psum1_savedZ0Z_52 "psum1_saved(52)") (joined + (portRef Q (instanceRef psum1_saved_52)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_55)) + (portRef I0 (instanceRef un1_psum0_signed_axb_52)) + )) + (net (rename psum1_savedZ0Z_53 "psum1_saved(53)") (joined + (portRef Q (instanceRef psum1_saved_53)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_55)) + (portRef I0 (instanceRef un1_psum0_signed_axb_53)) + )) + (net (rename psum1_savedZ0Z_54 "psum1_saved(54)") (joined + (portRef Q (instanceRef psum1_saved_54)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_55)) + (portRef I0 (instanceRef un1_psum0_signed_axb_54)) + )) + (net (rename psum1_savedZ0Z_55 "psum1_saved(55)") (joined + (portRef Q (instanceRef psum1_saved_55)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_55)) + (portRef I0 (instanceRef un1_psum0_signed_axb_55)) + )) + (net (rename psum1_savedZ0Z_56 "psum1_saved(56)") (joined + (portRef Q (instanceRef psum1_saved_56)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_59)) + (portRef I0 (instanceRef un1_psum0_signed_axb_56)) + )) + (net (rename psum1_savedZ0Z_57 "psum1_saved(57)") (joined + (portRef Q (instanceRef psum1_saved_57)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_59)) + (portRef I0 (instanceRef un1_psum0_signed_axb_57)) + )) + (net (rename psum1_savedZ0Z_58 "psum1_saved(58)") (joined + (portRef Q (instanceRef psum1_saved_58)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_59)) + (portRef I0 (instanceRef un1_psum0_signed_axb_58)) + )) + (net (rename psum1_savedZ0Z_59 "psum1_saved(59)") (joined + (portRef Q (instanceRef psum1_saved_59)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_59)) + (portRef I0 (instanceRef un1_psum0_signed_axb_59)) + )) + (net (rename psum1_savedZ0Z_60 "psum1_saved(60)") (joined + (portRef Q (instanceRef psum1_saved_60)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_63)) + (portRef I0 (instanceRef un1_psum0_signed_axb_60)) + )) + (net (rename psum1_savedZ0Z_61 "psum1_saved(61)") (joined + (portRef Q (instanceRef psum1_saved_61)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_63)) + (portRef I0 (instanceRef un1_psum0_signed_axb_61)) + )) + (net (rename psum1_savedZ0Z_62 "psum1_saved(62)") (joined + (portRef Q (instanceRef psum1_saved_62)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_63)) + (portRef I0 (instanceRef un1_psum0_signed_axb_62)) + )) + (net (rename psum1_savedZ0Z_63 "psum1_saved(63)") (joined + (portRef Q (instanceRef psum1_saved_63)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_63)) + (portRef I0 (instanceRef un1_psum0_signed_axb_63)) + )) + (net (rename psum1_savedZ0Z_64 "psum1_saved(64)") (joined + (portRef Q (instanceRef psum1_saved_64)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_67)) + (portRef I0 (instanceRef un1_psum0_signed_axb_64)) + )) + (net (rename psum1_savedZ0Z_65 "psum1_saved(65)") (joined + (portRef Q (instanceRef psum1_saved_65)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_67)) + (portRef I0 (instanceRef un1_psum0_signed_axb_65)) + )) + (net (rename psum1_savedZ0Z_66 "psum1_saved(66)") (joined + (portRef Q (instanceRef psum1_saved_66)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_67)) + (portRef I0 (instanceRef un1_psum0_signed_axb_66)) + )) + (net (rename psum1_savedZ0Z_67 "psum1_saved(67)") (joined + (portRef Q (instanceRef psum1_saved_67)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_67)) + (portRef I0 (instanceRef un1_psum0_signed_axb_67)) + )) + (net (rename psum1_savedZ0Z_68 "psum1_saved(68)") (joined + (portRef Q (instanceRef psum1_saved_68)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_s_70)) + (portRef I0 (instanceRef un1_psum0_signed_axb_68)) + )) + (net saturation_2 (joined + (portRef Q (instanceRef saturation_final)) + (portRef saturation_2) + )) + (net N_68_i (joined + (portRef N_68_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef saturation_final)) + )) + (net (rename dout_2_0 "dout_2(0)") (joined + (portRef Q (instanceRef data_out_final_0)) + (portRef (member dout_2 35)) + )) + (net (rename data_out_final_3_0 "data_out_final_3(0)") (joined + (portRef (member data_out_final_3 22) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_0)) + )) + (net (rename dout_2_1 "dout_2(1)") (joined + (portRef Q (instanceRef data_out_final_1)) + (portRef (member dout_2 34)) + )) + (net N_46_i (joined + (portRef N_46_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_1)) + )) + (net (rename dout_2_2 "dout_2(2)") (joined + (portRef Q (instanceRef data_out_final_2)) + (portRef (member dout_2 33)) + )) + (net (rename data_out_final_3_2 "data_out_final_3(2)") (joined + (portRef (member data_out_final_3 20) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_2)) + )) + (net (rename dout_2_3 "dout_2(3)") (joined + (portRef Q (instanceRef data_out_final_3)) + (portRef (member dout_2 32)) + )) + (net (rename data_out_final_3_3 "data_out_final_3(3)") (joined + (portRef (member data_out_final_3 19) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_3)) + )) + (net (rename dout_2_4 "dout_2(4)") (joined + (portRef Q (instanceRef data_out_final_4)) + (portRef (member dout_2 31)) + )) + (net (rename data_out_final_3_4 "data_out_final_3(4)") (joined + (portRef (member data_out_final_3 18) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_4)) + )) + (net (rename dout_2_5 "dout_2(5)") (joined + (portRef Q (instanceRef data_out_final_5)) + (portRef (member dout_2 30)) + )) + (net N_42_i (joined + (portRef N_42_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_5)) + )) + (net (rename dout_2_6 "dout_2(6)") (joined + (portRef Q (instanceRef data_out_final_6)) + (portRef (member dout_2 29)) + )) + (net N_38_i (joined + (portRef N_38_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_6)) + )) + (net (rename dout_2_7 "dout_2(7)") (joined + (portRef Q (instanceRef data_out_final_7)) + (portRef (member dout_2 28)) + )) + (net (rename data_out_final_3_7 "data_out_final_3(7)") (joined + (portRef (member data_out_final_3 15) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_7)) + )) + (net (rename dout_2_8 "dout_2(8)") (joined + (portRef Q (instanceRef data_out_final_8)) + (portRef (member dout_2 27)) + )) + (net (rename data_out_final_3_8 "data_out_final_3(8)") (joined + (portRef (member data_out_final_3 14) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_8)) + )) + (net (rename dout_2_9 "dout_2(9)") (joined + (portRef Q (instanceRef data_out_final_9)) + (portRef (member dout_2 26)) + )) + (net (rename data_out_final_3_9 "data_out_final_3(9)") (joined + (portRef (member data_out_final_3 13) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_9)) + )) + (net (rename dout_2_10 "dout_2(10)") (joined + (portRef Q (instanceRef data_out_final_10)) + (portRef (member dout_2 25)) + )) + (net (rename data_out_final_3_10 "data_out_final_3(10)") (joined + (portRef (member data_out_final_3 12) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_10)) + )) + (net (rename dout_2_11 "dout_2(11)") (joined + (portRef Q (instanceRef data_out_final_11)) + (portRef (member dout_2 24)) + )) + (net N_45_i (joined + (portRef N_45_i (instanceRef gO_4_11_MUX12)) + (portRef D (instanceRef data_out_final_11)) + )) + (net (rename dout_2_12 "dout_2(12)") (joined + (portRef Q (instanceRef data_out_final_12)) + (portRef (member dout_2 23)) + )) + (net (rename data_out_final_3_12 "data_out_final_3(12)") (joined + (portRef (member data_out_final_3 10) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_12)) + )) + (net (rename dout_2_13 "dout_2(13)") (joined + (portRef Q (instanceRef data_out_final_13)) + (portRef (member dout_2 22)) + )) + (net (rename data_out_final_3_13 "data_out_final_3(13)") (joined + (portRef (member data_out_final_3 9) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_13)) + )) + (net (rename dout_2_14 "dout_2(14)") (joined + (portRef Q (instanceRef data_out_final_14)) + (portRef (member dout_2 21)) + )) + (net (rename data_out_final_3_14 "data_out_final_3(14)") (joined + (portRef (member data_out_final_3 8) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_14)) + )) + (net (rename dout_2_15 "dout_2(15)") (joined + (portRef Q (instanceRef data_out_final_15)) + (portRef (member dout_2 20)) + )) + (net (rename data_out_final_3_15 "data_out_final_3(15)") (joined + (portRef (member data_out_final_3 7) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_15)) + )) + (net (rename dout_2_16 "dout_2(16)") (joined + (portRef Q (instanceRef data_out_final_16)) + (portRef (member dout_2 19)) + )) + (net N_34_i (joined + (portRef N_34_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_16)) + )) + (net (rename dout_2_17 "dout_2(17)") (joined + (portRef Q (instanceRef data_out_final_17)) + (portRef (member dout_2 18)) + )) + (net N_30_i (joined + (portRef N_30_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_17)) + )) + (net (rename dout_2_18 "dout_2(18)") (joined + (portRef Q (instanceRef data_out_final_18)) + (portRef (member dout_2 17)) + )) + (net N_26_i (joined + (portRef N_26_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_18)) + )) + (net (rename dout_2_19 "dout_2(19)") (joined + (portRef Q (instanceRef data_out_final_19)) + (portRef (member dout_2 16)) + )) + (net (rename data_out_final_3_19 "data_out_final_3(19)") (joined + (portRef (member data_out_final_3 3) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_19)) + )) + (net (rename dout_2_20 "dout_2(20)") (joined + (portRef Q (instanceRef data_out_final_20)) + (portRef (member dout_2 15)) + )) + (net N_22_i (joined + (portRef N_22_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_20)) + )) + (net (rename dout_2_21 "dout_2(21)") (joined + (portRef Q (instanceRef data_out_final_21)) + (portRef (member dout_2 14)) + )) + (net N_18_i (joined + (portRef N_18_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_21)) + )) + (net (rename dout_2_22 "dout_2(22)") (joined + (portRef Q (instanceRef data_out_final_22)) + (portRef (member dout_2 13)) + )) + (net (rename data_out_final_3_22 "data_out_final_3(22)") (joined + (portRef (member data_out_final_3 0) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_22)) + )) + (net (rename dout_2_23 "dout_2(23)") (joined + (portRef Q (instanceRef data_out_final_23)) + (portRef (member dout_2 12)) + )) + (net N_49_i (joined + (portRef N_49_i (instanceRef gO_4_11_MUX12)) + (portRef D (instanceRef data_out_final_23)) + )) + (net (rename dout_2_24 "dout_2(24)") (joined + (portRef Q (instanceRef data_out_final_24)) + (portRef (member dout_2 11)) + )) + (net N_53_i (joined + (portRef N_53_i (instanceRef gO_4_11_MUX12)) + (portRef D (instanceRef data_out_final_24)) + )) + (net (rename dout_2_25 "dout_2(25)") (joined + (portRef Q (instanceRef data_out_final_25)) + (portRef (member dout_2 10)) + )) + (net N_57_i (joined + (portRef N_57_i (instanceRef gO_4_11_MUX12)) + (portRef D (instanceRef data_out_final_25)) + )) + (net (rename dout_2_26 "dout_2(26)") (joined + (portRef Q (instanceRef data_out_final_26)) + (portRef (member dout_2 9)) + )) + (net N_61_i (joined + (portRef N_61_i (instanceRef gO_4_11_MUX12)) + (portRef D (instanceRef data_out_final_26)) + )) + (net (rename dout_2_27 "dout_2(27)") (joined + (portRef Q (instanceRef data_out_final_27)) + (portRef (member dout_2 8)) + )) + (net N_65_i (joined + (portRef N_65_i (instanceRef gO_4_11_MUX12)) + (portRef D (instanceRef data_out_final_27)) + )) + (net (rename dout_2_28 "dout_2(28)") (joined + (portRef Q (instanceRef data_out_final_28)) + (portRef (member dout_2 7)) + )) + (net data_out_final_3_6_2 (joined + (portRef data_out_final_3_6_2 (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_28)) + )) + (net (rename dout_2_29 "dout_2(29)") (joined + (portRef Q (instanceRef data_out_final_29)) + (portRef (member dout_2 6)) + )) + (net N_14_i (joined + (portRef N_14_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_29)) + )) + (net (rename dout_2_30 "dout_2(30)") (joined + (portRef Q (instanceRef data_out_final_30)) + (portRef (member dout_2 5)) + )) + (net N_75_i (joined + (portRef N_75_i (instanceRef gO_4_11_MUX12)) + (portRef D (instanceRef data_out_final_30)) + )) + (net (rename dout_2_31 "dout_2(31)") (joined + (portRef Q (instanceRef data_out_final_31)) + (portRef (member dout_2 4)) + )) + (net N_10_i (joined + (portRef N_10_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_31)) + )) + (net (rename dout_2_32 "dout_2(32)") (joined + (portRef Q (instanceRef data_out_final_32)) + (portRef (member dout_2 3)) + )) + (net N_77_i (joined + (portRef N_77_i (instanceRef gO_4_11_MUX12)) + (portRef D (instanceRef data_out_final_32)) + )) + (net (rename dout_2_33 "dout_2(33)") (joined + (portRef Q (instanceRef data_out_final_33)) + (portRef (member dout_2 2)) + )) + (net N_153_i (joined + (portRef N_153_i (instanceRef gO_4_11_MUX12)) + (portRef D (instanceRef data_out_final_33)) + )) + (net (rename dout_2_34 "dout_2(34)") (joined + (portRef Q (instanceRef data_out_final_34)) + (portRef (member dout_2 1)) + )) + (net N_6_i (joined + (portRef N_6_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_34)) + )) + (net (rename dout_2_35 "dout_2(35)") (joined + (portRef Q (instanceRef data_out_final_35)) + (portRef (member dout_2 0)) + )) + (net data_out_final_3_37 (joined + (portRef data_out_final_3_37 (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_35)) + )) + (net psum1_signed_cry_65 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_67)) + (portRef I1 (instanceRef psum1_signed_s_66)) + )) + (net (rename psum1_signed_cryZ0Z_63 "psum1_signed_cry_63") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_63)) + (portRef CI (instanceRef psum1_signed_cry_67)) + (portRef I1 (instanceRef psum1_signed_s_64)) + )) + (net psum1_signed_cry_62 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_63)) + (portRef I1 (instanceRef psum1_signed_s_63)) + )) + (net psum1_signed_cry_61 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_63)) + (portRef I1 (instanceRef psum1_signed_s_62)) + )) + (net psum1_signed_cry_60 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_63)) + (portRef I1 (instanceRef psum1_signed_s_61)) + )) + (net (rename psum1_signed_cryZ0Z_59 "psum1_signed_cry_59") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_59)) + (portRef CI (instanceRef psum1_signed_cry_63)) + (portRef I1 (instanceRef psum1_signed_s_60)) + )) + (net psum1_signed_cry_58 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_59)) + (portRef I1 (instanceRef psum1_signed_s_59)) + )) + (net psum1_signed_cry_57 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_59)) + (portRef I1 (instanceRef psum1_signed_s_58)) + )) + (net psum1_signed_cry_56 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_59)) + (portRef I1 (instanceRef psum1_signed_s_57)) + )) + (net (rename psum1_signed_cryZ0Z_55 "psum1_signed_cry_55") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_55)) + (portRef CI (instanceRef psum1_signed_cry_59)) + (portRef I1 (instanceRef psum1_signed_s_56)) + )) + (net psum1_signed_cry_54 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_55)) + (portRef I1 (instanceRef psum1_signed_s_55)) + )) + (net psum1_signed_cry_53 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_55)) + (portRef I1 (instanceRef psum1_signed_s_54)) + )) + (net psum1_signed_cry_52 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_55)) + (portRef I1 (instanceRef psum1_signed_s_53)) + )) + (net (rename psum1_signed_cryZ0Z_51 "psum1_signed_cry_51") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_51)) + (portRef CI (instanceRef psum1_signed_cry_55)) + (portRef I1 (instanceRef psum1_signed_s_52)) + )) + (net psum1_signed_cry_50 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_51)) + (portRef I1 (instanceRef psum1_signed_s_51)) + )) + (net psum1_signed_cry_49 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_51)) + (portRef I1 (instanceRef psum1_signed_s_50)) + )) + (net psum1_signed_cry_48 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_51)) + (portRef I1 (instanceRef psum1_signed_s_49)) + )) + (net (rename psum1_signed_cryZ0Z_47 "psum1_signed_cry_47") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_47)) + (portRef CI (instanceRef psum1_signed_cry_51)) + (portRef I1 (instanceRef psum1_signed_s_48)) + )) + (net psum1_signed_cry_46 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_47)) + (portRef I1 (instanceRef psum1_signed_s_47)) + )) + (net psum1_signed_cry_45 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_47)) + (portRef I1 (instanceRef psum1_signed_s_46)) + )) + (net psum1_signed_cry_44 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_47)) + (portRef I1 (instanceRef psum1_signed_s_45)) + )) + (net (rename psum1_signed_cryZ0Z_43 "psum1_signed_cry_43") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_43)) + (portRef CI (instanceRef psum1_signed_cry_47)) + (portRef I1 (instanceRef psum1_signed_s_44)) + )) + (net (rename un1_psum0_signed_axbZ0Z_69 "un1_psum0_signed_axb_69") (joined + (portRef O (instanceRef un1_psum0_signed_axb_69)) + (portRef (member S 2) (instanceRef un1_psum0_signed_s_70)) + )) + (net (rename un1_psum0_signed_axbZ0Z_68 "un1_psum0_signed_axb_68") (joined + (portRef O (instanceRef un1_psum0_signed_axb_68)) + (portRef (member S 3) (instanceRef un1_psum0_signed_s_70)) + )) + (net (rename un1_psum0_signed_axbZ0Z_67 "un1_psum0_signed_axb_67") (joined + (portRef O (instanceRef un1_psum0_signed_axb_67)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_67)) + )) + (net (rename un1_psum0_signed_axbZ0Z_66 "un1_psum0_signed_axb_66") (joined + (portRef O (instanceRef un1_psum0_signed_axb_66)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_67)) + )) + (net (rename un1_psum0_signed_axbZ0Z_65 "un1_psum0_signed_axb_65") (joined + (portRef O (instanceRef un1_psum0_signed_axb_65)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_67)) + )) + (net (rename un1_psum0_signed_axbZ0Z_64 "un1_psum0_signed_axb_64") (joined + (portRef O (instanceRef un1_psum0_signed_axb_64)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_67)) + )) + (net (rename un1_psum0_signed_axbZ0Z_63 "un1_psum0_signed_axb_63") (joined + (portRef O (instanceRef un1_psum0_signed_axb_63)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_63)) + )) + (net (rename un1_psum0_signed_axbZ0Z_62 "un1_psum0_signed_axb_62") (joined + (portRef O (instanceRef un1_psum0_signed_axb_62)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_63)) + )) + (net (rename un1_psum0_signed_axbZ0Z_61 "un1_psum0_signed_axb_61") (joined + (portRef O (instanceRef un1_psum0_signed_axb_61)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_63)) + )) + (net (rename un1_psum0_signed_axbZ0Z_60 "un1_psum0_signed_axb_60") (joined + (portRef O (instanceRef un1_psum0_signed_axb_60)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_63)) + )) + (net (rename un1_psum0_signed_axbZ0Z_59 "un1_psum0_signed_axb_59") (joined + (portRef O (instanceRef un1_psum0_signed_axb_59)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_59)) + )) + (net (rename un1_psum0_signed_axbZ0Z_58 "un1_psum0_signed_axb_58") (joined + (portRef O (instanceRef un1_psum0_signed_axb_58)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_59)) + )) + (net (rename un1_psum0_signed_axbZ0Z_57 "un1_psum0_signed_axb_57") (joined + (portRef O (instanceRef un1_psum0_signed_axb_57)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_59)) + )) + (net (rename un1_psum0_signed_axbZ0Z_56 "un1_psum0_signed_axb_56") (joined + (portRef O (instanceRef un1_psum0_signed_axb_56)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_59)) + )) + (net (rename un1_psum0_signed_axbZ0Z_55 "un1_psum0_signed_axb_55") (joined + (portRef O (instanceRef un1_psum0_signed_axb_55)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_55)) + )) + (net (rename un1_psum0_signed_axbZ0Z_54 "un1_psum0_signed_axb_54") (joined + (portRef O (instanceRef un1_psum0_signed_axb_54)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_55)) + )) + (net (rename un1_psum0_signed_axbZ0Z_53 "un1_psum0_signed_axb_53") (joined + (portRef O (instanceRef un1_psum0_signed_axb_53)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_55)) + )) + (net (rename un1_psum0_signed_axbZ0Z_52 "un1_psum0_signed_axb_52") (joined + (portRef O (instanceRef un1_psum0_signed_axb_52)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_55)) + )) + (net (rename un1_psum0_signed_axbZ0Z_51 "un1_psum0_signed_axb_51") (joined + (portRef O (instanceRef un1_psum0_signed_axb_51)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_51)) + )) + (net (rename un1_psum0_signed_axbZ0Z_50 "un1_psum0_signed_axb_50") (joined + (portRef O (instanceRef un1_psum0_signed_axb_50)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_51)) + )) + (net (rename un1_psum0_signed_axbZ0Z_49 "un1_psum0_signed_axb_49") (joined + (portRef O (instanceRef un1_psum0_signed_axb_49)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_51)) + )) + (net (rename un1_psum0_signed_axbZ0Z_48 "un1_psum0_signed_axb_48") (joined + (portRef O (instanceRef un1_psum0_signed_axb_48)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_51)) + )) + (net (rename un1_psum0_signed_axbZ0Z_47 "un1_psum0_signed_axb_47") (joined + (portRef O (instanceRef un1_psum0_signed_axb_47)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_47)) + )) + (net (rename un1_psum0_signed_axbZ0Z_46 "un1_psum0_signed_axb_46") (joined + (portRef O (instanceRef un1_psum0_signed_axb_46)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_47)) + )) + (net (rename un1_psum0_signed_axbZ0Z_45 "un1_psum0_signed_axb_45") (joined + (portRef O (instanceRef un1_psum0_signed_axb_45)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_47)) + )) + (net (rename un1_psum0_signed_axbZ0Z_44 "un1_psum0_signed_axb_44") (joined + (portRef O (instanceRef un1_psum0_signed_axb_44)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_47)) + )) + (net (rename un1_psum0_signed_axbZ0Z_43 "un1_psum0_signed_axb_43") (joined + (portRef O (instanceRef un1_psum0_signed_axb_43)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_43)) + )) + (net (rename un1_psum0_signed_axbZ0Z_42 "un1_psum0_signed_axb_42") (joined + (portRef O (instanceRef un1_psum0_signed_axb_42)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_43)) + )) + (net (rename un1_psum0_signed_axbZ0Z_41 "un1_psum0_signed_axb_41") (joined + (portRef O (instanceRef un1_psum0_signed_axb_41)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_43)) + )) + (net (rename un1_psum0_signed_axbZ0Z_40 "un1_psum0_signed_axb_40") (joined + (portRef O (instanceRef un1_psum0_signed_axb_40)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_43)) + )) + (net (rename un1_psum0_signed_axbZ0Z_39 "un1_psum0_signed_axb_39") (joined + (portRef O (instanceRef un1_psum0_signed_axb_39)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_39)) + )) + (net (rename un1_psum0_signed_axbZ0Z_38 "un1_psum0_signed_axb_38") (joined + (portRef O (instanceRef un1_psum0_signed_axb_38)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_39)) + )) + (net (rename un1_psum0_signed_axbZ0Z_37 "un1_psum0_signed_axb_37") (joined + (portRef O (instanceRef un1_psum0_signed_axb_37)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_39)) + )) + (net (rename un1_psum0_signed_axbZ0Z_36 "un1_psum0_signed_axb_36") (joined + (portRef O (instanceRef un1_psum0_signed_axb_36)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_39)) + )) + (net (rename un1_psum0_signed_axbZ0Z_35 "un1_psum0_signed_axb_35") (joined + (portRef O (instanceRef un1_psum0_signed_axb_35)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_35)) + )) + (net (rename un1_psum0_signed_axbZ0Z_34 "un1_psum0_signed_axb_34") (joined + (portRef O (instanceRef un1_psum0_signed_axb_34)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_35)) + )) + (net (rename un1_psum0_signed_axbZ0Z_33 "un1_psum0_signed_axb_33") (joined + (portRef O (instanceRef un1_psum0_signed_axb_33)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_35)) + )) + (net (rename un1_psum0_signed_axbZ0Z_32 "un1_psum0_signed_axb_32") (joined + (portRef O (instanceRef un1_psum0_signed_axb_32)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_35)) + )) + (net (rename un1_psum0_signed_axbZ0Z_31 "un1_psum0_signed_axb_31") (joined + (portRef O (instanceRef un1_psum0_signed_axb_31)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_31)) + )) + (net (rename un1_psum0_signed_axbZ0Z_30 "un1_psum0_signed_axb_30") (joined + (portRef O (instanceRef un1_psum0_signed_axb_30)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_31)) + )) + (net (rename un1_psum0_signed_axbZ0Z_29 "un1_psum0_signed_axb_29") (joined + (portRef O (instanceRef un1_psum0_signed_axb_29)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_31)) + )) + (net (rename un1_psum0_signed_axbZ0Z_28 "un1_psum0_signed_axb_28") (joined + (portRef O (instanceRef un1_psum0_signed_axb_28)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_31)) + )) + (net (rename un1_psum0_signed_axbZ0Z_27 "un1_psum0_signed_axb_27") (joined + (portRef O (instanceRef un1_psum0_signed_axb_27)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_27)) + )) + (net (rename un1_psum0_signed_axbZ0Z_26 "un1_psum0_signed_axb_26") (joined + (portRef O (instanceRef un1_psum0_signed_axb_26)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_27)) + )) + (net (rename un1_psum0_signed_axbZ0Z_25 "un1_psum0_signed_axb_25") (joined + (portRef O (instanceRef un1_psum0_signed_axb_25)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_27)) + )) + (net (rename un1_psum0_signed_axbZ0Z_24 "un1_psum0_signed_axb_24") (joined + (portRef O (instanceRef un1_psum0_signed_axb_24)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_27)) + )) + (net (rename un1_psum0_signed_axbZ0Z_23 "un1_psum0_signed_axb_23") (joined + (portRef O (instanceRef un1_psum0_signed_axb_23)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_23)) + )) + (net (rename un1_psum0_signed_axbZ0Z_22 "un1_psum0_signed_axb_22") (joined + (portRef O (instanceRef un1_psum0_signed_axb_22)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_23)) + )) + (net (rename un1_psum0_signed_axbZ0Z_21 "un1_psum0_signed_axb_21") (joined + (portRef O (instanceRef un1_psum0_signed_axb_21)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_23)) + )) + (net (rename un1_psum0_signed_20 "un1_psum0_signed(20)") (joined + (portRef O (instanceRef un1_psum0_signed_axb_20)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_23)) + )) + (net psum1_signed_cry_68_sf (joined + (portRef O (instanceRef psum1_signed_s_69_RNO)) + (portRef (member S 3) (instanceRef psum1_signed_s_69)) + )) + (net psum1_signed_cry_67_sf (joined + (portRef O (instanceRef psum1_signed_cry_67_RNO)) + (portRef (member S 0) (instanceRef psum1_signed_cry_67)) + )) + (net (rename A1_product_signed_0_66 "A1_product_signed_0(66)") (joined + (portRef O (instanceRef psum1_signed_cry_66_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_67)) + )) + (net (rename A1_product_signed_0_65 "A1_product_signed_0(65)") (joined + (portRef O (instanceRef psum1_signed_cry_65_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_67)) + )) + (net (rename A1_product_signed_0_64 "A1_product_signed_0(64)") (joined + (portRef O (instanceRef psum1_signed_cry_64_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_67)) + )) + (net (rename A1_product_signed_0_63 "A1_product_signed_0(63)") (joined + (portRef O (instanceRef psum1_signed_cry_63_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_63)) + )) + (net (rename A1_product_signed_0_62 "A1_product_signed_0(62)") (joined + (portRef O (instanceRef psum1_signed_cry_62_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_63)) + )) + (net (rename A1_product_signed_0_61 "A1_product_signed_0(61)") (joined + (portRef O (instanceRef psum1_signed_cry_61_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_63)) + )) + (net (rename A1_product_signed_0_60 "A1_product_signed_0(60)") (joined + (portRef O (instanceRef psum1_signed_cry_60_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_63)) + )) + (net (rename A1_product_signed_0_59 "A1_product_signed_0(59)") (joined + (portRef O (instanceRef psum1_signed_cry_59_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_59)) + )) + (net (rename A1_product_signed_0_58 "A1_product_signed_0(58)") (joined + (portRef O (instanceRef psum1_signed_cry_58_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_59)) + )) + (net (rename A1_product_signed_0_57 "A1_product_signed_0(57)") (joined + (portRef O (instanceRef psum1_signed_cry_57_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_59)) + )) + (net (rename A1_product_signed_0_56 "A1_product_signed_0(56)") (joined + (portRef O (instanceRef psum1_signed_cry_56_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_59)) + )) + (net (rename A1_product_signed_0_55 "A1_product_signed_0(55)") (joined + (portRef O (instanceRef psum1_signed_cry_55_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_55)) + )) + (net (rename A1_product_signed_0_54 "A1_product_signed_0(54)") (joined + (portRef O (instanceRef psum1_signed_cry_54_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_55)) + )) + (net (rename A1_product_signed_0_53 "A1_product_signed_0(53)") (joined + (portRef O (instanceRef psum1_signed_cry_53_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_55)) + )) + (net (rename A1_product_signed_0_52 "A1_product_signed_0(52)") (joined + (portRef O (instanceRef psum1_signed_cry_52_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_55)) + )) + (net (rename A1_product_signed_0_51 "A1_product_signed_0(51)") (joined + (portRef O (instanceRef psum1_signed_cry_51_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_51)) + )) + (net (rename A1_product_signed_0_50 "A1_product_signed_0(50)") (joined + (portRef O (instanceRef psum1_signed_cry_50_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_51)) + )) + (net (rename A1_product_signed_0_49 "A1_product_signed_0(49)") (joined + (portRef O (instanceRef psum1_signed_cry_49_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_51)) + )) + (net (rename A1_product_signed_0_48 "A1_product_signed_0(48)") (joined + (portRef O (instanceRef psum1_signed_cry_48_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_51)) + )) + (net (rename A1_product_signed_0_47 "A1_product_signed_0(47)") (joined + (portRef O (instanceRef psum1_signed_cry_47_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_47)) + )) + (net (rename A1_product_signed_0_46 "A1_product_signed_0(46)") (joined + (portRef O (instanceRef psum1_signed_cry_46_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_47)) + )) + (net (rename A1_product_signed_0_45 "A1_product_signed_0(45)") (joined + (portRef O (instanceRef psum1_signed_cry_45_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_47)) + )) + (net (rename A1_product_signed_1_44 "A1_product_signed_1(44)") (joined + (portRef O (instanceRef psum1_signed_cry_44_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_47)) + )) + (net (rename A1_product_signed_1_43 "A1_product_signed_1(43)") (joined + (portRef O (instanceRef psum1_signed_cry_43_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_43)) + )) + (net (rename A1_product_signed_2_42 "A1_product_signed_2(42)") (joined + (portRef O (instanceRef psum1_signed_cry_42_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_43)) + )) + (net (rename A1_product_signed_2_41 "A1_product_signed_2(41)") (joined + (portRef O (instanceRef psum1_signed_cry_41_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_43)) + )) + (net (rename A1_product_signed_2_40 "A1_product_signed_2(40)") (joined + (portRef O (instanceRef psum1_signed_cry_40_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_43)) + )) + (net (rename A1_product_signed_2_39 "A1_product_signed_2(39)") (joined + (portRef O (instanceRef psum1_signed_cry_39_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_39)) + )) + (net (rename A1_product_signed_2_38 "A1_product_signed_2(38)") (joined + (portRef O (instanceRef psum1_signed_cry_38_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_39)) + )) + (net (rename A1_product_signed_2_37 "A1_product_signed_2(37)") (joined + (portRef O (instanceRef psum1_signed_cry_37_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_39)) + )) + (net (rename A1_product_signed_2_36 "A1_product_signed_2(36)") (joined + (portRef O (instanceRef psum1_signed_cry_36_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_39)) + )) + (net (rename A1_product_signed_2_35 "A1_product_signed_2(35)") (joined + (portRef O (instanceRef psum1_signed_cry_35_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_35)) + )) + (net (rename A1_product_signed_2_34 "A1_product_signed_2(34)") (joined + (portRef O (instanceRef psum1_signed_cry_34_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_35)) + )) + (net (rename A1_product_signed_2_33 "A1_product_signed_2(33)") (joined + (portRef O (instanceRef psum1_signed_cry_33_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_35)) + )) + (net (rename A1_product_signed_2_32 "A1_product_signed_2(32)") (joined + (portRef O (instanceRef psum1_signed_cry_32_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_35)) + )) + (net (rename A1_product_signed_2_31 "A1_product_signed_2(31)") (joined + (portRef O (instanceRef psum1_signed_cry_31_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_31)) + )) + (net (rename A1_product_signed_2_30 "A1_product_signed_2(30)") (joined + (portRef O (instanceRef psum1_signed_cry_30_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_31)) + )) + (net (rename A1_product_signed_2_29 "A1_product_signed_2(29)") (joined + (portRef O (instanceRef psum1_signed_cry_29_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_31)) + )) + (net (rename A1_product_signed_2_28 "A1_product_signed_2(28)") (joined + (portRef O (instanceRef psum1_signed_cry_28_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_31)) + )) + (net (rename A1_product_signed_2_27 "A1_product_signed_2(27)") (joined + (portRef O (instanceRef psum1_signed_cry_27_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_27)) + )) + (net (rename A1_product_signed_2_26 "A1_product_signed_2(26)") (joined + (portRef O (instanceRef psum1_signed_cry_26_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_27)) + )) + (net (rename A1_product_signed_1_25 "A1_product_signed_1(25)") (joined + (portRef O (instanceRef psum1_signed_cry_25_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_27)) + )) + (net (rename A1_product_signed_1_24 "A1_product_signed_1(24)") (joined + (portRef O (instanceRef psum1_signed_cry_24_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_27)) + )) + (net (rename A1_product_signed_1_23 "A1_product_signed_1(23)") (joined + (portRef O (instanceRef psum1_signed_cry_23_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_23)) + )) + (net (rename A1_product_signed_1_22 "A1_product_signed_1(22)") (joined + (portRef O (instanceRef psum1_signed_cry_22_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_23)) + )) + (net (rename A1_product_signed_1_21 "A1_product_signed_1(21)") (joined + (portRef O (instanceRef psum1_signed_cry_21_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_23)) + )) + (net (rename A1_product_signed_1_20 "A1_product_signed_1(20)") (joined + (portRef O (instanceRef psum1_signed_cry_20_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_23)) + )) + (net (rename A1_product_signed_1_19 "A1_product_signed_1(19)") (joined + (portRef O (instanceRef psum1_signed_cry_19_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_19)) + )) + (net (rename A1_product_signed_1_18 "A1_product_signed_1(18)") (joined + (portRef O (instanceRef psum1_signed_cry_18_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_19)) + )) + (net (rename A1_product_signed_1_17 "A1_product_signed_1(17)") (joined + (portRef O (instanceRef psum1_signed_cry_17_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_19)) + )) + (net (rename A1_product_signed_1_16 "A1_product_signed_1(16)") (joined + (portRef O (instanceRef psum1_signed_cry_16_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_19)) + )) + (net (rename A1_product_signed_1_15 "A1_product_signed_1(15)") (joined + (portRef O (instanceRef psum1_signed_cry_15_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_15)) + )) + (net (rename A1_product_signed_1_14 "A1_product_signed_1(14)") (joined + (portRef O (instanceRef psum1_signed_cry_14_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_15)) + )) + (net (rename A1_product_signed_1_13 "A1_product_signed_1(13)") (joined + (portRef O (instanceRef psum1_signed_cry_13_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_15)) + )) + (net (rename A1_product_signed_1_12 "A1_product_signed_1(12)") (joined + (portRef O (instanceRef psum1_signed_cry_12_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_15)) + )) + (net (rename A1_product_signed_1_11 "A1_product_signed_1(11)") (joined + (portRef O (instanceRef psum1_signed_cry_11_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_11)) + )) + (net (rename A1_product_signed_1_10 "A1_product_signed_1(10)") (joined + (portRef O (instanceRef psum1_signed_cry_10_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_11)) + )) + (net (rename A1_product_signed_1_9 "A1_product_signed_1(9)") (joined + (portRef O (instanceRef psum1_signed_cry_9_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_11)) + )) + (net (rename A1_product_signed_1_8 "A1_product_signed_1(8)") (joined + (portRef O (instanceRef psum1_signed_cry_8_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_11)) + )) + (net (rename A1_product_signed_1_7 "A1_product_signed_1(7)") (joined + (portRef O (instanceRef psum1_signed_cry_7_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_7)) + )) + (net (rename A1_product_signed_1_6 "A1_product_signed_1(6)") (joined + (portRef O (instanceRef psum1_signed_cry_6_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_7)) + )) + (net (rename A1_product_signed_1_5 "A1_product_signed_1(5)") (joined + (portRef O (instanceRef psum1_signed_cry_5_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_7)) + )) + (net (rename A1_product_signed_1_4 "A1_product_signed_1(4)") (joined + (portRef O (instanceRef psum1_signed_cry_4_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_7)) + )) + (net (rename A1_product_signed_1_3 "A1_product_signed_1(3)") (joined + (portRef O (instanceRef psum1_signed_cry_3_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_3)) + )) + (net (rename A1_product_signed_1_2 "A1_product_signed_1(2)") (joined + (portRef O (instanceRef psum1_signed_cry_2_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_3)) + )) + (net (rename A1_product_signed_1_1 "A1_product_signed_1(1)") (joined + (portRef O (instanceRef psum1_signed_cry_1_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_3)) + )) + (net (rename A1_product_signed_1_0 "A1_product_signed_1(0)") (joined + (portRef O (instanceRef psum1_signed_cry_0_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_3)) + )) + (net (rename un1_psum0_signed_cryZ0Z_23 "un1_psum0_signed_cry_23") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_23)) + (portRef CI (instanceRef un1_psum0_signed_cry_27)) + )) + (net (rename un1_psum0_signed_21 "un1_psum0_signed(21)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_23)) + (portRef (member un1_psum0_signed 49) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_22 "un1_psum0_signed(22)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_23)) + (portRef (member un1_psum0_signed 48) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_23 "un1_psum0_signed(23)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_23)) + (portRef (member un1_psum0_signed 47) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_cryZ0Z_27 "un1_psum0_signed_cry_27") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_27)) + (portRef CI (instanceRef un1_psum0_signed_cry_31)) + )) + (net (rename un1_psum0_signed_24 "un1_psum0_signed(24)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_27)) + (portRef (member un1_psum0_signed 46) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_25 "un1_psum0_signed(25)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_27)) + (portRef (member un1_psum0_signed 45) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_26 "un1_psum0_signed(26)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_27)) + (portRef (member un1_psum0_signed 44) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_27 "un1_psum0_signed(27)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_27)) + (portRef (member un1_psum0_signed 43) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_cryZ0Z_31 "un1_psum0_signed_cry_31") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_31)) + (portRef CI (instanceRef un1_psum0_signed_cry_35)) + )) + (net (rename un1_psum0_signed_28 "un1_psum0_signed(28)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_31)) + (portRef (member un1_psum0_signed 42) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_29 "un1_psum0_signed(29)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_31)) + (portRef (member un1_psum0_signed 41) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_31)) + (portRef (member un1_psum0_signed 40) (instanceRef OR25)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_0_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_7_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_8_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_2_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_3_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_4_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_10_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_22_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_12_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_19_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_13_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_14_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_15_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_9_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_28_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_35_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_31 "un1_psum0_signed(31)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_31)) + (portRef (member un1_psum0_signed 39) (instanceRef OR25)) + (portRef (member un1_psum0_signed 39) (instanceRef gO_4_0_MUX12)) + (portRef (member un1_psum0_signed 39) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 35) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 39) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_cryZ0Z_35 "un1_psum0_signed_cry_35") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_35)) + (portRef CI (instanceRef un1_psum0_signed_cry_39)) + )) + (net (rename un1_psum0_signed_32 "un1_psum0_signed(32)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_35)) + (portRef (member un1_psum0_signed 38) (instanceRef OR25)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_1_MUX12)) + (portRef (member un1_psum0_signed 38) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 34) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 38) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_33 "un1_psum0_signed(33)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_35)) + (portRef (member un1_psum0_signed 37) (instanceRef OR25)) + (portRef (member un1_psum0_signed 37) (instanceRef gO_4_2_MUX12)) + (portRef (member un1_psum0_signed 37) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 33) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_34 "un1_psum0_signed(34)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_35)) + (portRef (member un1_psum0_signed 36) (instanceRef OR25)) + (portRef (member un1_psum0_signed 36) (instanceRef gO_4_3_MUX12)) + (portRef (member un1_psum0_signed 36) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 32) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_35 "un1_psum0_signed(35)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_35)) + (portRef (member un1_psum0_signed 35) (instanceRef OR25)) + (portRef (member un1_psum0_signed 35) (instanceRef gO_4_4_MUX12)) + (portRef (member un1_psum0_signed 35) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 31) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_cryZ0Z_39 "un1_psum0_signed_cry_39") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_39)) + (portRef CI (instanceRef un1_psum0_signed_cry_43)) + )) + (net (rename un1_psum0_signed_36 "un1_psum0_signed(36)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_39)) + (portRef (member un1_psum0_signed 34) (instanceRef OR25)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_5_MUX12)) + (portRef (member un1_psum0_signed 34) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 30) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 34) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_37 "un1_psum0_signed(37)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_39)) + (portRef (member un1_psum0_signed 33) (instanceRef OR25)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_6_MUX12)) + (portRef (member un1_psum0_signed 33) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 29) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 33) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_38 "un1_psum0_signed(38)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_39)) + (portRef (member un1_psum0_signed 32) (instanceRef OR25)) + (portRef (member un1_psum0_signed 32) (instanceRef gO_4_7_MUX12)) + (portRef (member un1_psum0_signed 32) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 28) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_39 "un1_psum0_signed(39)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_39)) + (portRef (member un1_psum0_signed 31) (instanceRef gO_4_8_MUX12)) + (portRef (member un1_psum0_signed 26) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 27) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_cryZ0Z_43 "un1_psum0_signed_cry_43") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_43)) + (portRef CI (instanceRef un1_psum0_signed_cry_47)) + )) + (net (rename un1_psum0_signed_40 "un1_psum0_signed(40)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_43)) + (portRef (member un1_psum0_signed 30) (instanceRef gO_4_9_MUX12)) + (portRef (member un1_psum0_signed 25) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 26) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_41 "un1_psum0_signed(41)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_43)) + (portRef (member un1_psum0_signed 29) (instanceRef gO_4_10_MUX12)) + (portRef (member un1_psum0_signed 24) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 25) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_42 "un1_psum0_signed(42)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_43)) + (portRef (member un1_psum0_signed 22) (instanceRef gO_4_11_MUX12)) + (portRef (member un1_psum0_signed 23) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 24) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_43 "un1_psum0_signed(43)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_43)) + (portRef (member un1_psum0_signed 27) (instanceRef gO_4_12_MUX12)) + (portRef (member un1_psum0_signed 22) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 23) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_cryZ0Z_47 "un1_psum0_signed_cry_47") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_47)) + (portRef CI (instanceRef un1_psum0_signed_cry_51)) + )) + (net (rename un1_psum0_signed_44 "un1_psum0_signed(44)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_47)) + (portRef (member un1_psum0_signed 26) (instanceRef gO_4_13_MUX12)) + (portRef (member un1_psum0_signed 21) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 22) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_45 "un1_psum0_signed(45)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_47)) + (portRef (member un1_psum0_signed 25) (instanceRef gO_4_14_MUX12)) + (portRef (member un1_psum0_signed 20) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 21) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_46 "un1_psum0_signed(46)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_47)) + (portRef (member un1_psum0_signed 24) (instanceRef gO_4_15_MUX12)) + (portRef (member un1_psum0_signed 19) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 20) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_47 "un1_psum0_signed(47)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_47)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_16_MUX12)) + (portRef (member un1_psum0_signed 18) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 19) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 23) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_cryZ0Z_51 "un1_psum0_signed_cry_51") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_51)) + (portRef CI (instanceRef un1_psum0_signed_cry_55)) + )) + (net (rename un1_psum0_signed_48 "un1_psum0_signed(48)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_51)) + (portRef (member un1_psum0_signed 22) (instanceRef OR25)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_17_MUX12)) + (portRef (member un1_psum0_signed 17) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 18) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 22) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_49 "un1_psum0_signed(49)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_51)) + (portRef (member un1_psum0_signed 21) (instanceRef OR25)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_18_MUX12)) + (portRef (member un1_psum0_signed 21) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 17) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 21) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_50 "un1_psum0_signed(50)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_51)) + (portRef (member un1_psum0_signed 20) (instanceRef OR25)) + (portRef (member un1_psum0_signed 20) (instanceRef gO_4_19_MUX12)) + (portRef (member un1_psum0_signed 20) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 16) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_51 "un1_psum0_signed(51)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_51)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_20_MUX12)) + (portRef (member un1_psum0_signed 19) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 14) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 15) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 19) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_cryZ0Z_55 "un1_psum0_signed_cry_55") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_55)) + (portRef CI (instanceRef un1_psum0_signed_cry_59)) + )) + (net (rename un1_psum0_signed_52 "un1_psum0_signed(52)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_55)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_21_MUX12)) + (portRef (member un1_psum0_signed 18) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 13) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 14) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 18) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_53 "un1_psum0_signed(53)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_55)) + (portRef (member un1_psum0_signed 17) (instanceRef gO_4_22_MUX12)) + (portRef (member un1_psum0_signed 17) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 12) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 13) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_54 "un1_psum0_signed(54)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_55)) + (portRef (member un1_psum0_signed 10) (instanceRef gO_4_11_MUX12)) + (portRef (member un1_psum0_signed 16) (instanceRef gO_4_34_MUX12)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_23_MUX12)) + (portRef (member un1_psum0_signed 11) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 12) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_55 "un1_psum0_signed(55)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_55)) + (portRef (member un1_psum0_signed 9) (instanceRef gO_4_11_MUX12)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_24_MUX12)) + (portRef (member un1_psum0_signed 15) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 10) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 11) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_cryZ0Z_59 "un1_psum0_signed_cry_59") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_59)) + (portRef CI (instanceRef un1_psum0_signed_cry_63)) + )) + (net (rename un1_psum0_signed_56 "un1_psum0_signed(56)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_59)) + (portRef (member un1_psum0_signed 8) (instanceRef gO_4_11_MUX12)) + (portRef (member un1_psum0_signed 14) (instanceRef gO_4_34_MUX12)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_25_MUX12)) + (portRef (member un1_psum0_signed 9) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 10) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_57 "un1_psum0_signed(57)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_59)) + (portRef (member un1_psum0_signed 13) (instanceRef OR25)) + (portRef (member un1_psum0_signed 7) (instanceRef gO_4_11_MUX12)) + (portRef (member un1_psum0_signed 13) (instanceRef gO_4_34_MUX12)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_26_MUX12)) + (portRef (member un1_psum0_signed 9) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_59 "un1_psum0_signed(59)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_59)) + (portRef (member un1_psum0_signed 11) (instanceRef OR25)) + (portRef (member un1_psum0_signed 11) (instanceRef gO_4_28_MUX12)) + (portRef (member un1_psum0_signed 11) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 7) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_cryZ0Z_63 "un1_psum0_signed_cry_63") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_63)) + (portRef CI (instanceRef un1_psum0_signed_cry_67)) + )) + (net (rename un1_psum0_signed_63 "un1_psum0_signed(63)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_63)) + (portRef (member un1_psum0_signed 7) (instanceRef OR25)) + (portRef (member un1_psum0_signed 1) (instanceRef gO_4_11_MUX12)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_32_MUX12)) + (portRef (member un1_psum0_signed 2) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 3) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_cryZ0Z_67 "un1_psum0_signed_cry_67") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_67)) + (portRef CI (instanceRef un1_psum0_signed_s_70)) + )) + (net (rename un1_psum0_signed_64 "un1_psum0_signed(64)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_67)) + (portRef (member un1_psum0_signed 6) (instanceRef OR25)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_11_MUX12)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_33_MUX12)) + (portRef (member un1_psum0_signed 1) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 2) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_65 "un1_psum0_signed(65)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_67)) + (portRef (member un1_psum0_signed 5) (instanceRef OR25)) + (portRef (member un1_psum0_signed 5) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 1) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 5) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_66 "un1_psum0_signed(66)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_67)) + (portRef (member un1_psum0_signed 4) (instanceRef OR25)) + (portRef (member un1_psum0_signed 4) (instanceRef AN24)) + (portRef (member un1_psum0_signed 4) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_67 "un1_psum0_signed(67)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_67)) + (portRef (member un1_psum0_signed 3) (instanceRef OR25)) + (portRef (member un1_psum0_signed 3) (instanceRef AN24)) + (portRef (member un1_psum0_signed 3) (instanceRef gO_4_34_MUX12)) + )) + (net (rename un1_psum0_signed_68 "un1_psum0_signed(68)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_s_70)) + (portRef (member un1_psum0_signed 2) (instanceRef OR25)) + (portRef (member un1_psum0_signed 2) (instanceRef AN24)) + (portRef (member un1_psum0_signed 2) (instanceRef gO_4_34_MUX12)) + )) + (net (rename un1_psum0_signed_69 "un1_psum0_signed(69)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_s_70)) + (portRef (member un1_psum0_signed 1) (instanceRef OR25)) + (portRef (member un1_psum0_signed 1) (instanceRef AN24)) + (portRef (member un1_psum0_signed 1) (instanceRef gO_4_34_MUX12)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_s_70)) + (portRef (member un1_psum0_signed 0) (instanceRef OR25)) + (portRef (member un1_psum0_signed 0) (instanceRef AN24)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_0_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_7_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_8_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_2_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_3_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_4_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_10_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_22_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_12_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_19_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_13_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_14_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_15_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_9_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_28_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_35_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_signed_cryZ0Z_67 "psum1_signed_cry_67") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_67)) + (portRef CI (instanceRef psum1_signed_s_69)) + )) + (net (rename feedback_inc_data_1 "feedback_inc_data(1)") (joined + (portRef (member feedback_inc_data 34) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_1_MUX12)) + (portRef (member feedback_inc_data 34) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_5 "feedback_inc_data(5)") (joined + (portRef (member feedback_inc_data 30) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_5_MUX12)) + (portRef (member feedback_inc_data 30) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_6 "feedback_inc_data(6)") (joined + (portRef (member feedback_inc_data 29) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_6_MUX12)) + (portRef (member feedback_inc_data 29) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_16 "feedback_inc_data(16)") (joined + (portRef (member feedback_inc_data 19) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_16_MUX12)) + (portRef (member feedback_inc_data 19) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_17 "feedback_inc_data(17)") (joined + (portRef (member feedback_inc_data 18) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_17_MUX12)) + (portRef (member feedback_inc_data 18) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_18 "feedback_inc_data(18)") (joined + (portRef (member feedback_inc_data 17) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_18_MUX12)) + (portRef (member feedback_inc_data 17) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_20 "feedback_inc_data(20)") (joined + (portRef (member feedback_inc_data 15) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_20_MUX12)) + (portRef (member feedback_inc_data 15) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_21 "feedback_inc_data(21)") (joined + (portRef (member feedback_inc_data 14) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_21_MUX12)) + (portRef (member feedback_inc_data 14) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_29 "feedback_inc_data(29)") (joined + (portRef (member feedback_inc_data 6) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_29_MUX12)) + (portRef (member feedback_inc_data 6) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_31 "feedback_inc_data(31)") (joined + (portRef (member feedback_inc_data 4) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_31_MUX12)) + (portRef (member feedback_inc_data 4) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_34 "feedback_inc_data(34)") (joined + (portRef (member feedback_inc_data 1) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_34_MUX12)) + (portRef (member feedback_inc_data 1) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_35 "feedback_inc_data(35)") (joined + (portRef (member feedback_inc_data 0) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_35_MUX12)) + (portRef (member feedback_inc_data 0) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg (instanceRef AN24)) + (portRef feedback_to_big_neg (instanceRef gO_4_11_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_18_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_24_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_31_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_5_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_6_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_1_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_17_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_29_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_20_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_21_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_16_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_27_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_34_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_26_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_33_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_25_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_32_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_23_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_30_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_2_gO_2cN_AN8)) + )) + (net N_186 (joined + (portRef N_186 (instanceRef gO_4_34_MUX12)) + (portRef N_186 (instanceRef gO_4_11_MUX12)) + (portRef N_186 (instanceRef gO_4_18_MUX12)) + (portRef N_186 (instanceRef gO_4_24_MUX12)) + (portRef N_186 (instanceRef gO_4_31_MUX12)) + (portRef N_186 (instanceRef gO_4_5_MUX12)) + (portRef N_186 (instanceRef gO_4_6_MUX12)) + (portRef N_186 (instanceRef gO_4_1_MUX12)) + (portRef N_186 (instanceRef gO_4_17_MUX12)) + (portRef N_186 (instanceRef gO_4_29_MUX12)) + (portRef N_186 (instanceRef gO_4_20_MUX12)) + (portRef N_186 (instanceRef gO_4_21_MUX12)) + (portRef N_186 (instanceRef gO_4_16_MUX12)) + (portRef N_186 (instanceRef gO_4_27_MUX12)) + (portRef N_186 (instanceRef gO_4_26_MUX12)) + (portRef N_186 (instanceRef gO_4_33_MUX12)) + (portRef N_186 (instanceRef gO_4_25_MUX12)) + (portRef N_186 (instanceRef gO_4_32_MUX12)) + (portRef N_186 (instanceRef gO_4_23_MUX12)) + (portRef N_186 (instanceRef gO_4_30_MUX12)) + (portRef N_186 (instanceRef gO_2_gO_2cN_AN8)) + )) + (net N_218 (joined + (portRef N_218 (instanceRef gO_4_34_MUX12)) + (portRef N_218 (instanceRef gO_4_11_MUX12)) + (portRef N_218 (instanceRef gO_4_18_MUX12)) + (portRef N_218 (instanceRef gO_4_24_MUX12)) + (portRef N_218 (instanceRef gO_4_31_MUX12)) + (portRef N_218 (instanceRef gO_4_5_MUX12)) + (portRef N_218 (instanceRef gO_4_6_MUX12)) + (portRef N_218 (instanceRef gO_4_1_MUX12)) + (portRef N_218 (instanceRef gO_4_17_MUX12)) + (portRef N_218 (instanceRef gO_4_29_MUX12)) + (portRef N_218 (instanceRef gO_4_20_MUX12)) + (portRef N_218 (instanceRef gO_4_21_MUX12)) + (portRef N_218 (instanceRef gO_4_16_MUX12)) + (portRef N_218 (instanceRef gO_4_27_MUX12)) + (portRef N_218 (instanceRef gO_4_26_MUX12)) + (portRef N_218 (instanceRef gO_4_33_MUX12)) + (portRef N_218 (instanceRef gO_4_25_MUX12)) + (portRef N_218 (instanceRef gO_4_32_MUX12)) + (portRef N_218 (instanceRef gO_4_23_MUX12)) + (portRef N_218 (instanceRef gO_4_30_MUX12)) + (portRef N_218 (instanceRef gO_2_gO_2cN_AN8)) + )) + (net Z_i_0_o3_28 (joined + (portRef Z_i_0_o3_28 (instanceRef gO_2_gO_2cN_AN8)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_0_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_7_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_8_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_2_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_3_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_4_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_10_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_22_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_12_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_19_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_13_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_14_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_15_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_9_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_28_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_35_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_34_MUX12)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big (instanceRef OR25)) + (portRef feedback_to_big (instanceRef gO_4_0_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_7_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_8_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_2_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_3_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_4_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_10_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_22_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_12_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_19_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_13_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_14_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_15_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_9_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_28_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_35_MUX12)) + (portRef feedback_to_big (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_2 "feedback_inc_data(2)") (joined + (portRef (member feedback_inc_data 33) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_2_MUX12)) + )) + (net (rename feedback_inc_data_3 "feedback_inc_data(3)") (joined + (portRef (member feedback_inc_data 32) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_3_MUX12)) + )) + (net (rename feedback_inc_data_4 "feedback_inc_data(4)") (joined + (portRef (member feedback_inc_data 31) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_4_MUX12)) + )) + (net (rename feedback_inc_data_7 "feedback_inc_data(7)") (joined + (portRef (member feedback_inc_data 28) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_7_MUX12)) + )) + (net (rename feedback_inc_data_8 "feedback_inc_data(8)") (joined + (portRef (member feedback_inc_data 27) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_8_MUX12)) + )) + (net (rename feedback_inc_data_9 "feedback_inc_data(9)") (joined + (portRef (member feedback_inc_data 26) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_9_MUX12)) + )) + (net (rename feedback_inc_data_10 "feedback_inc_data(10)") (joined + (portRef (member feedback_inc_data 25) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_10_MUX12)) + )) + (net (rename feedback_inc_data_11 "feedback_inc_data(11)") (joined + (portRef (member feedback_inc_data 24) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member feedback_inc_data 22) (instanceRef gO_4_11_MUX12)) + )) + (net (rename feedback_inc_data_12 "feedback_inc_data(12)") (joined + (portRef (member feedback_inc_data 23) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_12_MUX12)) + )) + (net (rename feedback_inc_data_13 "feedback_inc_data(13)") (joined + (portRef (member feedback_inc_data 22) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_13_MUX12)) + )) + (net (rename feedback_inc_data_14 "feedback_inc_data(14)") (joined + (portRef (member feedback_inc_data 21) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_14_MUX12)) + )) + (net (rename feedback_inc_data_15 "feedback_inc_data(15)") (joined + (portRef (member feedback_inc_data 20) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_15_MUX12)) + )) + (net (rename feedback_inc_data_19 "feedback_inc_data(19)") (joined + (portRef (member feedback_inc_data 16) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_19_MUX12)) + )) + (net (rename feedback_inc_data_22 "feedback_inc_data(22)") (joined + (portRef (member feedback_inc_data 13) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_22_MUX12)) + )) + (net (rename feedback_inc_data_23 "feedback_inc_data(23)") (joined + (portRef (member feedback_inc_data 12) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member feedback_inc_data 10) (instanceRef gO_4_11_MUX12)) + (portRef feedback_inc_data_0 (instanceRef gO_4_23_MUX12)) + )) + (net (rename feedback_inc_data_24 "feedback_inc_data(24)") (joined + (portRef (member feedback_inc_data 11) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member feedback_inc_data 9) (instanceRef gO_4_11_MUX12)) + (portRef feedback_inc_data_0 (instanceRef gO_4_24_MUX12)) + )) + (net (rename feedback_inc_data_25 "feedback_inc_data(25)") (joined + (portRef (member feedback_inc_data 10) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member feedback_inc_data 8) (instanceRef gO_4_11_MUX12)) + (portRef feedback_inc_data_0 (instanceRef gO_4_25_MUX12)) + )) + (net (rename feedback_inc_data_26 "feedback_inc_data(26)") (joined + (portRef (member feedback_inc_data 9) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member feedback_inc_data 7) (instanceRef gO_4_11_MUX12)) + (portRef feedback_inc_data_0 (instanceRef gO_4_26_MUX12)) + )) + (net (rename feedback_inc_data_27 "feedback_inc_data(27)") (joined + (portRef (member feedback_inc_data 8) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member feedback_inc_data 6) (instanceRef gO_4_11_MUX12)) + (portRef feedback_inc_data_0 (instanceRef gO_4_27_MUX12)) + )) + (net (rename feedback_inc_data_28 "feedback_inc_data(28)") (joined + (portRef (member feedback_inc_data 7) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_28_MUX12)) + )) + (net (rename feedback_inc_data_30 "feedback_inc_data(30)") (joined + (portRef (member feedback_inc_data 5) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member feedback_inc_data 3) (instanceRef gO_4_11_MUX12)) + (portRef feedback_inc_data_0 (instanceRef gO_4_30_MUX12)) + )) + (net (rename feedback_inc_data_32 "feedback_inc_data(32)") (joined + (portRef (member feedback_inc_data 3) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member feedback_inc_data 1) (instanceRef gO_4_11_MUX12)) + (portRef feedback_inc_data_0 (instanceRef gO_4_32_MUX12)) + )) + (net (rename feedback_inc_data_33 "feedback_inc_data(33)") (joined + (portRef (member feedback_inc_data 2) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member feedback_inc_data 0) (instanceRef gO_4_11_MUX12)) + (portRef feedback_inc_data_0 (instanceRef gO_4_33_MUX12)) + )) + (net Z_32_0 (joined + (portRef Z_32_0 (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef Z_32_0 (instanceRef OR25)) + )) + (net Z_33_2 (joined + (portRef Z_33_2 (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef Z_33_2 (instanceRef OR25)) + )) + (net Z_32_1 (joined + (portRef Z_32_1 (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef Z_32_1 (instanceRef OR25)) + )) + (net Z_32 (joined + (portRef Z_32 (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef Z_32 (instanceRef gO_4_34_MUX12)) + )) + ) + (property langParams (string "data_in_width data_out_width frac_data_out_width feedback_width max_coef_width frac_coef_width saturation_mode out_reg")) + (property orig_inst_of (string "DW_iir_dc")) + (property out_reg (integer 1)) + (property saturation_mode (integer 0)) + (property frac_coef_width (integer 31)) + (property max_coef_width (integer 32)) + (property feedback_width (integer 36)) + (property frac_data_out_width (integer 20)) + (property data_out_width (integer 36)) + (property data_in_width (integer 16)) + ) + ) + (cell (rename dw03_dw_iir_dc_mult_16_36_20_31_0_1_1_data_in_wgrpmbc_widthfrac_coef_widthsaturation_modeout_reg_5_1 "dw03_dw_iir_dc_mult_16_36_20_31_0_1_1_data_in_widthdata_out_widthfrac_data_out_widthfeedback_widthmax_coef_widthfrac_coef_widthsaturation_modeout_reg_5_1") (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename b3_re "b3_re(31:0)") 32) (direction INPUT)) + (port (array (rename gated_in_data "gated_in_data(15:0)") 16) (direction INPUT)) + (port (array (rename a3_re "a3_re(31:0)") 32) (direction INPUT)) + (port (array (rename dout_3 "dout_3(35:0)") 36) (direction OUTPUT)) + (port rstn (direction INPUT)) + (port en (direction INPUT)) + (port clk (direction INPUT)) + (port rstn_i (direction INPUT)) + (port saturation_3 (direction OUTPUT)) + ) + (contents + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_41 "PSUM2AND1_REGS.psum1_saved_3_lut[41]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_42 "PSUM2AND1_REGS.psum1_saved_3_lut[42]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_38 "PSUM2AND1_REGS.psum1_saved_3_lut[38]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_39 "PSUM2AND1_REGS.psum1_saved_3_lut[39]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_40 "PSUM2AND1_REGS.psum1_saved_3_lut[40]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_37 "PSUM2AND1_REGS.psum1_saved_3_lut[37]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_34 "PSUM2AND1_REGS.psum1_saved_3_lut[34]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_33 "PSUM2AND1_REGS.psum1_saved_3_lut[33]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_36 "PSUM2AND1_REGS.psum1_saved_3_lut[36]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_35 "PSUM2AND1_REGS.psum1_saved_3_lut[35]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_32 "PSUM2AND1_REGS.psum1_saved_3_lut[32]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_29 "PSUM2AND1_REGS.psum1_saved_3_lut[29]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_31 "PSUM2AND1_REGS.psum1_saved_3_lut[31]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_30 "PSUM2AND1_REGS.psum1_saved_3_lut[30]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_25 "PSUM2AND1_REGS.psum1_saved_3_lut[25]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_26 "PSUM2AND1_REGS.psum1_saved_3_lut[26]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_28 "PSUM2AND1_REGS.psum1_saved_3_lut[28]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_27 "PSUM2AND1_REGS.psum1_saved_3_lut[27]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_24 "PSUM2AND1_REGS.psum1_saved_3_lut[24]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_23 "PSUM2AND1_REGS.psum1_saved_3_lut[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_22 "PSUM2AND1_REGS.psum1_saved_3_lut[22]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_21 "PSUM2AND1_REGS.psum1_saved_3_lut[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_17 "PSUM2AND1_REGS.psum1_saved_3_lut[17]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_20 "PSUM2AND1_REGS.psum1_saved_3_lut[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_18 "PSUM2AND1_REGS.psum1_saved_3_lut[18]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_19 "PSUM2AND1_REGS.psum1_saved_3_lut[19]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_13 "PSUM2AND1_REGS.psum1_saved_3_lut[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_14 "PSUM2AND1_REGS.psum1_saved_3_lut[14]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_15 "PSUM2AND1_REGS.psum1_saved_3_lut[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_16 "PSUM2AND1_REGS.psum1_saved_3_lut[16]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_12 "PSUM2AND1_REGS.psum1_saved_3_lut[12]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_9 "PSUM2AND1_REGS.psum1_saved_3_lut[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_10 "PSUM2AND1_REGS.psum1_saved_3_lut[10]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_11 "PSUM2AND1_REGS.psum1_saved_3_lut[11]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_5 "PSUM2AND1_REGS.psum1_saved_3_lut[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_6 "PSUM2AND1_REGS.psum1_saved_3_lut[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_7 "PSUM2AND1_REGS.psum1_saved_3_lut[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_8 "PSUM2AND1_REGS.psum1_saved_3_lut[8]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_4 "PSUM2AND1_REGS.psum1_saved_3_lut[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_1 "PSUM2AND1_REGS.psum1_saved_3_lut[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_3 "PSUM2AND1_REGS.psum1_saved_3_lut[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_2 "PSUM2AND1_REGS.psum1_saved_3_lut[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_65 "PSUM2AND1_REGS.psum1_saved_3[65]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'h28")) + ) + (instance (rename A1_product_signedAdd_0_42_0 "A1_product_signedAdd_0[42:0]") (viewRef PRIM (cellRef DSP48E2 (libraryRef VIRTEXR))) + (property ADREG (integer 0)) + (property BMULTSEL (string "B")) + (property PREADDINSEL (string "A")) + (property AMULTSEL (string "A")) + (property INMODEREG (integer 0)) + (property DREG (integer 0)) + (property AUTORESET_PATDET (string "NO_RESET")) + (property USE_SIMD (string "ONE48")) + (property A_INPUT (string "CASCADE")) + (property B_INPUT (string "DIRECT")) + (property ALUMODEREG (integer 0)) + (property CARRYINREG (integer 0)) + (property CARRYINSELREG (integer 0)) + (property OPMODEREG (integer 0)) + (property MREG (integer 0)) + (property PREG (integer 0)) + (property CREG (integer 0)) + (property BCASCREG (integer 0)) + (property BREG (integer 0)) + (property ACASCREG (integer 0)) + (property AREG (integer 0)) + (property USE_MULT (string "MULTIPLY")) + ) + (instance (rename A1_product_signedAdd_1_34_0 "A1_product_signedAdd_1[34:0]") (viewRef PRIM (cellRef DSP48E2 (libraryRef VIRTEXR))) + (property ADREG (integer 0)) + (property BMULTSEL (string "B")) + (property PREADDINSEL (string "A")) + (property AMULTSEL (string "A")) + (property INMODEREG (integer 0)) + (property DREG (integer 0)) + (property AUTORESET_PATDET (string "NO_RESET")) + (property USE_SIMD (string "ONE48")) + (property A_INPUT (string "DIRECT")) + (property B_INPUT (string "DIRECT")) + (property ALUMODEREG (integer 0)) + (property CARRYINREG (integer 0)) + (property CARRYINSELREG (integer 0)) + (property OPMODEREG (integer 0)) + (property MREG (integer 0)) + (property PREG (integer 0)) + (property CREG (integer 0)) + (property BCASCREG (integer 0)) + (property BREG (integer 0)) + (property ACASCREG (integer 0)) + (property AREG (integer 0)) + (property USE_MULT (string "MULTIPLY")) + ) + (instance (rename B0_product_signedAdd_0_30_0 "B0_product_signedAdd_0[30:0]") (viewRef PRIM (cellRef DSP48E2 (libraryRef VIRTEXR))) + (property ADREG (integer 0)) + (property BMULTSEL (string "B")) + (property PREADDINSEL (string "A")) + (property AMULTSEL (string "A")) + (property INMODEREG (integer 0)) + (property DREG (integer 0)) + (property AUTORESET_PATDET (string "NO_RESET")) + (property USE_SIMD (string "ONE48")) + (property A_INPUT (string "DIRECT")) + (property B_INPUT (string "DIRECT")) + (property ALUMODEREG (integer 0)) + (property CARRYINREG (integer 0)) + (property CARRYINSELREG (integer 0)) + (property OPMODEREG (integer 0)) + (property MREG (integer 0)) + (property PREG (integer 0)) + (property CREG (integer 0)) + (property BCASCREG (integer 0)) + (property BREG (integer 0)) + (property ACASCREG (integer 0)) + (property AREG (integer 0)) + (property USE_MULT (string "MULTIPLY")) + ) + (instance (rename A1_product_signedAdd_2_24_0 "A1_product_signedAdd_2[24:0]") (viewRef PRIM (cellRef DSP48E2 (libraryRef VIRTEXR))) + (property ADREG (integer 0)) + (property BMULTSEL (string "B")) + (property PREADDINSEL (string "A")) + (property AMULTSEL (string "A")) + (property INMODEREG (integer 0)) + (property DREG (integer 0)) + (property AUTORESET_PATDET (string "NO_RESET")) + (property USE_SIMD (string "ONE48")) + (property A_INPUT (string "DIRECT")) + (property B_INPUT (string "DIRECT")) + (property ALUMODEREG (integer 0)) + (property CARRYINREG (integer 0)) + (property CARRYINSELREG (integer 0)) + (property OPMODEREG (integer 0)) + (property MREG (integer 0)) + (property PREG (integer 0)) + (property CREG (integer 0)) + (property BCASCREG (integer 0)) + (property BREG (integer 0)) + (property ACASCREG (integer 0)) + (property AREG (integer 0)) + (property USE_MULT (string "MULTIPLY")) + ) + (instance (rename A1_product_signed_0_44_0 "A1_product_signed_0[44:0]") (viewRef PRIM (cellRef DSP48E2 (libraryRef VIRTEXR))) + (property ADREG (integer 0)) + (property BMULTSEL (string "B")) + (property PREADDINSEL (string "A")) + (property AMULTSEL (string "A")) + (property INMODEREG (integer 0)) + (property DREG (integer 0)) + (property AUTORESET_PATDET (string "NO_RESET")) + (property USE_SIMD (string "ONE48")) + (property A_INPUT (string "DIRECT")) + (property B_INPUT (string "DIRECT")) + (property ALUMODEREG (integer 0)) + (property CARRYINREG (integer 0)) + (property CARRYINSELREG (integer 0)) + (property OPMODEREG (integer 0)) + (property MREG (integer 0)) + (property PREG (integer 0)) + (property CREG (integer 0)) + (property BCASCREG (integer 0)) + (property BREG (integer 0)) + (property ACASCREG (integer 0)) + (property AREG (integer 0)) + (property USE_MULT (string "MULTIPLY")) + ) + (instance (rename B0_product_signed_0_33_0 "B0_product_signed_0[33:0]") (viewRef PRIM (cellRef DSP48E2 (libraryRef VIRTEXR))) + (property ADREG (integer 0)) + (property BMULTSEL (string "B")) + (property PREADDINSEL (string "A")) + (property AMULTSEL (string "A")) + (property INMODEREG (integer 0)) + (property DREG (integer 0)) + (property AUTORESET_PATDET (string "NO_RESET")) + (property USE_SIMD (string "ONE48")) + (property A_INPUT (string "DIRECT")) + (property B_INPUT (string "DIRECT")) + (property ALUMODEREG (integer 0)) + (property CARRYINREG (integer 0)) + (property CARRYINSELREG (integer 0)) + (property OPMODEREG (integer 0)) + (property MREG (integer 0)) + (property PREG (integer 0)) + (property CREG (integer 0)) + (property BCASCREG (integer 0)) + (property BREG (integer 0)) + (property ACASCREG (integer 0)) + (property AREG (integer 0)) + (property USE_MULT (string "MULTIPLY")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_69 "PSUM2AND1_REGS.psum1_saved_3[69]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_68 "PSUM2AND1_REGS.psum1_saved_3[68]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_67 "PSUM2AND1_REGS.psum1_saved_3[67]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_66 "PSUM2AND1_REGS.psum1_saved_3[66]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_64 "PSUM2AND1_REGS.psum1_saved_3[64]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_63 "PSUM2AND1_REGS.psum1_saved_3[63]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_62 "PSUM2AND1_REGS.psum1_saved_3[62]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_61 "PSUM2AND1_REGS.psum1_saved_3[61]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_60 "PSUM2AND1_REGS.psum1_saved_3[60]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_59 "PSUM2AND1_REGS.psum1_saved_3[59]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_58 "PSUM2AND1_REGS.psum1_saved_3[58]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_57 "PSUM2AND1_REGS.psum1_saved_3[57]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_56 "PSUM2AND1_REGS.psum1_saved_3[56]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_55 "PSUM2AND1_REGS.psum1_saved_3[55]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_54 "PSUM2AND1_REGS.psum1_saved_3[54]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_53 "PSUM2AND1_REGS.psum1_saved_3[53]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_52 "PSUM2AND1_REGS.psum1_saved_3[52]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_51 "PSUM2AND1_REGS.psum1_saved_3[51]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_50 "PSUM2AND1_REGS.psum1_saved_3[50]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_49 "PSUM2AND1_REGS.psum1_saved_3[49]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_48 "PSUM2AND1_REGS.psum1_saved_3[48]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_47 "PSUM2AND1_REGS.psum1_saved_3[47]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_46 "PSUM2AND1_REGS.psum1_saved_3[46]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_45 "PSUM2AND1_REGS.psum1_saved_3[45]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_44 "PSUM2AND1_REGS.psum1_saved_3[44]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_43 "PSUM2AND1_REGS.psum1_saved_3[43]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_0 "PSUM2AND1_REGS.psum1_saved_3[0]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_un1_enable "PSUM2AND1_REGS.un1_enable") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'hB")) + ) + (instance un1_psum0_signed_axb_70 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_cry_59_RNIL9LV (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h8000")) + ) + (instance psum1_signed_s_43_thru (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_44_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_45_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_46_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_47_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_48_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_49_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_50_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_51_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_52_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_53_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_54_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_55_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_56_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_57_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_58_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_59_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_60_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_61_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_62_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_63_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_64_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_65_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_66_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_69_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance (rename psum1_saved_0 "psum1_saved[0]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_1 "psum1_saved[1]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_2 "psum1_saved[2]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_3 "psum1_saved[3]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_4 "psum1_saved[4]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_5 "psum1_saved[5]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_6 "psum1_saved[6]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_7 "psum1_saved[7]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_8 "psum1_saved[8]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_9 "psum1_saved[9]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_10 "psum1_saved[10]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_11 "psum1_saved[11]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_12 "psum1_saved[12]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_13 "psum1_saved[13]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_14 "psum1_saved[14]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_15 "psum1_saved[15]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_16 "psum1_saved[16]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_17 "psum1_saved[17]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_18 "psum1_saved[18]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_19 "psum1_saved[19]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_20 "psum1_saved[20]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_21 "psum1_saved[21]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_22 "psum1_saved[22]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_23 "psum1_saved[23]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_24 "psum1_saved[24]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_25 "psum1_saved[25]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_26 "psum1_saved[26]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_27 "psum1_saved[27]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_28 "psum1_saved[28]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_29 "psum1_saved[29]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_30 "psum1_saved[30]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_31 "psum1_saved[31]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_32 "psum1_saved[32]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_33 "psum1_saved[33]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_34 "psum1_saved[34]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_35 "psum1_saved[35]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_36 "psum1_saved[36]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_37 "psum1_saved[37]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_38 "psum1_saved[38]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_39 "psum1_saved[39]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_40 "psum1_saved[40]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_41 "psum1_saved[41]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_42 "psum1_saved[42]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_43 "psum1_saved[43]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_44 "psum1_saved[44]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_45 "psum1_saved[45]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_46 "psum1_saved[46]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_47 "psum1_saved[47]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_48 "psum1_saved[48]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_49 "psum1_saved[49]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_50 "psum1_saved[50]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_51 "psum1_saved[51]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_52 "psum1_saved[52]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_53 "psum1_saved[53]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_54 "psum1_saved[54]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_55 "psum1_saved[55]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_56 "psum1_saved[56]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_57 "psum1_saved[57]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_58 "psum1_saved[58]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_59 "psum1_saved[59]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_60 "psum1_saved[60]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_61 "psum1_saved[61]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_62 "psum1_saved[62]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_63 "psum1_saved[63]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_64 "psum1_saved[64]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_65 "psum1_saved[65]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_66 "psum1_saved[66]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_67 "psum1_saved[67]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_68 "psum1_saved[68]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_69 "psum1_saved[69]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance saturation_final (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_0 "data_out_final[0]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_1 "data_out_final[1]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_2 "data_out_final[2]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_3 "data_out_final[3]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_4 "data_out_final[4]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_5 "data_out_final[5]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_6 "data_out_final[6]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_7 "data_out_final[7]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_8 "data_out_final[8]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_9 "data_out_final[9]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_10 "data_out_final[10]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_11 "data_out_final[11]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_12 "data_out_final[12]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_13 "data_out_final[13]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_14 "data_out_final[14]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_15 "data_out_final[15]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_16 "data_out_final[16]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_17 "data_out_final[17]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_18 "data_out_final[18]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_19 "data_out_final[19]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_20 "data_out_final[20]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_21 "data_out_final[21]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_22 "data_out_final[22]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_23 "data_out_final[23]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_24 "data_out_final[24]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_25 "data_out_final[25]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_26 "data_out_final[26]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_27 "data_out_final[27]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_28 "data_out_final[28]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_29 "data_out_final[29]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_30 "data_out_final[30]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_31 "data_out_final[31]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_32 "data_out_final[32]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_33 "data_out_final[33]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_34 "data_out_final[34]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_35 "data_out_final[35]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance psum1_signed_s_66 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_64 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_63 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_62 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_61 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_60 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_59 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_58 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_57 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_56 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_55 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_54 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_53 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_52 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_51 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_50 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_49 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_48 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_47 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_46 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_45 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_44 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_43 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_69 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_68 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_67 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_66 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_65 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_64 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_63 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_62 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_61 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_60 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_59 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_58 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_57 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_56 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_55 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_54 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_53 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_52 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_51 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_50 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_49 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_48 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_47 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_46 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_45 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_44 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_43 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_42 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_41 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_40 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_39 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_38 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_37 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_36 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_35 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_34 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_33 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_32 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_31 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_30 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_29 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_28 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_27 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_26 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_25 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_24 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_23 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_22 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_21 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_20 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_69_RNO (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_67_RNO (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_66_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_65_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_64_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_63_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_62_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_61_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_60_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_59_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_58_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_57_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_56_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_55_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_54_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_53_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_52_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_51_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_50_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_49_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_48_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_47_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_46_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_45_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_44_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_43_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_42_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_41_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_40_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_39_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_38_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_37_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_36_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_35_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_34_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_33_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_32_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_31_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_30_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_29_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_28_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_27_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_26_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_25_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_24_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_23_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_22_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_21_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_20_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_19_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_18_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_17_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_16_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_15_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_14_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_13_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_12_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_11_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_10_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_9_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_8_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_7_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_6_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_5_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_4_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_3_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_2_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_1_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_0_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_psum0_signed_cry_23 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + (property xcn_c4chain_base (integer 1)) + ) + (instance un1_psum0_signed_cry_27 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_31 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_35 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_39 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_43 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_47 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_51 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_55 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_59 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_63 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_67 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_s_70 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_3 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + (property xcn_c4chain_base (integer 1)) + ) + (instance psum1_signed_cry_7 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_11 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_15 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_19 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_23 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_27 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_31 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_35 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_39 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_43 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_47 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_51 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_55 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_59 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_63 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_67 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_s_69 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance (rename gO_2_gO_2cN_AN8 "gO_2.gO_2cN.AN8") (viewRef netlist (cellRef GTECH_AND2_133 (libraryRef gtech))) + ) + (instance (rename gO_2_gO_2d_INC2 "gO_2.gO_2d.INC2") (viewRef netlist (cellRef DW01_inc_36_1 (libraryRef dw01))) + ) + (instance (rename gO_2_gO_2d_gO_2d1_0_AN11 "gO_2.gO_2d.gO_2d1.0.AN11") (viewRef netlist (cellRef GTECH_AND2_165 (libraryRef gtech))) + ) + (instance (rename gO_4_30_MUX12 "gO_4.30.MUX12") (viewRef netlist (cellRef GTECH_MUX2_179 (libraryRef gtech))) + ) + (instance (rename gO_4_23_MUX12 "gO_4.23.MUX12") (viewRef netlist (cellRef GTECH_MUX2_180 (libraryRef gtech))) + ) + (instance (rename gO_4_32_MUX12 "gO_4.32.MUX12") (viewRef netlist (cellRef GTECH_MUX2_181 (libraryRef gtech))) + ) + (instance (rename gO_4_25_MUX12 "gO_4.25.MUX12") (viewRef netlist (cellRef GTECH_MUX2_182 (libraryRef gtech))) + ) + (instance (rename gO_4_33_MUX12 "gO_4.33.MUX12") (viewRef netlist (cellRef GTECH_MUX2_183 (libraryRef gtech))) + ) + (instance (rename gO_4_26_MUX12 "gO_4.26.MUX12") (viewRef netlist (cellRef GTECH_MUX2_184 (libraryRef gtech))) + ) + (instance (rename gO_4_34_MUX12 "gO_4.34.MUX12") (viewRef netlist (cellRef GTECH_MUX2_185 (libraryRef gtech))) + ) + (instance (rename gO_4_27_MUX12 "gO_4.27.MUX12") (viewRef netlist (cellRef GTECH_MUX2_186 (libraryRef gtech))) + ) + (instance (rename gO_4_35_MUX12 "gO_4.35.MUX12") (viewRef netlist (cellRef GTECH_MUX2_187 (libraryRef gtech))) + ) + (instance (rename gO_4_28_MUX12 "gO_4.28.MUX12") (viewRef netlist (cellRef GTECH_MUX2_188 (libraryRef gtech))) + ) + (instance (rename gO_4_16_MUX12 "gO_4.16.MUX12") (viewRef netlist (cellRef GTECH_MUX2_189 (libraryRef gtech))) + ) + (instance (rename gO_4_9_MUX12 "gO_4.9.MUX12") (viewRef netlist (cellRef GTECH_MUX2_190 (libraryRef gtech))) + ) + (instance (rename gO_4_15_MUX12 "gO_4.15.MUX12") (viewRef netlist (cellRef GTECH_MUX2_191 (libraryRef gtech))) + ) + (instance (rename gO_4_21_MUX12 "gO_4.21.MUX12") (viewRef netlist (cellRef GTECH_MUX2_192 (libraryRef gtech))) + ) + (instance (rename gO_4_14_MUX12 "gO_4.14.MUX12") (viewRef netlist (cellRef GTECH_MUX2_193 (libraryRef gtech))) + ) + (instance (rename gO_4_20_MUX12 "gO_4.20.MUX12") (viewRef netlist (cellRef GTECH_MUX2_194 (libraryRef gtech))) + ) + (instance (rename gO_4_13_MUX12 "gO_4.13.MUX12") (viewRef netlist (cellRef GTECH_MUX2_195 (libraryRef gtech))) + ) + (instance (rename gO_4_19_MUX12 "gO_4.19.MUX12") (viewRef netlist (cellRef GTECH_MUX2_196 (libraryRef gtech))) + ) + (instance (rename gO_4_12_MUX12 "gO_4.12.MUX12") (viewRef netlist (cellRef GTECH_MUX2_197 (libraryRef gtech))) + ) + (instance (rename gO_4_29_MUX12 "gO_4.29.MUX12") (viewRef netlist (cellRef GTECH_MUX2_198 (libraryRef gtech))) + ) + (instance (rename gO_4_22_MUX12 "gO_4.22.MUX12") (viewRef netlist (cellRef GTECH_MUX2_199 (libraryRef gtech))) + ) + (instance (rename gO_4_17_MUX12 "gO_4.17.MUX12") (viewRef netlist (cellRef GTECH_MUX2_200 (libraryRef gtech))) + ) + (instance (rename gO_4_10_MUX12 "gO_4.10.MUX12") (viewRef netlist (cellRef GTECH_MUX2_201 (libraryRef gtech))) + ) + (instance (rename gO_4_4_MUX12 "gO_4.4.MUX12") (viewRef netlist (cellRef GTECH_MUX2_202 (libraryRef gtech))) + ) + (instance (rename gO_4_3_MUX12 "gO_4.3.MUX12") (viewRef netlist (cellRef GTECH_MUX2_203 (libraryRef gtech))) + ) + (instance (rename gO_4_2_MUX12 "gO_4.2.MUX12") (viewRef netlist (cellRef GTECH_MUX2_204 (libraryRef gtech))) + ) + (instance (rename gO_4_8_MUX12 "gO_4.8.MUX12") (viewRef netlist (cellRef GTECH_MUX2_205 (libraryRef gtech))) + ) + (instance (rename gO_4_1_MUX12 "gO_4.1.MUX12") (viewRef netlist (cellRef GTECH_MUX2_206 (libraryRef gtech))) + ) + (instance (rename gO_4_7_MUX12 "gO_4.7.MUX12") (viewRef netlist (cellRef GTECH_MUX2_207 (libraryRef gtech))) + ) + (instance (rename gO_4_0_MUX12 "gO_4.0.MUX12") (viewRef netlist (cellRef GTECH_MUX2_208 (libraryRef gtech))) + ) + (instance (rename gO_4_6_MUX12 "gO_4.6.MUX12") (viewRef netlist (cellRef GTECH_MUX2_209 (libraryRef gtech))) + ) + (instance (rename gO_4_5_MUX12 "gO_4.5.MUX12") (viewRef netlist (cellRef GTECH_MUX2_210 (libraryRef gtech))) + ) + (instance (rename gO_4_31_MUX12 "gO_4.31.MUX12") (viewRef netlist (cellRef GTECH_MUX2_211 (libraryRef gtech))) + ) + (instance (rename gO_4_24_MUX12 "gO_4.24.MUX12") (viewRef netlist (cellRef GTECH_MUX2_212 (libraryRef gtech))) + ) + (instance (rename gO_4_18_MUX12 "gO_4.18.MUX12") (viewRef netlist (cellRef GTECH_MUX2_213 (libraryRef gtech))) + ) + (instance (rename gO_4_11_MUX12 "gO_4.11.MUX12") (viewRef netlist (cellRef GTECH_MUX2_214 (libraryRef gtech))) + ) + (instance AN24 (viewRef netlist (cellRef GTECH_AND2_169 (libraryRef gtech))) + ) + (instance OR25 (viewRef netlist (cellRef GTECH_OR2_103 (libraryRef gtech))) + ) + (instance GND (viewRef PRIM (cellRef GND (libraryRef UNILIB))) ) + (instance VCC (viewRef PRIM (cellRef VCC (libraryRef UNILIB))) ) + (net (rename A1_product_signed_41 "A1_product_signed(41)") (joined + (portRef (member P 32) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_43)) + (portRef I0 (instanceRef psum1_signed_cry_41_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_41)) + )) + (net psum1_signed_cry_40 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_43)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_41)) + )) + (net rstn (joined + (portRef rstn) + (portRef rstn (instanceRef gO_4_11_MUX12)) + (portRef rstn (instanceRef gO_2_gO_2cN_AN8)) + (portRef I1 (instanceRef PSUM2AND1_REGS_un1_enable)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_0)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_43)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_44)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_45)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_46)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_47)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_48)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_49)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_50)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_51)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_52)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_53)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_54)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_55)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_56)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_57)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_58)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_59)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_60)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_61)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_62)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_63)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_64)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_66)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_67)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_68)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_69)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_65)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_2)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_3)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_1)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_8)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_11)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_10)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_12)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_16)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_15)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_14)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_13)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_19)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_18)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_20)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_17)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_23)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_24)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_27)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_28)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_26)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_25)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_30)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_31)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_29)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_32)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_35)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_36)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_33)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_34)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_37)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_40)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_39)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_38)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_42)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_41)) + )) + (net (rename psum1_saved_3_41 "psum1_saved_3(41)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_41)) + (portRef D (instanceRef psum1_saved_41)) + )) + (net (rename A1_product_signed_42 "A1_product_signed(42)") (joined + (portRef (member P 31) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_43)) + (portRef I0 (instanceRef psum1_signed_cry_42_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_42)) + )) + (net psum1_signed_cry_41 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_43)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_42)) + )) + (net (rename psum1_saved_3_42 "psum1_saved_3(42)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_42)) + (portRef D (instanceRef psum1_saved_42)) + )) + (net (rename A1_product_signed_38 "A1_product_signed(38)") (joined + (portRef (member P 35) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_39)) + (portRef I0 (instanceRef psum1_signed_cry_38_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_38)) + )) + (net psum1_signed_cry_37 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_39)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_38)) + )) + (net (rename psum1_saved_3_38 "psum1_saved_3(38)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_38)) + (portRef D (instanceRef psum1_saved_38)) + )) + (net (rename A1_product_signed_39 "A1_product_signed(39)") (joined + (portRef (member P 34) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_39)) + (portRef I0 (instanceRef psum1_signed_cry_39_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_39)) + )) + (net psum1_signed_cry_38 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_39)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_39)) + )) + (net (rename psum1_saved_3_39 "psum1_saved_3(39)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_39)) + (portRef D (instanceRef psum1_saved_39)) + )) + (net (rename A1_product_signed_40 "A1_product_signed(40)") (joined + (portRef (member P 33) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_43)) + (portRef I0 (instanceRef psum1_signed_cry_40_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_40)) + )) + (net (rename psum1_signed_cryZ0Z_39 "psum1_signed_cry_39") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_39)) + (portRef CI (instanceRef psum1_signed_cry_43)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_40)) + )) + (net (rename psum1_saved_3_40 "psum1_saved_3(40)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_40)) + (portRef D (instanceRef psum1_saved_40)) + )) + (net (rename A1_product_signed_37 "A1_product_signed(37)") (joined + (portRef (member P 36) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_39)) + (portRef I0 (instanceRef psum1_signed_cry_37_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_37)) + )) + (net psum1_signed_cry_36 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_39)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_37)) + )) + (net (rename psum1_saved_3_37 "psum1_saved_3(37)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_37)) + (portRef D (instanceRef psum1_saved_37)) + )) + (net (rename A1_product_signed_34 "A1_product_signed(34)") (joined + (portRef (member P 39) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_35)) + (portRef I0 (instanceRef psum1_signed_cry_34_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_34)) + )) + (net psum1_signed_cry_33 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_35)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_34)) + )) + (net (rename psum1_saved_3_34 "psum1_saved_3(34)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_34)) + (portRef D (instanceRef psum1_saved_34)) + )) + (net (rename A1_product_signed_33 "A1_product_signed(33)") (joined + (portRef (member P 40) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_35)) + (portRef I0 (instanceRef psum1_signed_cry_33_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_33)) + )) + (net psum1_signed_cry_32 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_35)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_33)) + )) + (net (rename psum1_saved_3_33 "psum1_saved_3(33)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_33)) + (portRef D (instanceRef psum1_saved_33)) + )) + (net (rename A1_product_signed_36 "A1_product_signed(36)") (joined + (portRef (member P 37) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_39)) + (portRef I0 (instanceRef psum1_signed_cry_36_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_36)) + )) + (net (rename psum1_signed_cryZ0Z_35 "psum1_signed_cry_35") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_35)) + (portRef CI (instanceRef psum1_signed_cry_39)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_36)) + )) + (net (rename psum1_saved_3_36 "psum1_saved_3(36)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_36)) + (portRef D (instanceRef psum1_saved_36)) + )) + (net (rename A1_product_signed_35 "A1_product_signed(35)") (joined + (portRef (member P 38) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_35)) + (portRef I0 (instanceRef psum1_signed_cry_35_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_35)) + )) + (net psum1_signed_cry_34 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_35)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_35)) + )) + (net (rename psum1_saved_3_35 "psum1_saved_3(35)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_35)) + (portRef D (instanceRef psum1_saved_35)) + )) + (net (rename A1_product_signed_32 "A1_product_signed(32)") (joined + (portRef (member P 41) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_35)) + (portRef I0 (instanceRef psum1_signed_cry_32_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_32)) + )) + (net (rename psum1_signed_cryZ0Z_31 "psum1_signed_cry_31") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_31)) + (portRef CI (instanceRef psum1_signed_cry_35)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_32)) + )) + (net (rename psum1_saved_3_32 "psum1_saved_3(32)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_32)) + (portRef D (instanceRef psum1_saved_32)) + )) + (net (rename A1_product_signed_29 "A1_product_signed(29)") (joined + (portRef (member P 44) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_31)) + (portRef I0 (instanceRef psum1_signed_cry_29_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_29)) + )) + (net psum1_signed_cry_28 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_31)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_29)) + )) + (net (rename psum1_saved_3_29 "psum1_saved_3(29)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_29)) + (portRef D (instanceRef psum1_saved_29)) + )) + (net (rename A1_product_signed_31 "A1_product_signed(31)") (joined + (portRef (member P 42) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_31)) + (portRef I0 (instanceRef psum1_signed_cry_31_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_31)) + )) + (net psum1_signed_cry_30 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_31)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_31)) + )) + (net (rename psum1_saved_3_31 "psum1_saved_3(31)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_31)) + (portRef D (instanceRef psum1_saved_31)) + )) + (net (rename A1_product_signed_30 "A1_product_signed(30)") (joined + (portRef (member P 43) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_31)) + (portRef I0 (instanceRef psum1_signed_cry_30_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_30)) + )) + (net psum1_signed_cry_29 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_31)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_30)) + )) + (net (rename psum1_saved_3_30 "psum1_saved_3(30)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_30)) + (portRef D (instanceRef psum1_saved_30)) + )) + (net (rename A1_product_signed_25 "A1_product_signed(25)") (joined + (portRef (member P 39) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_27)) + (portRef I0 (instanceRef psum1_signed_cry_25_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_25)) + )) + (net psum1_signed_cry_24 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_27)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_25)) + )) + (net (rename psum1_saved_3_25 "psum1_saved_3(25)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_25)) + (portRef D (instanceRef psum1_saved_25)) + )) + (net (rename A1_product_signed_26 "A1_product_signed(26)") (joined + (portRef (member P 47) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_27)) + (portRef I0 (instanceRef psum1_signed_cry_26_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_26)) + )) + (net psum1_signed_cry_25 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_27)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_26)) + )) + (net (rename psum1_saved_3_26 "psum1_saved_3(26)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_26)) + (portRef D (instanceRef psum1_saved_26)) + )) + (net (rename A1_product_signed_28 "A1_product_signed(28)") (joined + (portRef (member P 45) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_31)) + (portRef I0 (instanceRef psum1_signed_cry_28_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_28)) + )) + (net (rename psum1_signed_cryZ0Z_27 "psum1_signed_cry_27") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_27)) + (portRef CI (instanceRef psum1_signed_cry_31)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_28)) + )) + (net (rename psum1_saved_3_28 "psum1_saved_3(28)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_28)) + (portRef D (instanceRef psum1_saved_28)) + )) + (net (rename A1_product_signed_27 "A1_product_signed(27)") (joined + (portRef (member P 46) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_27)) + (portRef I0 (instanceRef psum1_signed_cry_27_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_27)) + )) + (net psum1_signed_cry_26 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_27)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_27)) + )) + (net (rename psum1_saved_3_27 "psum1_saved_3(27)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_27)) + (portRef D (instanceRef psum1_saved_27)) + )) + (net (rename A1_product_signed_24 "A1_product_signed(24)") (joined + (portRef (member P 40) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_27)) + (portRef I0 (instanceRef psum1_signed_cry_24_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_24)) + )) + (net (rename psum1_signed_cryZ0Z_23 "psum1_signed_cry_23") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_23)) + (portRef CI (instanceRef psum1_signed_cry_27)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_24)) + )) + (net (rename psum1_saved_3_24 "psum1_saved_3(24)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_24)) + (portRef D (instanceRef psum1_saved_24)) + )) + (net (rename A1_product_signed_23 "A1_product_signed(23)") (joined + (portRef (member P 41) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_23)) + (portRef I0 (instanceRef psum1_signed_cry_23_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_23)) + )) + (net psum1_signed_cry_22 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_23)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_23)) + )) + (net (rename psum1_saved_3_23 "psum1_saved_3(23)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_23)) + (portRef D (instanceRef psum1_saved_23)) + )) + (net (rename A1_product_signed_22 "A1_product_signed(22)") (joined + (portRef (member P 42) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_23)) + (portRef I0 (instanceRef psum1_signed_cry_22_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) + )) + (net psum1_signed_cry_21 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_23)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) + )) + (net (rename psum1_saved_3_22 "psum1_saved_3(22)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) + (portRef D (instanceRef psum1_saved_22)) + )) + (net (rename A1_product_signed_21 "A1_product_signed(21)") (joined + (portRef (member P 43) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_23)) + (portRef I0 (instanceRef psum1_signed_cry_21_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) + )) + (net psum1_signed_cry_20 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_23)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) + )) + (net (rename psum1_saved_3_21 "psum1_saved_3(21)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) + (portRef D (instanceRef psum1_saved_21)) + )) + (net (rename A1_product_signed_17 "A1_product_signed(17)") (joined + (portRef (member P 47) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_19)) + (portRef I0 (instanceRef psum1_signed_cry_17_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_17)) + )) + (net psum1_signed_cry_16 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_19)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_17)) + )) + (net (rename psum1_saved_3_17 "psum1_saved_3(17)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_17)) + (portRef D (instanceRef psum1_saved_17)) + )) + (net (rename A1_product_signed_20 "A1_product_signed(20)") (joined + (portRef (member P 44) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_23)) + (portRef I0 (instanceRef psum1_signed_cry_20_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_20)) + )) + (net (rename psum1_signed_cryZ0Z_19 "psum1_signed_cry_19") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_19)) + (portRef CI (instanceRef psum1_signed_cry_23)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_20)) + )) + (net (rename psum1_saved_3_20 "psum1_saved_3(20)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_20)) + (portRef D (instanceRef psum1_saved_20)) + )) + (net (rename A1_product_signed_18 "A1_product_signed(18)") (joined + (portRef (member P 46) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_19)) + (portRef I0 (instanceRef psum1_signed_cry_18_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_18)) + )) + (net psum1_signed_cry_17 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_19)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_18)) + )) + (net (rename psum1_saved_3_18 "psum1_saved_3(18)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_18)) + (portRef D (instanceRef psum1_saved_18)) + )) + (net (rename A1_product_signed_19 "A1_product_signed(19)") (joined + (portRef (member P 45) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_19)) + (portRef I0 (instanceRef psum1_signed_cry_19_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_19)) + )) + (net psum1_signed_cry_18 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_19)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_19)) + )) + (net (rename psum1_saved_3_19 "psum1_saved_3(19)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_19)) + (portRef D (instanceRef psum1_saved_19)) + )) + (net (rename A1_product_signed_13 "A1_product_signed(13)") (joined + (portRef (member P 34) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_15)) + (portRef I0 (instanceRef psum1_signed_cry_13_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_13)) + )) + (net psum1_signed_cry_12 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_15)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_13)) + )) + (net (rename psum1_saved_3_13 "psum1_saved_3(13)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_13)) + (portRef D (instanceRef psum1_saved_13)) + )) + (net (rename A1_product_signed_14 "A1_product_signed(14)") (joined + (portRef (member P 33) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_15)) + (portRef I0 (instanceRef psum1_signed_cry_14_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_14)) + )) + (net psum1_signed_cry_13 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_15)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_14)) + )) + (net (rename psum1_saved_3_14 "psum1_saved_3(14)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_14)) + (portRef D (instanceRef psum1_saved_14)) + )) + (net (rename A1_product_signed_15 "A1_product_signed(15)") (joined + (portRef (member P 32) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_15)) + (portRef I0 (instanceRef psum1_signed_cry_15_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_15)) + )) + (net psum1_signed_cry_14 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_15)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_15)) + )) + (net (rename psum1_saved_3_15 "psum1_saved_3(15)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_15)) + (portRef D (instanceRef psum1_saved_15)) + )) + (net (rename A1_product_signed_16 "A1_product_signed(16)") (joined + (portRef (member P 31) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_19)) + (portRef I0 (instanceRef psum1_signed_cry_16_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_16)) + )) + (net (rename psum1_signed_cryZ0Z_15 "psum1_signed_cry_15") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_15)) + (portRef CI (instanceRef psum1_signed_cry_19)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_16)) + )) + (net (rename psum1_saved_3_16 "psum1_saved_3(16)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_16)) + (portRef D (instanceRef psum1_saved_16)) + )) + (net (rename A1_product_signed_12 "A1_product_signed(12)") (joined + (portRef (member P 35) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_15)) + (portRef I0 (instanceRef psum1_signed_cry_12_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_12)) + )) + (net (rename psum1_signed_cryZ0Z_11 "psum1_signed_cry_11") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_11)) + (portRef CI (instanceRef psum1_signed_cry_15)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_12)) + )) + (net (rename psum1_saved_3_12 "psum1_saved_3(12)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_12)) + (portRef D (instanceRef psum1_saved_12)) + )) + (net (rename A1_product_signed_9 "A1_product_signed(9)") (joined + (portRef (member P 38) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_11)) + (portRef I0 (instanceRef psum1_signed_cry_9_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) + )) + (net psum1_signed_cry_8 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_11)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) + )) + (net (rename psum1_saved_3_9 "psum1_saved_3(9)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) + (portRef D (instanceRef psum1_saved_9)) + )) + (net (rename A1_product_signed_10 "A1_product_signed(10)") (joined + (portRef (member P 37) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_11)) + (portRef I0 (instanceRef psum1_signed_cry_10_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_10)) + )) + (net psum1_signed_cry_9 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_11)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_10)) + )) + (net (rename psum1_saved_3_10 "psum1_saved_3(10)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_10)) + (portRef D (instanceRef psum1_saved_10)) + )) + (net (rename A1_product_signed_11 "A1_product_signed(11)") (joined + (portRef (member P 36) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_11)) + (portRef I0 (instanceRef psum1_signed_cry_11_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_11)) + )) + (net psum1_signed_cry_10 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_11)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_11)) + )) + (net (rename psum1_saved_3_11 "psum1_saved_3(11)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_11)) + (portRef D (instanceRef psum1_saved_11)) + )) + (net (rename A1_product_signed_5 "A1_product_signed(5)") (joined + (portRef (member P 42) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_7)) + (portRef I0 (instanceRef psum1_signed_cry_5_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) + )) + (net psum1_signed_cry_4 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_7)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) + )) + (net (rename psum1_saved_3_5 "psum1_saved_3(5)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) + (portRef D (instanceRef psum1_saved_5)) + )) + (net (rename A1_product_signed_6 "A1_product_signed(6)") (joined + (portRef (member P 41) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_7)) + (portRef I0 (instanceRef psum1_signed_cry_6_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) + )) + (net psum1_signed_cry_5 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_7)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) + )) + (net (rename psum1_saved_3_6 "psum1_saved_3(6)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) + (portRef D (instanceRef psum1_saved_6)) + )) + (net (rename A1_product_signed_7 "A1_product_signed(7)") (joined + (portRef (member P 40) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_7)) + (portRef I0 (instanceRef psum1_signed_cry_7_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) + )) + (net psum1_signed_cry_6 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_7)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) + )) + (net (rename psum1_saved_3_7 "psum1_saved_3(7)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) + (portRef D (instanceRef psum1_saved_7)) + )) + (net (rename A1_product_signed_8 "A1_product_signed(8)") (joined + (portRef (member P 39) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_11)) + (portRef I0 (instanceRef psum1_signed_cry_8_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_8)) + )) + (net (rename psum1_signed_cryZ0Z_7 "psum1_signed_cry_7") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_7)) + (portRef CI (instanceRef psum1_signed_cry_11)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_8)) + )) + (net (rename psum1_saved_3_8 "psum1_saved_3(8)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_8)) + (portRef D (instanceRef psum1_saved_8)) + )) + (net (rename A1_product_signed_4 "A1_product_signed(4)") (joined + (portRef (member P 43) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_7)) + (portRef I0 (instanceRef psum1_signed_cry_4_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) + )) + (net (rename psum1_signed_cryZ0Z_3 "psum1_signed_cry_3") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_3)) + (portRef CI (instanceRef psum1_signed_cry_7)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) + )) + (net (rename psum1_saved_3_4 "psum1_saved_3(4)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) + (portRef D (instanceRef psum1_saved_4)) + )) + (net (rename A1_product_signed_1 "A1_product_signed(1)") (joined + (portRef (member P 46) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_3)) + (portRef I0 (instanceRef psum1_signed_cry_1_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_1)) + )) + (net psum1_signed_cry_0 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_3)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_1)) + )) + (net (rename psum1_saved_3_1 "psum1_saved_3(1)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_1)) + (portRef D (instanceRef psum1_saved_1)) + )) + (net (rename A1_product_signed_3 "A1_product_signed(3)") (joined + (portRef (member P 44) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_3)) + (portRef I0 (instanceRef psum1_signed_cry_3_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_3)) + )) + (net psum1_signed_cry_2 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_3)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_3)) + )) + (net (rename psum1_saved_3_3 "psum1_saved_3(3)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_3)) + (portRef D (instanceRef psum1_saved_3)) + )) + (net (rename A1_product_signed_2 "A1_product_signed(2)") (joined + (portRef (member P 45) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_3)) + (portRef I0 (instanceRef psum1_signed_cry_2_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_2)) + )) + (net psum1_signed_cry_1 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_3)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_2)) + )) + (net (rename psum1_saved_3_2 "psum1_saved_3(2)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_2)) + (portRef D (instanceRef psum1_saved_2)) + )) + (net (rename A1_product_signed_1_65 "A1_product_signed_1(65)") (joined + (portRef O (instanceRef psum1_signed_s_65_thru)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_65)) + )) + (net psum1_signed_cry_64 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_67)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_65)) + )) + (net psum1_saved_3_7_1 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_65)) + (portRef D (instanceRef psum1_saved_65)) + )) + (net (rename A1_product_signedAdd_0_9 "A1_product_signedAdd_0(9)") (joined + (portRef (member P 38) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 47) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_10 "A1_product_signedAdd_0(10)") (joined + (portRef (member P 37) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 46) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_11 "A1_product_signedAdd_0(11)") (joined + (portRef (member P 36) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 45) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_12 "A1_product_signedAdd_0(12)") (joined + (portRef (member P 35) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 44) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_13 "A1_product_signedAdd_0(13)") (joined + (portRef (member P 34) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 43) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_14 "A1_product_signedAdd_0(14)") (joined + (portRef (member P 33) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 42) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_15 "A1_product_signedAdd_0(15)") (joined + (portRef (member P 32) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 41) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_16 "A1_product_signedAdd_0(16)") (joined + (portRef (member P 31) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 40) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_17 "A1_product_signedAdd_0(17)") (joined + (portRef (member P 30) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 39) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_18 "A1_product_signedAdd_0(18)") (joined + (portRef (member P 29) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 38) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_19 "A1_product_signedAdd_0(19)") (joined + (portRef (member P 28) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 37) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_20 "A1_product_signedAdd_0(20)") (joined + (portRef (member P 27) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 36) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_21 "A1_product_signedAdd_0(21)") (joined + (portRef (member P 26) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 35) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_22 "A1_product_signedAdd_0(22)") (joined + (portRef (member P 25) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 34) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_23 "A1_product_signedAdd_0(23)") (joined + (portRef (member P 24) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 33) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_24 "A1_product_signedAdd_0(24)") (joined + (portRef (member P 23) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 32) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_25 "A1_product_signedAdd_0(25)") (joined + (portRef (member P 22) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 31) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_26 "A1_product_signedAdd_0(26)") (joined + (portRef (member P 21) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 30) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_27 "A1_product_signedAdd_0(27)") (joined + (portRef (member P 20) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 29) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_28 "A1_product_signedAdd_0(28)") (joined + (portRef (member P 19) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 28) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_29 "A1_product_signedAdd_0(29)") (joined + (portRef (member P 18) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 27) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_30 "A1_product_signedAdd_0(30)") (joined + (portRef (member P 17) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 26) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_31 "A1_product_signedAdd_0(31)") (joined + (portRef (member P 16) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 25) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_32 "A1_product_signedAdd_0(32)") (joined + (portRef (member P 15) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 24) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_33 "A1_product_signedAdd_0(33)") (joined + (portRef (member P 14) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 23) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_34 "A1_product_signedAdd_0(34)") (joined + (portRef (member P 13) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 22) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_35 "A1_product_signedAdd_0(35)") (joined + (portRef (member P 12) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 21) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_36 "A1_product_signedAdd_0(36)") (joined + (portRef (member P 11) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 20) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_37 "A1_product_signedAdd_0(37)") (joined + (portRef (member P 10) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 19) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_38 "A1_product_signedAdd_0(38)") (joined + (portRef (member P 9) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 18) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_39 "A1_product_signedAdd_0(39)") (joined + (portRef (member P 8) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 17) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_40 "A1_product_signedAdd_0(40)") (joined + (portRef (member P 7) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 16) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_41 "A1_product_signedAdd_0(41)") (joined + (portRef (member P 6) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 15) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_42 "A1_product_signedAdd_0(42)") (joined + (portRef (member P 5) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 5) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 6) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 7) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 8) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 9) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 10) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 11) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 12) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 13) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 14) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename GNDZ0 "GND") (joined + (portRef G (instanceRef GND)) + (portRef (member S 0) (instanceRef psum1_signed_s_69)) + (portRef (member S 1) (instanceRef psum1_signed_s_69)) + (portRef (member DI 0) (instanceRef psum1_signed_s_69)) + (portRef (member DI 1) (instanceRef psum1_signed_s_69)) + (portRef (member DI 2) (instanceRef psum1_signed_s_69)) + (portRef (member DI 3) (instanceRef psum1_signed_s_69)) + (portRef CYINIT (instanceRef psum1_signed_s_69)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_67)) + (portRef CYINIT (instanceRef psum1_signed_cry_67)) + (portRef CYINIT (instanceRef psum1_signed_cry_63)) + (portRef CYINIT (instanceRef psum1_signed_cry_59)) + (portRef CYINIT (instanceRef psum1_signed_cry_55)) + (portRef CYINIT (instanceRef psum1_signed_cry_51)) + (portRef CYINIT (instanceRef psum1_signed_cry_47)) + (portRef CYINIT (instanceRef psum1_signed_cry_43)) + (portRef CYINIT (instanceRef psum1_signed_cry_39)) + (portRef CYINIT (instanceRef psum1_signed_cry_35)) + (portRef CYINIT (instanceRef psum1_signed_cry_31)) + (portRef CYINIT (instanceRef psum1_signed_cry_27)) + (portRef CYINIT (instanceRef psum1_signed_cry_23)) + (portRef CYINIT (instanceRef psum1_signed_cry_19)) + (portRef CYINIT (instanceRef psum1_signed_cry_15)) + (portRef CYINIT (instanceRef psum1_signed_cry_11)) + (portRef CYINIT (instanceRef psum1_signed_cry_7)) + (portRef CYINIT (instanceRef psum1_signed_cry_3)) + (portRef CI (instanceRef psum1_signed_cry_3)) + (portRef (member S 0) (instanceRef un1_psum0_signed_s_70)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_s_70)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_s_70)) + (portRef CYINIT (instanceRef un1_psum0_signed_s_70)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_67)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_63)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_59)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_55)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_51)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_47)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_43)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_39)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_35)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_31)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_27)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_23)) + (portRef CI (instanceRef un1_psum0_signed_cry_23)) + (portRef RSTP (instanceRef B0_product_signed_0_33_0)) + (portRef RSTM (instanceRef B0_product_signed_0_33_0)) + (portRef RSTINMODE (instanceRef B0_product_signed_0_33_0)) + (portRef RSTD (instanceRef B0_product_signed_0_33_0)) + (portRef RSTCTRL (instanceRef B0_product_signed_0_33_0)) + (portRef RSTC (instanceRef B0_product_signed_0_33_0)) + (portRef RSTB (instanceRef B0_product_signed_0_33_0)) + (portRef RSTALUMODE (instanceRef B0_product_signed_0_33_0)) + (portRef RSTALLCARRYIN (instanceRef B0_product_signed_0_33_0)) + (portRef RSTA (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 5) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 6) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 7) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 8) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 9) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 10) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 11) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 12) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 13) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 14) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 15) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 16) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 17) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 18) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 19) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 20) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 21) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 22) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 23) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 24) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 25) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 26) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 27) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 28) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 29) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 30) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 31) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 32) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 33) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 34) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 35) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 36) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 37) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 38) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 39) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 40) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 41) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 42) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 43) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 44) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 45) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 46) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 47) (instanceRef B0_product_signed_0_33_0)) + (portRef (member OPMODE 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member OPMODE 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member OPMODE 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member OPMODE 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member OPMODE 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member OPMODE 5) (instanceRef B0_product_signed_0_33_0)) + (portRef (member OPMODE 7) (instanceRef B0_product_signed_0_33_0)) + (portRef MULTSIGNIN (instanceRef B0_product_signed_0_33_0)) + (portRef (member INMODE 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member INMODE 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member INMODE 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member INMODE 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member INMODE 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 5) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 6) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 7) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 8) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 9) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 10) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 11) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 12) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 13) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 14) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 15) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 16) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 17) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 18) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 19) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 20) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 21) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 22) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 23) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 24) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 25) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 26) (instanceRef B0_product_signed_0_33_0)) + (portRef CLK (instanceRef B0_product_signed_0_33_0)) + (portRef CEP (instanceRef B0_product_signed_0_33_0)) + (portRef CEM (instanceRef B0_product_signed_0_33_0)) + (portRef CEINMODE (instanceRef B0_product_signed_0_33_0)) + (portRef CED (instanceRef B0_product_signed_0_33_0)) + (portRef CECTRL (instanceRef B0_product_signed_0_33_0)) + (portRef CECARRYIN (instanceRef B0_product_signed_0_33_0)) + (portRef CEC (instanceRef B0_product_signed_0_33_0)) + (portRef CEB2 (instanceRef B0_product_signed_0_33_0)) + (portRef CEB1 (instanceRef B0_product_signed_0_33_0)) + (portRef CEALUMODE (instanceRef B0_product_signed_0_33_0)) + (portRef CEAD (instanceRef B0_product_signed_0_33_0)) + (portRef CEA2 (instanceRef B0_product_signed_0_33_0)) + (portRef CEA1 (instanceRef B0_product_signed_0_33_0)) + (portRef (member CARRYINSEL 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member CARRYINSEL 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member CARRYINSEL 2) (instanceRef B0_product_signed_0_33_0)) + (portRef CARRYIN (instanceRef B0_product_signed_0_33_0)) + (portRef CARRYCASCIN (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 5) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 6) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 7) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 8) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 9) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 10) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 11) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 12) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 13) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 14) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 15) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 16) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 17) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 18) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 19) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 20) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 21) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 22) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 23) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 24) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 25) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 26) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 27) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 28) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 29) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 30) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 31) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 32) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 33) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 34) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 35) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 36) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 37) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 38) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 39) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 40) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 41) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 42) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 43) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 44) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 45) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 46) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 47) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 5) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 6) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 7) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 8) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 9) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 10) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 11) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 12) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 13) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 14) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 15) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 16) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 17) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ALUMODE 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ALUMODE 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ALUMODE 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ALUMODE 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 5) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 6) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 7) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 8) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 9) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 10) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 11) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 12) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 13) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 14) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 15) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 16) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 17) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 18) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 19) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 20) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 21) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 22) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 23) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 24) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 25) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 26) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 27) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 28) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 29) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 5) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 6) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 7) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 8) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 9) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 10) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 11) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 12) (instanceRef B0_product_signed_0_33_0)) + (portRef RSTP (instanceRef A1_product_signed_0_44_0)) + (portRef RSTM (instanceRef A1_product_signed_0_44_0)) + (portRef RSTINMODE (instanceRef A1_product_signed_0_44_0)) + (portRef RSTD (instanceRef A1_product_signed_0_44_0)) + (portRef RSTCTRL (instanceRef A1_product_signed_0_44_0)) + (portRef RSTC (instanceRef A1_product_signed_0_44_0)) + (portRef RSTB (instanceRef A1_product_signed_0_44_0)) + (portRef RSTALUMODE (instanceRef A1_product_signed_0_44_0)) + (portRef RSTALLCARRYIN (instanceRef A1_product_signed_0_44_0)) + (portRef RSTA (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 5) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 6) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 7) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 8) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 9) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 10) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 11) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 12) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 13) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 14) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 15) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 16) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 17) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 18) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 19) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 20) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 21) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 22) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 23) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 24) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 25) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 26) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 27) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 28) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 29) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 30) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 31) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 32) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 33) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 34) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 35) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 36) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 37) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 38) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 39) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 40) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 41) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 42) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 43) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 44) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 45) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 46) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 47) (instanceRef A1_product_signed_0_44_0)) + (portRef (member OPMODE 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member OPMODE 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member OPMODE 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member OPMODE 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member OPMODE 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member OPMODE 5) (instanceRef A1_product_signed_0_44_0)) + (portRef (member OPMODE 7) (instanceRef A1_product_signed_0_44_0)) + (portRef MULTSIGNIN (instanceRef A1_product_signed_0_44_0)) + (portRef (member INMODE 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member INMODE 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member INMODE 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member INMODE 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member INMODE 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 5) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 6) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 7) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 8) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 9) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 10) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 11) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 12) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 13) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 14) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 15) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 16) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 17) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 18) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 19) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 20) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 21) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 22) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 23) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 24) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 25) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 26) (instanceRef A1_product_signed_0_44_0)) + (portRef CLK (instanceRef A1_product_signed_0_44_0)) + (portRef CEP (instanceRef A1_product_signed_0_44_0)) + (portRef CEM (instanceRef A1_product_signed_0_44_0)) + (portRef CEINMODE (instanceRef A1_product_signed_0_44_0)) + (portRef CED (instanceRef A1_product_signed_0_44_0)) + (portRef CECTRL (instanceRef A1_product_signed_0_44_0)) + (portRef CECARRYIN (instanceRef A1_product_signed_0_44_0)) + (portRef CEC (instanceRef A1_product_signed_0_44_0)) + (portRef CEB2 (instanceRef A1_product_signed_0_44_0)) + (portRef CEB1 (instanceRef A1_product_signed_0_44_0)) + (portRef CEALUMODE (instanceRef A1_product_signed_0_44_0)) + (portRef CEAD (instanceRef A1_product_signed_0_44_0)) + (portRef CEA2 (instanceRef A1_product_signed_0_44_0)) + (portRef CEA1 (instanceRef A1_product_signed_0_44_0)) + (portRef (member CARRYINSEL 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member CARRYINSEL 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member CARRYINSEL 2) (instanceRef A1_product_signed_0_44_0)) + (portRef CARRYIN (instanceRef A1_product_signed_0_44_0)) + (portRef CARRYCASCIN (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 5) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 6) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 7) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 8) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 9) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 10) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 11) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 12) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 13) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 14) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 15) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 16) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 17) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 18) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 19) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 20) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 21) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 22) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 23) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 24) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 25) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 26) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 27) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 28) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 29) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 30) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 31) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 32) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 33) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 34) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 35) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 36) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 37) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 38) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 39) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 40) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 41) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 42) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 43) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 44) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 45) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 46) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 47) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 5) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 6) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 7) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 8) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 9) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 10) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 11) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 12) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 13) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 14) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 15) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 16) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 17) (instanceRef A1_product_signed_0_44_0)) + (portRef (member B 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ALUMODE 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ALUMODE 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ALUMODE 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ALUMODE 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 5) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 6) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 7) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 8) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 9) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 10) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 11) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 12) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 13) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 14) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 15) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 16) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 17) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 18) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 19) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 20) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 21) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 22) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 23) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 24) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 25) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 26) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 27) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 28) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 29) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 3) (instanceRef A1_product_signed_0_44_0)) + (portRef RSTP (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTM (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTINMODE (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTD (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTCTRL (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTC (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTB (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTALUMODE (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTALLCARRYIN (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTA (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member OPMODE 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member OPMODE 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member OPMODE 3) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member OPMODE 5) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member OPMODE 7) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef MULTSIGNIN (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member INMODE 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member INMODE 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member INMODE 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member INMODE 3) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member INMODE 4) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 3) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 4) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 5) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 6) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 7) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 8) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 9) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 10) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 11) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 12) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 13) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 14) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 15) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 16) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 17) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 18) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 19) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 20) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 21) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 22) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 23) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 24) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 25) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 26) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CLK (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEP (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEM (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEINMODE (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CED (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CECTRL (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CECARRYIN (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEC (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEB2 (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEB1 (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEALUMODE (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEAD (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEA2 (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEA1 (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member CARRYINSEL 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member CARRYINSEL 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member CARRYINSEL 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CARRYIN (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CARRYCASCIN (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 3) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 4) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 5) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 6) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 7) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 8) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 9) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 10) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 11) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 12) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 13) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 14) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 15) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 16) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 17) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 18) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 19) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 20) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 21) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 22) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 23) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 24) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 25) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 26) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 27) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 28) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 29) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 30) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 31) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 32) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 33) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 34) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 35) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 36) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 37) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 38) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 39) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 40) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 41) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 42) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 43) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 44) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 45) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 46) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 47) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 3) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 4) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 5) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 6) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 7) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 8) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 9) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 10) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 11) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 12) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 13) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 14) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 15) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 16) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 17) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ALUMODE 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ALUMODE 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ALUMODE 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ALUMODE 3) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 3) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 4) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 5) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 6) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 7) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 8) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 9) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 10) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 11) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 12) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 13) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 14) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 15) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 16) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 17) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 18) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 19) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 20) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 21) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 22) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 23) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 24) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 25) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 26) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 27) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 28) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 29) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTP (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTM (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTINMODE (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTD (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTCTRL (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTC (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTB (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTALUMODE (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTALLCARRYIN (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTA (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member OPMODE 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member OPMODE 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member OPMODE 3) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member OPMODE 5) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member OPMODE 7) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef MULTSIGNIN (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member INMODE 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member INMODE 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member INMODE 2) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member INMODE 3) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member INMODE 4) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 2) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 3) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 4) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 5) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 6) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 7) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 8) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 9) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 10) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 11) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 12) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 13) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 14) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 15) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 16) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 17) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 18) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 19) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 20) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 21) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 22) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 23) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 24) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 25) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 26) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CLK (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEP (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEM (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEINMODE (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CED (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CECTRL (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CECARRYIN (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEC (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEB2 (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEB1 (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEALUMODE (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEAD (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEA2 (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEA1 (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member CARRYINSEL 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member CARRYINSEL 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member CARRYINSEL 2) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CARRYIN (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CARRYCASCIN (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 2) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 3) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 4) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 5) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 6) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 7) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 8) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 9) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 10) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 11) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 12) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 13) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 14) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 15) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 16) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 17) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 18) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 19) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 20) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 21) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 22) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 23) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 24) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 25) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 26) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 27) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 28) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 29) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 30) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 31) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 32) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 33) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 34) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 35) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 36) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 37) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 38) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 39) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 40) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 41) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 42) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 43) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 44) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 45) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 46) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 47) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 2) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 3) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 4) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 5) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 6) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 7) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 8) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 9) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 10) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 11) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 12) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 13) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 14) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 15) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 16) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 17) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ALUMODE 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ALUMODE 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ALUMODE 2) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ALUMODE 3) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 2) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 3) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 4) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 5) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 6) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 7) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 8) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 9) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 10) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 11) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 12) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 13) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 14) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 15) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 16) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 17) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 18) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 19) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 20) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 21) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 22) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 23) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 24) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 25) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 26) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 27) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 28) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 29) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTP (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTM (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTINMODE (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTD (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTCTRL (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTC (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTB (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTALUMODE (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTALLCARRYIN (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTA (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 5) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 6) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 7) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 8) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 9) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 10) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 11) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 12) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 13) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 14) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 15) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 16) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 17) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 18) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 19) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 20) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 21) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 22) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 23) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 24) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 25) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 26) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 27) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 28) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 29) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 30) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 31) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 32) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 33) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 34) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 35) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 36) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 37) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 38) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 39) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 40) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 41) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 42) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 43) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 44) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 45) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 46) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 47) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member OPMODE 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member OPMODE 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member OPMODE 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member OPMODE 5) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member OPMODE 7) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef MULTSIGNIN (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member INMODE 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member INMODE 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member INMODE 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member INMODE 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member INMODE 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 5) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 6) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 7) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 8) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 9) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 10) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 11) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 12) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 13) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 14) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 15) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 16) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 17) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 18) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 19) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 20) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 21) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 22) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 23) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 24) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 25) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 26) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CLK (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEP (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEM (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEINMODE (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CED (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CECTRL (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CECARRYIN (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEC (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEB2 (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEB1 (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEALUMODE (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEAD (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEA2 (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEA1 (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member CARRYINSEL 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member CARRYINSEL 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member CARRYINSEL 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CARRYIN (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CARRYCASCIN (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 5) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 6) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 7) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 8) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 9) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 10) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 11) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 12) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 13) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 14) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 15) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 16) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 17) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ALUMODE 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ALUMODE 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ALUMODE 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ALUMODE 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 5) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 6) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 7) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 8) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 9) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 10) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 11) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 12) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 13) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 14) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 15) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 16) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 17) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 18) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 19) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 20) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 21) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 22) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 23) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 24) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 25) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 26) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 27) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 28) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 29) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 5) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 6) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 7) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 8) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 9) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 10) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 11) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 12) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTP (instanceRef A1_product_signedAdd_0_42_0)) + (portRef RSTM (instanceRef A1_product_signedAdd_0_42_0)) + (portRef RSTINMODE (instanceRef A1_product_signedAdd_0_42_0)) + (portRef RSTD (instanceRef A1_product_signedAdd_0_42_0)) + (portRef RSTCTRL (instanceRef A1_product_signedAdd_0_42_0)) + (portRef RSTC (instanceRef A1_product_signedAdd_0_42_0)) + (portRef RSTB (instanceRef A1_product_signedAdd_0_42_0)) + (portRef RSTALUMODE (instanceRef A1_product_signedAdd_0_42_0)) + (portRef RSTALLCARRYIN (instanceRef A1_product_signedAdd_0_42_0)) + (portRef RSTA (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member OPMODE 0) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member OPMODE 1) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member OPMODE 3) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member OPMODE 5) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member OPMODE 7) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef MULTSIGNIN (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member INMODE 0) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member INMODE 1) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member INMODE 2) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member INMODE 3) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member INMODE 4) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 0) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 1) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 2) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 3) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 4) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 5) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 6) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 7) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 8) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 9) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 10) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 11) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 12) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 13) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 14) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 15) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 16) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 17) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 18) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 19) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 20) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 21) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 22) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 23) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 24) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 25) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 26) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CLK (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEP (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEM (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEINMODE (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CED (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CECTRL (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CECARRYIN (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEC (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEB2 (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEB1 (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEALUMODE (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEAD (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEA2 (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEA1 (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member CARRYINSEL 0) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member CARRYINSEL 1) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member CARRYINSEL 2) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CARRYIN (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CARRYCASCIN (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 0) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 1) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 2) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 3) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 4) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 5) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 6) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 7) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 8) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 9) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 10) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 11) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 12) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 13) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 14) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 15) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 16) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 17) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 18) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 19) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 20) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 21) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 22) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 23) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 24) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 25) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 26) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 27) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 28) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 29) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 30) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 31) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 32) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 33) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 34) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 35) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 36) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 37) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 38) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 39) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 40) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 41) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 42) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 43) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 44) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 45) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 46) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 47) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 0) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 1) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 2) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 3) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 4) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 5) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 6) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 7) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 8) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 9) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 10) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 11) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 12) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 13) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 14) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 15) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 16) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 17) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member ALUMODE 0) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member ALUMODE 1) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member ALUMODE 2) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member ALUMODE 3) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 0) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 1) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 2) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 3) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 4) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 5) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 6) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 7) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 8) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 9) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 10) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 11) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 12) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 13) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 14) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 15) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 16) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 17) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 18) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 19) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 20) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 21) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 22) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 23) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 24) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 25) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 26) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 27) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 28) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 29) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_0 "feedback_data_0(0)") (joined + (portRef (member ACOUT 29) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 29) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_48_i_0 (joined + (portRef (member ACOUT 28) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 28) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_2 "feedback_data_0(2)") (joined + (portRef (member ACOUT 27) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 27) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_3 "feedback_data_0(3)") (joined + (portRef (member ACOUT 26) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 26) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_4 "feedback_data_0(4)") (joined + (portRef (member ACOUT 25) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 25) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_44_i_0 (joined + (portRef (member ACOUT 24) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 24) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_40_i_0 (joined + (portRef (member ACOUT 23) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 23) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_7 "feedback_data_0(7)") (joined + (portRef (member ACOUT 22) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 22) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_8 "feedback_data_0(8)") (joined + (portRef (member ACOUT 21) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 21) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_9 "feedback_data_0(9)") (joined + (portRef (member ACOUT 20) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 20) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_10 "feedback_data_0(10)") (joined + (portRef (member ACOUT 19) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 19) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_41_i_0 (joined + (portRef (member ACOUT 18) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 18) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_12 "feedback_data_0(12)") (joined + (portRef (member ACOUT 17) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 17) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_13 "feedback_data_0(13)") (joined + (portRef (member ACOUT 16) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 16) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_14 "feedback_data_0(14)") (joined + (portRef (member ACOUT 15) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 15) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_15 "feedback_data_0(15)") (joined + (portRef (member ACOUT 14) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 14) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_36_i_0 (joined + (portRef (member ACOUT 13) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 13) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_32_i_0 (joined + (portRef (member ACOUT 12) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 12) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_28_i_0 (joined + (portRef (member ACOUT 11) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 11) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_19 "feedback_data_0(19)") (joined + (portRef (member ACOUT 10) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 10) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_24_i_0 (joined + (portRef (member ACOUT 9) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 9) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_20_i_0 (joined + (portRef (member ACOUT 8) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 8) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_22 "feedback_data_0(22)") (joined + (portRef (member ACOUT 7) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 7) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_37_i_0 (joined + (portRef (member ACOUT 6) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 6) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_33_i_0 (joined + (portRef (member ACOUT 5) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 5) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_29_i_0 (joined + (portRef (member ACOUT 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 4) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net GND_12 (joined + (portRef (member ACOUT 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 3) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net GND_13 (joined + (portRef (member ACOUT 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 2) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net GND_14 (joined + (portRef (member ACOUT 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 1) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net GND_15 (joined + (portRef (member ACOUT 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 0) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b3_re_17 "b3_re(17)") (joined + (portRef (member b3_re 14)) + (portRef (member A 29) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 17) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b3_re_18 "b3_re(18)") (joined + (portRef (member b3_re 13)) + (portRef (member A 28) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 16) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b3_re_19 "b3_re(19)") (joined + (portRef (member b3_re 12)) + (portRef (member A 27) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 15) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b3_re_20 "b3_re(20)") (joined + (portRef (member b3_re 11)) + (portRef (member A 26) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 14) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b3_re_21 "b3_re(21)") (joined + (portRef (member b3_re 10)) + (portRef (member A 25) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 13) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b3_re_22 "b3_re(22)") (joined + (portRef (member b3_re 9)) + (portRef (member A 24) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 12) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b3_re_23 "b3_re(23)") (joined + (portRef (member b3_re 8)) + (portRef (member A 23) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 11) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b3_re_24 "b3_re(24)") (joined + (portRef (member b3_re 7)) + (portRef (member A 22) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 10) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b3_re_25 "b3_re(25)") (joined + (portRef (member b3_re 6)) + (portRef (member A 21) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 9) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b3_re_26 "b3_re(26)") (joined + (portRef (member b3_re 5)) + (portRef (member A 20) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 8) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b3_re_27 "b3_re(27)") (joined + (portRef (member b3_re 4)) + (portRef (member A 19) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 7) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b3_re_28 "b3_re(28)") (joined + (portRef (member b3_re 3)) + (portRef (member A 18) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 6) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b3_re_29 "b3_re(29)") (joined + (portRef (member b3_re 2)) + (portRef (member A 17) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 5) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b3_re_30 "b3_re(30)") (joined + (portRef (member b3_re 1)) + (portRef (member A 16) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 4) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b3_re_31 "b3_re(31)") (joined + (portRef (member b3_re 0)) + (portRef (member A 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 3) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 4) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 5) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 6) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 7) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 8) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 9) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 10) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 11) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 12) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 13) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 14) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 15) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 0) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member B 1) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member B 2) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member B 3) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename VCCZ0 "VCC") (joined + (portRef P (instanceRef VCC)) + (portRef (member OPMODE 6) (instanceRef B0_product_signed_0_33_0)) + (portRef (member OPMODE 8) (instanceRef B0_product_signed_0_33_0)) + (portRef (member OPMODE 6) (instanceRef A1_product_signed_0_44_0)) + (portRef (member OPMODE 8) (instanceRef A1_product_signed_0_44_0)) + (portRef (member OPMODE 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member OPMODE 4) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member OPMODE 6) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member OPMODE 8) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member OPMODE 2) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member OPMODE 4) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member OPMODE 6) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member OPMODE 8) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member OPMODE 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member OPMODE 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member OPMODE 6) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member OPMODE 8) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member OPMODE 2) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member OPMODE 4) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member OPMODE 6) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member OPMODE 8) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0 "A1_product_signed_0(0)") (joined + (portRef (member PCOUT 47) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 47) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_1 "A1_product_signed_0(1)") (joined + (portRef (member PCOUT 46) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 46) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_2 "A1_product_signed_0(2)") (joined + (portRef (member PCOUT 45) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 45) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_3 "A1_product_signed_0(3)") (joined + (portRef (member PCOUT 44) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 44) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_4 "A1_product_signed_0(4)") (joined + (portRef (member PCOUT 43) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 43) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_5 "A1_product_signed_0(5)") (joined + (portRef (member PCOUT 42) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 42) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_6 "A1_product_signed_0(6)") (joined + (portRef (member PCOUT 41) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 41) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_7 "A1_product_signed_0(7)") (joined + (portRef (member PCOUT 40) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 40) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_8 "A1_product_signed_0(8)") (joined + (portRef (member PCOUT 39) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 39) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_9 "A1_product_signed_0(9)") (joined + (portRef (member PCOUT 38) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 38) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_10 "A1_product_signed_0(10)") (joined + (portRef (member PCOUT 37) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 37) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_11 "A1_product_signed_0(11)") (joined + (portRef (member PCOUT 36) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 36) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_12 "A1_product_signed_0(12)") (joined + (portRef (member PCOUT 35) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 35) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_13 "A1_product_signed_0(13)") (joined + (portRef (member PCOUT 34) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 34) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_14 "A1_product_signed_0(14)") (joined + (portRef (member PCOUT 33) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 33) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_15 "A1_product_signed_0(15)") (joined + (portRef (member PCOUT 32) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 32) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_16 "A1_product_signed_0(16)") (joined + (portRef (member PCOUT 31) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 31) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_17 "A1_product_signed_0_0(17)") (joined + (portRef (member PCOUT 30) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 30) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_18 "A1_product_signed_0_0(18)") (joined + (portRef (member PCOUT 29) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 29) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_19 "A1_product_signed_0_0(19)") (joined + (portRef (member PCOUT 28) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 28) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_20 "A1_product_signed_0_0(20)") (joined + (portRef (member PCOUT 27) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 27) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_21 "A1_product_signed_0_0(21)") (joined + (portRef (member PCOUT 26) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 26) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_22 "A1_product_signed_0_0(22)") (joined + (portRef (member PCOUT 25) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 25) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_23 "A1_product_signed_0_0(23)") (joined + (portRef (member PCOUT 24) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 24) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_24 "A1_product_signed_0_0(24)") (joined + (portRef (member PCOUT 23) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 23) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_25 "A1_product_signed_0_0(25)") (joined + (portRef (member PCOUT 22) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 22) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_26 "A1_product_signed_0_0(26)") (joined + (portRef (member PCOUT 21) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 21) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_27 "A1_product_signed_0_0(27)") (joined + (portRef (member PCOUT 20) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 20) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_28 "A1_product_signed_0_0(28)") (joined + (portRef (member PCOUT 19) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 19) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_29 "A1_product_signed_0_0(29)") (joined + (portRef (member PCOUT 18) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 18) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_30 "A1_product_signed_0_0(30)") (joined + (portRef (member PCOUT 17) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 17) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_31 "A1_product_signed_0_0(31)") (joined + (portRef (member PCOUT 16) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 16) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_32 "A1_product_signed_0_0(32)") (joined + (portRef (member PCOUT 15) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 15) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_33 "A1_product_signed_0_0(33)") (joined + (portRef (member PCOUT 14) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 14) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_34 "A1_product_signed_0_0(34)") (joined + (portRef (member PCOUT 13) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 13) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_35 "A1_product_signed_0_0(35)") (joined + (portRef (member PCOUT 12) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 12) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_36 "A1_product_signed_0_0(36)") (joined + (portRef (member PCOUT 11) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 11) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_37 "A1_product_signed_0_0(37)") (joined + (portRef (member PCOUT 10) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 10) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_38 "A1_product_signed_0_0(38)") (joined + (portRef (member PCOUT 9) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 9) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_39 "A1_product_signed_0_0(39)") (joined + (portRef (member PCOUT 8) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 8) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_40 "A1_product_signed_0_0(40)") (joined + (portRef (member PCOUT 7) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 7) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_41 "A1_product_signed_0_0(41)") (joined + (portRef (member PCOUT 6) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 6) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_42 "A1_product_signed_0_0(42)") (joined + (portRef (member PCOUT 5) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 5) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_43 "A1_product_signed_0_0(43)") (joined + (portRef (member PCOUT 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 4) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_44 "A1_product_signed_0_0(44)") (joined + (portRef (member PCOUT 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 3) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename P_uc_26_0_45 "P_uc_26_0(45)") (joined + (portRef (member PCOUT 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 2) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename P_uc_26_0_46 "P_uc_26_0(46)") (joined + (portRef (member PCOUT 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 1) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename P_uc_26_0_47 "P_uc_26_0(47)") (joined + (portRef (member PCOUT 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 0) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_1_26 "A1_product_signed_1(26)") (joined + (portRef (member PCOUT 47) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 47) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_27 "A1_product_signed_1(27)") (joined + (portRef (member PCOUT 46) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 46) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_28 "A1_product_signed_1(28)") (joined + (portRef (member PCOUT 45) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 45) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_29 "A1_product_signed_1(29)") (joined + (portRef (member PCOUT 44) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 44) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_30 "A1_product_signed_1(30)") (joined + (portRef (member PCOUT 43) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 43) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_31 "A1_product_signed_1(31)") (joined + (portRef (member PCOUT 42) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 42) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_32 "A1_product_signed_1(32)") (joined + (portRef (member PCOUT 41) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 41) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_33 "A1_product_signed_1(33)") (joined + (portRef (member PCOUT 40) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 40) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_34 "A1_product_signed_1(34)") (joined + (portRef (member PCOUT 39) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 39) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_35 "A1_product_signed_1(35)") (joined + (portRef (member PCOUT 38) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 38) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_36 "A1_product_signed_1(36)") (joined + (portRef (member PCOUT 37) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 37) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_37 "A1_product_signed_1(37)") (joined + (portRef (member PCOUT 36) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 36) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_38 "A1_product_signed_1(38)") (joined + (portRef (member PCOUT 35) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 35) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_39 "A1_product_signed_1(39)") (joined + (portRef (member PCOUT 34) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 34) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_40 "A1_product_signed_1(40)") (joined + (portRef (member PCOUT 33) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 33) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_41 "A1_product_signed_1(41)") (joined + (portRef (member PCOUT 32) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 32) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_42 "A1_product_signed_1(42)") (joined + (portRef (member PCOUT 31) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 31) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_17 "A1_product_signedAdd_1_0(17)") (joined + (portRef (member PCOUT 30) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 30) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_18 "A1_product_signedAdd_1_0(18)") (joined + (portRef (member PCOUT 29) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 29) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_19 "A1_product_signedAdd_1_0(19)") (joined + (portRef (member PCOUT 28) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 28) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_20 "A1_product_signedAdd_1_0(20)") (joined + (portRef (member PCOUT 27) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 27) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_21 "A1_product_signedAdd_1_0(21)") (joined + (portRef (member PCOUT 26) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 26) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_22 "A1_product_signedAdd_1_0(22)") (joined + (portRef (member PCOUT 25) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 25) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_23 "A1_product_signedAdd_1_0(23)") (joined + (portRef (member PCOUT 24) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 24) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_24 "A1_product_signedAdd_1_0(24)") (joined + (portRef (member PCOUT 23) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 23) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_25 "A1_product_signedAdd_1_0(25)") (joined + (portRef (member PCOUT 22) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 22) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_26 "A1_product_signedAdd_1_0(26)") (joined + (portRef (member PCOUT 21) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 21) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_27 "A1_product_signedAdd_1_0(27)") (joined + (portRef (member PCOUT 20) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 20) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_28 "A1_product_signedAdd_1_0(28)") (joined + (portRef (member PCOUT 19) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 19) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_29 "A1_product_signedAdd_1_0(29)") (joined + (portRef (member PCOUT 18) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 18) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_30 "A1_product_signedAdd_1_0(30)") (joined + (portRef (member PCOUT 17) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 17) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_31 "A1_product_signedAdd_1_0(31)") (joined + (portRef (member PCOUT 16) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 16) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_32 "A1_product_signedAdd_1_0(32)") (joined + (portRef (member PCOUT 15) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 15) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_33 "A1_product_signedAdd_1_0(33)") (joined + (portRef (member PCOUT 14) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 14) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_34 "A1_product_signedAdd_1_0(34)") (joined + (portRef (member PCOUT 13) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 13) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_2_0_35 "P_uc_2_0(35)") (joined + (portRef (member PCOUT 12) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 12) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_2_0_36 "P_uc_2_0(36)") (joined + (portRef (member PCOUT 11) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 11) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_2_0_37 "P_uc_2_0(37)") (joined + (portRef (member PCOUT 10) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 10) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_2_0_38 "P_uc_2_0(38)") (joined + (portRef (member PCOUT 9) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 9) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_2_0_39 "P_uc_2_0(39)") (joined + (portRef (member PCOUT 8) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 8) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_2_0_40 "P_uc_2_0(40)") (joined + (portRef (member PCOUT 7) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 7) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_2_0_41 "P_uc_2_0(41)") (joined + (portRef (member PCOUT 6) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 6) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_2_0_42 "P_uc_2_0(42)") (joined + (portRef (member PCOUT 5) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 5) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_8_0_43 "P_uc_8_0(43)") (joined + (portRef (member PCOUT 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 4) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_8_0_44 "P_uc_8_0(44)") (joined + (portRef (member PCOUT 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 3) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_8_0_45 "P_uc_8_0(45)") (joined + (portRef (member PCOUT 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 2) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_8_0_46 "P_uc_8_0(46)") (joined + (portRef (member PCOUT 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 1) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_8_0_47 "P_uc_8_0(47)") (joined + (portRef (member PCOUT 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 0) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename b3_re_0 "b3_re(0)") (joined + (portRef (member b3_re 31)) + (portRef (member B 17) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 29) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b3_re_1 "b3_re(1)") (joined + (portRef (member b3_re 30)) + (portRef (member B 16) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 28) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b3_re_2 "b3_re(2)") (joined + (portRef (member b3_re 29)) + (portRef (member B 15) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 27) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b3_re_3 "b3_re(3)") (joined + (portRef (member b3_re 28)) + (portRef (member B 14) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 26) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b3_re_4 "b3_re(4)") (joined + (portRef (member b3_re 27)) + (portRef (member B 13) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 25) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b3_re_5 "b3_re(5)") (joined + (portRef (member b3_re 26)) + (portRef (member B 12) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 24) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b3_re_6 "b3_re(6)") (joined + (portRef (member b3_re 25)) + (portRef (member B 11) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 23) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b3_re_7 "b3_re(7)") (joined + (portRef (member b3_re 24)) + (portRef (member B 10) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 22) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b3_re_8 "b3_re(8)") (joined + (portRef (member b3_re 23)) + (portRef (member B 9) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 21) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b3_re_9 "b3_re(9)") (joined + (portRef (member b3_re 22)) + (portRef (member B 8) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 20) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b3_re_10 "b3_re(10)") (joined + (portRef (member b3_re 21)) + (portRef (member B 7) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 19) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b3_re_11 "b3_re(11)") (joined + (portRef (member b3_re 20)) + (portRef (member B 6) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 18) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b3_re_12 "b3_re(12)") (joined + (portRef (member b3_re 19)) + (portRef (member B 5) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 17) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b3_re_13 "b3_re(13)") (joined + (portRef (member b3_re 18)) + (portRef (member B 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 16) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b3_re_14 "b3_re(14)") (joined + (portRef (member b3_re 17)) + (portRef (member B 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 15) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b3_re_15 "b3_re(15)") (joined + (portRef (member b3_re 16)) + (portRef (member B 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 14) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b3_re_16 "b3_re(16)") (joined + (portRef (member b3_re 15)) + (portRef (member B 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 13) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net N_25_i (joined + (portRef N_25_i (instanceRef gO_4_26_MUX12)) + (portRef (member B 17) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 17) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net N_21_i (joined + (portRef N_21_i (instanceRef gO_4_27_MUX12)) + (portRef (member B 16) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 16) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename feedback_data_28 "feedback_data(28)") (joined + (portRef feedback_data_0 (instanceRef gO_4_28_MUX12)) + (portRef (member feedback_data 0) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member B 15) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 15) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net N_16_i (joined + (portRef N_16_i (instanceRef gO_4_29_MUX12)) + (portRef (member B 14) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 14) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net N_17_i (joined + (portRef N_17_i (instanceRef gO_4_30_MUX12)) + (portRef (member B 13) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 13) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net N_12_i (joined + (portRef N_12_i (instanceRef gO_4_31_MUX12)) + (portRef (member B 12) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 12) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net N_13_i (joined + (portRef N_13_i (instanceRef gO_4_32_MUX12)) + (portRef (member B 11) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 11) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net N_9_i (joined + (portRef N_9_i (instanceRef gO_4_33_MUX12)) + (portRef (member B 10) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 10) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net N_8_i (joined + (portRef N_8_i (instanceRef gO_4_34_MUX12)) + (portRef (member B 9) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 9) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename feedback_data_35 "feedback_data(35)") (joined + (portRef feedback_data_0 (instanceRef gO_4_35_MUX12)) + (portRef (member B 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 3) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 4) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 5) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 6) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 7) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 8) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member B 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member B 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member B 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member B 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member B 5) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member B 6) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member B 7) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member B 8) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename B0_product_signed_17 "B0_product_signed(17)") (joined + (portRef (member P 47) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_37)) + )) + (net (rename B0_product_signed_18 "B0_product_signed(18)") (joined + (portRef (member P 46) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_38)) + )) + (net (rename B0_product_signed_19 "B0_product_signed(19)") (joined + (portRef (member P 45) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_39)) + )) + (net (rename B0_product_signed_20 "B0_product_signed(20)") (joined + (portRef (member P 44) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_40)) + )) + (net (rename B0_product_signed_21 "B0_product_signed(21)") (joined + (portRef (member P 43) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_41)) + )) + (net (rename B0_product_signed_22 "B0_product_signed(22)") (joined + (portRef (member P 42) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_42)) + )) + (net (rename B0_product_signed_23 "B0_product_signed(23)") (joined + (portRef (member P 41) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_43)) + )) + (net (rename B0_product_signed_24 "B0_product_signed(24)") (joined + (portRef (member P 40) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_44)) + )) + (net (rename B0_product_signed_25 "B0_product_signed(25)") (joined + (portRef (member P 39) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_45)) + )) + (net (rename B0_product_signed_26 "B0_product_signed(26)") (joined + (portRef (member P 38) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_46)) + )) + (net (rename B0_product_signed_27 "B0_product_signed(27)") (joined + (portRef (member P 37) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_47)) + )) + (net (rename B0_product_signed_28 "B0_product_signed(28)") (joined + (portRef (member P 36) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_48)) + )) + (net (rename B0_product_signed_29 "B0_product_signed(29)") (joined + (portRef (member P 35) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_49)) + )) + (net (rename B0_product_signed_30 "B0_product_signed(30)") (joined + (portRef (member P 34) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_50)) + )) + (net (rename B0_product_signed_31 "B0_product_signed(31)") (joined + (portRef (member P 33) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_51)) + )) + (net (rename B0_product_signed_32 "B0_product_signed(32)") (joined + (portRef (member P 32) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_52)) + )) + (net (rename B0_product_signed_33 "B0_product_signed(33)") (joined + (portRef (member P 31) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_53)) + )) + (net (rename B0_product_signed_34 "B0_product_signed(34)") (joined + (portRef (member P 30) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_54)) + )) + (net (rename B0_product_signed_35 "B0_product_signed(35)") (joined + (portRef (member P 29) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_55)) + )) + (net (rename B0_product_signed_36 "B0_product_signed(36)") (joined + (portRef (member P 28) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_56)) + )) + (net (rename B0_product_signed_37 "B0_product_signed(37)") (joined + (portRef (member P 27) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_57)) + )) + (net (rename B0_product_signed_38 "B0_product_signed(38)") (joined + (portRef (member P 26) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_58)) + )) + (net (rename B0_product_signed_39 "B0_product_signed(39)") (joined + (portRef (member P 25) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_59)) + )) + (net (rename B0_product_signed_40 "B0_product_signed(40)") (joined + (portRef (member P 24) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_60)) + )) + (net (rename B0_product_signed_41 "B0_product_signed(41)") (joined + (portRef (member P 23) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_61)) + )) + (net (rename B0_product_signed_42 "B0_product_signed(42)") (joined + (portRef (member P 22) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_62)) + )) + (net (rename B0_product_signed_43 "B0_product_signed(43)") (joined + (portRef (member P 21) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_63)) + )) + (net (rename B0_product_signed_44 "B0_product_signed(44)") (joined + (portRef (member P 20) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_64)) + )) + (net (rename B0_product_signed_45 "B0_product_signed(45)") (joined + (portRef (member P 19) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_65)) + )) + (net (rename B0_product_signed_46 "B0_product_signed(46)") (joined + (portRef (member P 18) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_66)) + )) + (net (rename B0_product_signed_47 "B0_product_signed(47)") (joined + (portRef (member P 17) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_67)) + (portRef I1 (instanceRef un1_psum0_signed_axb_68)) + (portRef I1 (instanceRef un1_psum0_signed_axb_69)) + (portRef I1 (instanceRef un1_psum0_signed_axb_70)) + )) + (net (rename a3_re_17 "a3_re(17)") (joined + (portRef (member a3_re 14)) + (portRef (member A 29) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a3_re_18 "a3_re(18)") (joined + (portRef (member a3_re 13)) + (portRef (member A 28) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a3_re_19 "a3_re(19)") (joined + (portRef (member a3_re 12)) + (portRef (member A 27) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a3_re_20 "a3_re(20)") (joined + (portRef (member a3_re 11)) + (portRef (member A 26) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a3_re_21 "a3_re(21)") (joined + (portRef (member a3_re 10)) + (portRef (member A 25) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a3_re_22 "a3_re(22)") (joined + (portRef (member a3_re 9)) + (portRef (member A 24) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a3_re_23 "a3_re(23)") (joined + (portRef (member a3_re 8)) + (portRef (member A 23) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a3_re_24 "a3_re(24)") (joined + (portRef (member a3_re 7)) + (portRef (member A 22) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a3_re_25 "a3_re(25)") (joined + (portRef (member a3_re 6)) + (portRef (member A 21) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a3_re_26 "a3_re(26)") (joined + (portRef (member a3_re 5)) + (portRef (member A 20) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a3_re_27 "a3_re(27)") (joined + (portRef (member a3_re 4)) + (portRef (member A 19) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a3_re_28 "a3_re(28)") (joined + (portRef (member a3_re 3)) + (portRef (member A 18) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a3_re_29 "a3_re(29)") (joined + (portRef (member a3_re 2)) + (portRef (member A 17) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a3_re_30 "a3_re(30)") (joined + (portRef (member a3_re 1)) + (portRef (member A 16) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a3_re_31 "a3_re(31)") (joined + (portRef (member a3_re 0)) + (portRef (member A 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 2) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 3) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 4) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 5) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 6) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 7) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 8) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 9) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 10) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 11) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 12) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 13) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 14) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 15) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_0 "gated_in_data(0)") (joined + (portRef (member gated_in_data 15)) + (portRef (member B 17) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 17) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_1 "gated_in_data(1)") (joined + (portRef (member gated_in_data 14)) + (portRef (member B 16) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 16) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_2 "gated_in_data(2)") (joined + (portRef (member gated_in_data 13)) + (portRef (member B 15) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 15) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_3 "gated_in_data(3)") (joined + (portRef (member gated_in_data 12)) + (portRef (member B 14) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 14) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_4 "gated_in_data(4)") (joined + (portRef (member gated_in_data 11)) + (portRef (member B 13) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 13) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_5 "gated_in_data(5)") (joined + (portRef (member gated_in_data 10)) + (portRef (member B 12) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 12) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_6 "gated_in_data(6)") (joined + (portRef (member gated_in_data 9)) + (portRef (member B 11) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 11) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_7 "gated_in_data(7)") (joined + (portRef (member gated_in_data 8)) + (portRef (member B 10) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 10) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_8 "gated_in_data(8)") (joined + (portRef (member gated_in_data 7)) + (portRef (member B 9) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 9) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_9 "gated_in_data(9)") (joined + (portRef (member gated_in_data 6)) + (portRef (member B 8) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 8) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_10 "gated_in_data(10)") (joined + (portRef (member gated_in_data 5)) + (portRef (member B 7) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 7) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_11 "gated_in_data(11)") (joined + (portRef (member gated_in_data 4)) + (portRef (member B 6) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 6) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_12 "gated_in_data(12)") (joined + (portRef (member gated_in_data 3)) + (portRef (member B 5) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 5) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_13 "gated_in_data(13)") (joined + (portRef (member gated_in_data 2)) + (portRef (member B 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 4) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_14 "gated_in_data(14)") (joined + (portRef (member gated_in_data 1)) + (portRef (member B 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 3) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_15 "gated_in_data(15)") (joined + (portRef (member gated_in_data 0)) + (portRef (member B 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member B 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member B 2) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0 "B0_product_signed_0(0)") (joined + (portRef (member PCOUT 47) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 47) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_1 "B0_product_signed_0(1)") (joined + (portRef (member PCOUT 46) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 46) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_2 "B0_product_signed_0(2)") (joined + (portRef (member PCOUT 45) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 45) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_3 "B0_product_signed_0(3)") (joined + (portRef (member PCOUT 44) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 44) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_4 "B0_product_signed_0(4)") (joined + (portRef (member PCOUT 43) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 43) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_5 "B0_product_signed_0(5)") (joined + (portRef (member PCOUT 42) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 42) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_6 "B0_product_signed_0(6)") (joined + (portRef (member PCOUT 41) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 41) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_7 "B0_product_signed_0(7)") (joined + (portRef (member PCOUT 40) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 40) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_8 "B0_product_signed_0(8)") (joined + (portRef (member PCOUT 39) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 39) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_9 "B0_product_signed_0(9)") (joined + (portRef (member PCOUT 38) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 38) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_10 "B0_product_signed_0(10)") (joined + (portRef (member PCOUT 37) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 37) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_11 "B0_product_signed_0(11)") (joined + (portRef (member PCOUT 36) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 36) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_12 "B0_product_signed_0(12)") (joined + (portRef (member PCOUT 35) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 35) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_13 "B0_product_signed_0(13)") (joined + (portRef (member PCOUT 34) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 34) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_14 "B0_product_signed_0(14)") (joined + (portRef (member PCOUT 33) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 33) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_15 "B0_product_signed_0(15)") (joined + (portRef (member PCOUT 32) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 32) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_16 "B0_product_signed_0(16)") (joined + (portRef (member PCOUT 31) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 31) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_17 "B0_product_signed_0_0(17)") (joined + (portRef (member PCOUT 30) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 30) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_18 "B0_product_signed_0_0(18)") (joined + (portRef (member PCOUT 29) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 29) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_19 "B0_product_signed_0_0(19)") (joined + (portRef (member PCOUT 28) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 28) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_20 "B0_product_signed_0_0(20)") (joined + (portRef (member PCOUT 27) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 27) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_21 "B0_product_signed_0_0(21)") (joined + (portRef (member PCOUT 26) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 26) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_22 "B0_product_signed_0_0(22)") (joined + (portRef (member PCOUT 25) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 25) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_23 "B0_product_signed_0_0(23)") (joined + (portRef (member PCOUT 24) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 24) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_24 "B0_product_signed_0_0(24)") (joined + (portRef (member PCOUT 23) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 23) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_25 "B0_product_signed_0_0(25)") (joined + (portRef (member PCOUT 22) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 22) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_26 "B0_product_signed_0_0(26)") (joined + (portRef (member PCOUT 21) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 21) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_27 "B0_product_signed_0_0(27)") (joined + (portRef (member PCOUT 20) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 20) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_28 "B0_product_signed_0_0(28)") (joined + (portRef (member PCOUT 19) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 19) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_29 "B0_product_signed_0_0(29)") (joined + (portRef (member PCOUT 18) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 18) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_30 "B0_product_signed_0_0(30)") (joined + (portRef (member PCOUT 17) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 17) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_31 "B0_product_signed_0_0(31)") (joined + (portRef (member PCOUT 16) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 16) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_32 "B0_product_signed_0_0(32)") (joined + (portRef (member PCOUT 15) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 15) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_33 "B0_product_signed_0_0(33)") (joined + (portRef (member PCOUT 14) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 14) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_14_0_34 "P_uc_14_0(34)") (joined + (portRef (member PCOUT 13) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 13) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_20_0_35 "P_uc_20_0(35)") (joined + (portRef (member PCOUT 12) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 12) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_20_0_36 "P_uc_20_0(36)") (joined + (portRef (member PCOUT 11) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 11) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_20_0_37 "P_uc_20_0(37)") (joined + (portRef (member PCOUT 10) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 10) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_20_0_38 "P_uc_20_0(38)") (joined + (portRef (member PCOUT 9) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 9) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_20_0_39 "P_uc_20_0(39)") (joined + (portRef (member PCOUT 8) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 8) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_20_0_40 "P_uc_20_0(40)") (joined + (portRef (member PCOUT 7) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 7) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_20_0_41 "P_uc_20_0(41)") (joined + (portRef (member PCOUT 6) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 6) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_20_0_42 "P_uc_20_0(42)") (joined + (portRef (member PCOUT 5) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 5) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_26_0_43 "P_uc_26_0(43)") (joined + (portRef (member PCOUT 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 4) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_26_0_44 "P_uc_26_0(44)") (joined + (portRef (member PCOUT 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 3) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_32_0_45 "P_uc_32_0(45)") (joined + (portRef (member PCOUT 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 2) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_32_0_46 "P_uc_32_0(46)") (joined + (portRef (member PCOUT 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 1) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_32_0_47 "P_uc_32_0(47)") (joined + (portRef (member PCOUT 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 0) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename A1_product_signed_43 "A1_product_signed(43)") (joined + (portRef (member P 47) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_43)) + (portRef I0 (instanceRef psum1_signed_cry_43_thru)) + (portRef I0 (instanceRef psum1_signed_s_43_thru)) + )) + (net (rename A1_product_signed_44 "A1_product_signed(44)") (joined + (portRef (member P 46) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_47)) + (portRef I0 (instanceRef psum1_signed_cry_44_thru)) + (portRef I0 (instanceRef psum1_signed_s_44_thru)) + )) + (net (rename A1_product_signed_45 "A1_product_signed(45)") (joined + (portRef (member P 45) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_47)) + (portRef I0 (instanceRef psum1_signed_cry_45_thru)) + (portRef I0 (instanceRef psum1_signed_s_45_thru)) + )) + (net (rename A1_product_signed_46 "A1_product_signed(46)") (joined + (portRef (member P 44) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_47)) + (portRef I0 (instanceRef psum1_signed_cry_46_thru)) + (portRef I0 (instanceRef psum1_signed_s_46_thru)) + )) + (net (rename A1_product_signed_47 "A1_product_signed(47)") (joined + (portRef (member P 43) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_47)) + (portRef I0 (instanceRef psum1_signed_cry_47_thru)) + (portRef I0 (instanceRef psum1_signed_s_47_thru)) + )) + (net (rename A1_product_signed_48 "A1_product_signed(48)") (joined + (portRef (member P 42) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_51)) + (portRef I0 (instanceRef psum1_signed_cry_48_thru)) + (portRef I0 (instanceRef psum1_signed_s_48_thru)) + )) + (net (rename A1_product_signed_49 "A1_product_signed(49)") (joined + (portRef (member P 41) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_51)) + (portRef I0 (instanceRef psum1_signed_cry_49_thru)) + (portRef I0 (instanceRef psum1_signed_s_49_thru)) + )) + (net (rename A1_product_signed_50 "A1_product_signed(50)") (joined + (portRef (member P 40) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_51)) + (portRef I0 (instanceRef psum1_signed_cry_50_thru)) + (portRef I0 (instanceRef psum1_signed_s_50_thru)) + )) + (net (rename A1_product_signed_51 "A1_product_signed(51)") (joined + (portRef (member P 39) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_51)) + (portRef I0 (instanceRef psum1_signed_cry_51_thru)) + (portRef I0 (instanceRef psum1_signed_s_51_thru)) + )) + (net (rename A1_product_signed_52 "A1_product_signed(52)") (joined + (portRef (member P 38) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_55)) + (portRef I0 (instanceRef psum1_signed_cry_52_thru)) + (portRef I0 (instanceRef psum1_signed_s_52_thru)) + )) + (net (rename A1_product_signed_53 "A1_product_signed(53)") (joined + (portRef (member P 37) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_55)) + (portRef I0 (instanceRef psum1_signed_cry_53_thru)) + (portRef I0 (instanceRef psum1_signed_s_53_thru)) + )) + (net (rename A1_product_signed_54 "A1_product_signed(54)") (joined + (portRef (member P 36) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_55)) + (portRef I0 (instanceRef psum1_signed_cry_54_thru)) + (portRef I0 (instanceRef psum1_signed_s_54_thru)) + )) + (net (rename A1_product_signed_55 "A1_product_signed(55)") (joined + (portRef (member P 35) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_55)) + (portRef I0 (instanceRef psum1_signed_cry_55_thru)) + (portRef I0 (instanceRef psum1_signed_s_55_thru)) + )) + (net (rename A1_product_signed_56 "A1_product_signed(56)") (joined + (portRef (member P 34) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_59)) + (portRef I0 (instanceRef psum1_signed_cry_56_thru)) + (portRef I0 (instanceRef psum1_signed_s_56_thru)) + )) + (net (rename A1_product_signed_57 "A1_product_signed(57)") (joined + (portRef (member P 33) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_59)) + (portRef I0 (instanceRef psum1_signed_cry_57_thru)) + (portRef I0 (instanceRef psum1_signed_s_57_thru)) + )) + (net (rename A1_product_signed_58 "A1_product_signed(58)") (joined + (portRef (member P 32) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_59)) + (portRef I0 (instanceRef psum1_signed_cry_58_thru)) + (portRef I0 (instanceRef psum1_signed_s_58_thru)) + )) + (net (rename A1_product_signed_59 "A1_product_signed(59)") (joined + (portRef (member P 31) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_59)) + (portRef I0 (instanceRef psum1_signed_cry_59_thru)) + (portRef I0 (instanceRef psum1_signed_s_59_thru)) + )) + (net (rename A1_product_signed_60 "A1_product_signed(60)") (joined + (portRef (member P 30) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_63)) + (portRef I0 (instanceRef psum1_signed_cry_60_thru)) + (portRef I0 (instanceRef psum1_signed_s_60_thru)) + )) + (net (rename A1_product_signed_61 "A1_product_signed(61)") (joined + (portRef (member P 29) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_63)) + (portRef I0 (instanceRef psum1_signed_cry_61_thru)) + (portRef I0 (instanceRef psum1_signed_s_61_thru)) + )) + (net (rename A1_product_signed_62 "A1_product_signed(62)") (joined + (portRef (member P 28) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_63)) + (portRef I0 (instanceRef psum1_signed_cry_62_thru)) + (portRef I0 (instanceRef psum1_signed_s_62_thru)) + )) + (net (rename A1_product_signed_63 "A1_product_signed(63)") (joined + (portRef (member P 27) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_63)) + (portRef I0 (instanceRef psum1_signed_cry_63_thru)) + (portRef I0 (instanceRef psum1_signed_s_63_thru)) + )) + (net (rename A1_product_signed_64 "A1_product_signed(64)") (joined + (portRef (member P 26) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_67)) + (portRef I0 (instanceRef psum1_signed_cry_64_thru)) + (portRef I0 (instanceRef psum1_signed_s_64_thru)) + )) + (net (rename A1_product_signed_65 "A1_product_signed(65)") (joined + (portRef (member P 25) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_67)) + (portRef I0 (instanceRef psum1_signed_cry_65_thru)) + (portRef I0 (instanceRef psum1_signed_s_65_thru)) + )) + (net (rename A1_product_signed_66 "A1_product_signed(66)") (joined + (portRef (member P 24) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_67)) + (portRef I0 (instanceRef psum1_signed_cry_66_thru)) + (portRef I0 (instanceRef psum1_signed_s_66_thru)) + )) + (net (rename A1_product_signed_67 "A1_product_signed(67)") (joined + (portRef (member P 23) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef I0 (instanceRef psum1_signed_cry_67_RNO)) + (portRef I0 (instanceRef psum1_signed_s_69_RNO)) + (portRef I0 (instanceRef psum1_signed_s_69_thru)) + )) + (net (rename A1_product_signed_0 "A1_product_signed(0)") (joined + (portRef (member P 47) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_3)) + (portRef I0 (instanceRef psum1_signed_cry_0_thru)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_0)) + )) + (net (rename feedback_data_0 "feedback_data(0)") (joined + (portRef feedback_data_0 (instanceRef gO_4_0_MUX12)) + (portRef (member A 29) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_48_i (joined + (portRef N_48_i (instanceRef gO_4_1_MUX12)) + (portRef (member A 28) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_2 "feedback_data(2)") (joined + (portRef feedback_data_0 (instanceRef gO_4_2_MUX12)) + (portRef (member feedback_data 26) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 27) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_3 "feedback_data(3)") (joined + (portRef feedback_data_0 (instanceRef gO_4_3_MUX12)) + (portRef (member feedback_data 25) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 26) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_4 "feedback_data(4)") (joined + (portRef feedback_data_0 (instanceRef gO_4_4_MUX12)) + (portRef (member feedback_data 24) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 25) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_44_i (joined + (portRef N_44_i (instanceRef gO_4_5_MUX12)) + (portRef (member A 24) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_40_i (joined + (portRef N_40_i (instanceRef gO_4_6_MUX12)) + (portRef (member A 23) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_7 "feedback_data(7)") (joined + (portRef feedback_data_0 (instanceRef gO_4_7_MUX12)) + (portRef (member feedback_data 21) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 22) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_8 "feedback_data(8)") (joined + (portRef feedback_data_0 (instanceRef gO_4_8_MUX12)) + (portRef (member feedback_data 20) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 21) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_9 "feedback_data(9)") (joined + (portRef feedback_data_0 (instanceRef gO_4_9_MUX12)) + (portRef (member feedback_data 19) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 20) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_10 "feedback_data(10)") (joined + (portRef feedback_data_0 (instanceRef gO_4_10_MUX12)) + (portRef (member feedback_data 18) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 19) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_41_i (joined + (portRef N_41_i (instanceRef gO_4_11_MUX12)) + (portRef (member A 18) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_12 "feedback_data(12)") (joined + (portRef feedback_data_0 (instanceRef gO_4_12_MUX12)) + (portRef (member feedback_data 16) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 17) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_13 "feedback_data(13)") (joined + (portRef feedback_data_0 (instanceRef gO_4_13_MUX12)) + (portRef (member feedback_data 15) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 16) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_14 "feedback_data(14)") (joined + (portRef feedback_data_0 (instanceRef gO_4_14_MUX12)) + (portRef (member feedback_data 14) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 15) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_15 "feedback_data(15)") (joined + (portRef feedback_data_0 (instanceRef gO_4_15_MUX12)) + (portRef (member feedback_data 13) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 14) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_36_i (joined + (portRef N_36_i (instanceRef gO_4_16_MUX12)) + (portRef (member A 13) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_32_i (joined + (portRef N_32_i (instanceRef gO_4_17_MUX12)) + (portRef (member A 12) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_28_i (joined + (portRef N_28_i (instanceRef gO_4_18_MUX12)) + (portRef (member A 11) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_19 "feedback_data(19)") (joined + (portRef feedback_data_0 (instanceRef gO_4_19_MUX12)) + (portRef (member feedback_data 9) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 10) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_24_i (joined + (portRef N_24_i (instanceRef gO_4_20_MUX12)) + (portRef (member A 9) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_20_i (joined + (portRef N_20_i (instanceRef gO_4_21_MUX12)) + (portRef (member A 8) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_22 "feedback_data(22)") (joined + (portRef feedback_data_0 (instanceRef gO_4_22_MUX12)) + (portRef (member feedback_data 6) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 7) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_37_i (joined + (portRef N_37_i (instanceRef gO_4_23_MUX12)) + (portRef (member A 6) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_33_i (joined + (portRef N_33_i (instanceRef gO_4_24_MUX12)) + (portRef (member A 5) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_29_i (joined + (portRef N_29_i (instanceRef gO_4_25_MUX12)) + (portRef (member A 4) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename B0_product_signed_0 "B0_product_signed(0)") (joined + (portRef (member P 47) (instanceRef B0_product_signed_0_33_0)) + (portRef B0_product_signed_0 (instanceRef gO_2_gO_2cN_AN8)) + (portRef I1 (instanceRef un1_psum0_signed_axb_20)) + )) + (net (rename B0_product_signed_1 "B0_product_signed(1)") (joined + (portRef (member P 46) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_21)) + )) + (net (rename B0_product_signed_2 "B0_product_signed(2)") (joined + (portRef (member P 45) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_22)) + )) + (net (rename B0_product_signed_3 "B0_product_signed(3)") (joined + (portRef (member P 44) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_23)) + )) + (net (rename B0_product_signed_4 "B0_product_signed(4)") (joined + (portRef (member P 43) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_24)) + )) + (net (rename B0_product_signed_5 "B0_product_signed(5)") (joined + (portRef (member P 42) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_25)) + )) + (net (rename B0_product_signed_6 "B0_product_signed(6)") (joined + (portRef (member P 41) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_26)) + )) + (net (rename B0_product_signed_7 "B0_product_signed(7)") (joined + (portRef (member P 40) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_27)) + )) + (net (rename B0_product_signed_8 "B0_product_signed(8)") (joined + (portRef (member P 39) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_28)) + )) + (net (rename B0_product_signed_9 "B0_product_signed(9)") (joined + (portRef (member P 38) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_29)) + )) + (net (rename B0_product_signed_10 "B0_product_signed(10)") (joined + (portRef (member P 37) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_30)) + )) + (net (rename B0_product_signed_11 "B0_product_signed(11)") (joined + (portRef (member P 36) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_31)) + )) + (net (rename B0_product_signed_12 "B0_product_signed(12)") (joined + (portRef (member P 35) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_32)) + )) + (net (rename B0_product_signed_13 "B0_product_signed(13)") (joined + (portRef (member P 34) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_33)) + )) + (net (rename B0_product_signed_14 "B0_product_signed(14)") (joined + (portRef (member P 33) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_34)) + )) + (net (rename B0_product_signed_15 "B0_product_signed(15)") (joined + (portRef (member P 32) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_35)) + )) + (net (rename B0_product_signed_16 "B0_product_signed(16)") (joined + (portRef (member P 31) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_36)) + )) + (net (rename a3_re_0 "a3_re(0)") (joined + (portRef (member a3_re 31)) + (portRef (member A 29) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a3_re_1 "a3_re(1)") (joined + (portRef (member a3_re 30)) + (portRef (member A 28) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a3_re_2 "a3_re(2)") (joined + (portRef (member a3_re 29)) + (portRef (member A 27) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a3_re_3 "a3_re(3)") (joined + (portRef (member a3_re 28)) + (portRef (member A 26) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a3_re_4 "a3_re(4)") (joined + (portRef (member a3_re 27)) + (portRef (member A 25) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a3_re_5 "a3_re(5)") (joined + (portRef (member a3_re 26)) + (portRef (member A 24) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a3_re_6 "a3_re(6)") (joined + (portRef (member a3_re 25)) + (portRef (member A 23) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a3_re_7 "a3_re(7)") (joined + (portRef (member a3_re 24)) + (portRef (member A 22) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a3_re_8 "a3_re(8)") (joined + (portRef (member a3_re 23)) + (portRef (member A 21) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a3_re_9 "a3_re(9)") (joined + (portRef (member a3_re 22)) + (portRef (member A 20) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a3_re_10 "a3_re(10)") (joined + (portRef (member a3_re 21)) + (portRef (member A 19) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a3_re_11 "a3_re(11)") (joined + (portRef (member a3_re 20)) + (portRef (member A 18) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a3_re_12 "a3_re(12)") (joined + (portRef (member a3_re 19)) + (portRef (member A 17) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a3_re_13 "a3_re(13)") (joined + (portRef (member a3_re 18)) + (portRef (member A 16) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a3_re_14 "a3_re(14)") (joined + (portRef (member a3_re 17)) + (portRef (member A 15) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a3_re_15 "a3_re(15)") (joined + (portRef (member a3_re 16)) + (portRef (member A 14) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a3_re_16 "a3_re(16)") (joined + (portRef (member a3_re 15)) + (portRef (member A 13) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename psum1_signed_70 "psum1_signed(70)") (joined + (portRef (member O 2) (instanceRef psum1_signed_s_69)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_69)) + )) + (net (rename psum1_saved_3_70 "psum1_saved_3(70)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_69)) + (portRef D (instanceRef psum1_saved_69)) + )) + (net (rename psum1_signed_68 "psum1_signed(68)") (joined + (portRef (member O 3) (instanceRef psum1_signed_s_69)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_68)) + )) + (net (rename psum1_saved_3_68 "psum1_saved_3(68)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_68)) + (portRef D (instanceRef psum1_saved_68)) + )) + (net (rename psum1_signed_67 "psum1_signed(67)") (joined + (portRef (member O 0) (instanceRef psum1_signed_cry_67)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_67)) + )) + (net psum1_saved_3_9_1 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_67)) + (portRef D (instanceRef psum1_saved_67)) + )) + (net (rename psum1_signed_66 "psum1_signed(66)") (joined + (portRef LO (instanceRef psum1_signed_s_66)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_66)) + )) + (net psum1_saved_3_8_1 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_66)) + (portRef D (instanceRef psum1_saved_66)) + )) + (net (rename psum1_signed_64 "psum1_signed(64)") (joined + (portRef LO (instanceRef psum1_signed_s_64)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_64)) + )) + (net psum1_saved_3_6_1 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_64)) + (portRef D (instanceRef psum1_saved_64)) + )) + (net (rename psum1_signed_63 "psum1_signed(63)") (joined + (portRef LO (instanceRef psum1_signed_s_63)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_63)) + )) + (net psum1_saved_3_5_1 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_63)) + (portRef D (instanceRef psum1_saved_63)) + )) + (net (rename psum1_signed_62 "psum1_signed(62)") (joined + (portRef LO (instanceRef psum1_signed_s_62)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_62)) + )) + (net psum1_saved_3_4_1 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_62)) + (portRef D (instanceRef psum1_saved_62)) + )) + (net (rename psum1_signed_61 "psum1_signed(61)") (joined + (portRef LO (instanceRef psum1_signed_s_61)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_61)) + )) + (net psum1_saved_3_3_1 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_61)) + (portRef D (instanceRef psum1_saved_61)) + )) + (net (rename psum1_signed_60 "psum1_signed(60)") (joined + (portRef LO (instanceRef psum1_signed_s_60)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_60)) + )) + (net psum1_saved_3_2_1 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_60)) + (portRef D (instanceRef psum1_saved_60)) + )) + (net (rename psum1_signed_59 "psum1_signed(59)") (joined + (portRef LO (instanceRef psum1_signed_s_59)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_59)) + )) + (net psum1_saved_3_1_1 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_59)) + (portRef D (instanceRef psum1_saved_59)) + )) + (net (rename psum1_signed_58 "psum1_signed(58)") (joined + (portRef LO (instanceRef psum1_signed_s_58)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_58)) + )) + (net psum1_saved_3_0_1 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_58)) + (portRef D (instanceRef psum1_saved_58)) + )) + (net (rename psum1_signed_57 "psum1_signed(57)") (joined + (portRef LO (instanceRef psum1_signed_s_57)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_57)) + )) + (net psum1_saved_3_71 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_57)) + (portRef D (instanceRef psum1_saved_57)) + )) + (net (rename psum1_signed_56 "psum1_signed(56)") (joined + (portRef LO (instanceRef psum1_signed_s_56)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_56)) + )) + (net (rename psum1_saved_3_56 "psum1_saved_3(56)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_56)) + (portRef D (instanceRef psum1_saved_56)) + )) + (net (rename psum1_signed_55 "psum1_signed(55)") (joined + (portRef LO (instanceRef psum1_signed_s_55)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_55)) + )) + (net (rename psum1_saved_3_55 "psum1_saved_3(55)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_55)) + (portRef D (instanceRef psum1_saved_55)) + )) + (net (rename psum1_signed_54 "psum1_signed(54)") (joined + (portRef LO (instanceRef psum1_signed_s_54)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_54)) + )) + (net (rename psum1_saved_3_54 "psum1_saved_3(54)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_54)) + (portRef D (instanceRef psum1_saved_54)) + )) + (net (rename psum1_signed_53 "psum1_signed(53)") (joined + (portRef LO (instanceRef psum1_signed_s_53)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_53)) + )) + (net (rename psum1_saved_3_53 "psum1_saved_3(53)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_53)) + (portRef D (instanceRef psum1_saved_53)) + )) + (net (rename psum1_signed_52 "psum1_signed(52)") (joined + (portRef LO (instanceRef psum1_signed_s_52)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_52)) + )) + (net (rename psum1_saved_3_52 "psum1_saved_3(52)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_52)) + (portRef D (instanceRef psum1_saved_52)) + )) + (net (rename psum1_signed_51 "psum1_signed(51)") (joined + (portRef LO (instanceRef psum1_signed_s_51)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_51)) + )) + (net (rename psum1_saved_3_51 "psum1_saved_3(51)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_51)) + (portRef D (instanceRef psum1_saved_51)) + )) + (net (rename psum1_signed_50 "psum1_signed(50)") (joined + (portRef LO (instanceRef psum1_signed_s_50)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_50)) + )) + (net (rename psum1_saved_3_50 "psum1_saved_3(50)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_50)) + (portRef D (instanceRef psum1_saved_50)) + )) + (net (rename psum1_signed_49 "psum1_signed(49)") (joined + (portRef LO (instanceRef psum1_signed_s_49)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_49)) + )) + (net (rename psum1_saved_3_49 "psum1_saved_3(49)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_49)) + (portRef D (instanceRef psum1_saved_49)) + )) + (net (rename psum1_signed_48 "psum1_signed(48)") (joined + (portRef LO (instanceRef psum1_signed_s_48)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_48)) + )) + (net (rename psum1_saved_3_48 "psum1_saved_3(48)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_48)) + (portRef D (instanceRef psum1_saved_48)) + )) + (net (rename psum1_signed_47 "psum1_signed(47)") (joined + (portRef LO (instanceRef psum1_signed_s_47)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_47)) + )) + (net (rename psum1_saved_3_47 "psum1_saved_3(47)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_47)) + (portRef D (instanceRef psum1_saved_47)) + )) + (net (rename psum1_signed_46 "psum1_signed(46)") (joined + (portRef LO (instanceRef psum1_signed_s_46)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_46)) + )) + (net (rename psum1_saved_3_46 "psum1_saved_3(46)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_46)) + (portRef D (instanceRef psum1_saved_46)) + )) + (net (rename psum1_signed_45 "psum1_signed(45)") (joined + (portRef LO (instanceRef psum1_signed_s_45)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_45)) + )) + (net (rename psum1_saved_3_45 "psum1_saved_3(45)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_45)) + (portRef D (instanceRef psum1_saved_45)) + )) + (net (rename psum1_signed_44 "psum1_signed(44)") (joined + (portRef LO (instanceRef psum1_signed_s_44)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_44)) + )) + (net (rename psum1_saved_3_44 "psum1_saved_3(44)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_44)) + (portRef D (instanceRef psum1_saved_44)) + )) + (net (rename psum1_signed_43 "psum1_signed(43)") (joined + (portRef LO (instanceRef psum1_signed_s_43)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_43)) + )) + (net (rename psum1_saved_3_43 "psum1_saved_3(43)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_43)) + (portRef D (instanceRef psum1_saved_43)) + )) + (net (rename psum1_saved_3_0 "psum1_saved_3(0)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_0)) + (portRef D (instanceRef psum1_saved_0)) + )) + (net en (joined + (portRef en) + (portRef I0 (instanceRef PSUM2AND1_REGS_un1_enable)) + )) + (net un1_enable (joined + (portRef O (instanceRef PSUM2AND1_REGS_un1_enable)) + (portRef CE (instanceRef data_out_final_35)) + (portRef CE (instanceRef data_out_final_34)) + (portRef CE (instanceRef data_out_final_33)) + (portRef CE (instanceRef data_out_final_32)) + (portRef CE (instanceRef data_out_final_31)) + (portRef CE (instanceRef data_out_final_30)) + (portRef CE (instanceRef data_out_final_29)) + (portRef CE (instanceRef data_out_final_28)) + (portRef CE (instanceRef data_out_final_27)) + (portRef CE (instanceRef data_out_final_26)) + (portRef CE (instanceRef data_out_final_25)) + (portRef CE (instanceRef data_out_final_24)) + (portRef CE (instanceRef data_out_final_23)) + (portRef CE (instanceRef data_out_final_22)) + (portRef CE (instanceRef data_out_final_21)) + (portRef CE (instanceRef data_out_final_20)) + (portRef CE (instanceRef data_out_final_19)) + (portRef CE (instanceRef data_out_final_18)) + (portRef CE (instanceRef data_out_final_17)) + (portRef CE (instanceRef data_out_final_16)) + (portRef CE (instanceRef data_out_final_15)) + (portRef CE (instanceRef data_out_final_14)) + (portRef CE (instanceRef data_out_final_13)) + (portRef CE (instanceRef data_out_final_12)) + (portRef CE (instanceRef data_out_final_11)) + (portRef CE (instanceRef data_out_final_10)) + (portRef CE (instanceRef data_out_final_9)) + (portRef CE (instanceRef data_out_final_8)) + (portRef CE (instanceRef data_out_final_7)) + (portRef CE (instanceRef data_out_final_6)) + (portRef CE (instanceRef data_out_final_5)) + (portRef CE (instanceRef data_out_final_4)) + (portRef CE (instanceRef data_out_final_3)) + (portRef CE (instanceRef data_out_final_2)) + (portRef CE (instanceRef data_out_final_1)) + (portRef CE (instanceRef data_out_final_0)) + (portRef CE (instanceRef saturation_final)) + (portRef CE (instanceRef psum1_saved_69)) + (portRef CE (instanceRef psum1_saved_68)) + (portRef CE (instanceRef psum1_saved_67)) + (portRef CE (instanceRef psum1_saved_66)) + (portRef CE (instanceRef psum1_saved_65)) + (portRef CE (instanceRef psum1_saved_64)) + (portRef CE (instanceRef psum1_saved_63)) + (portRef CE (instanceRef psum1_saved_62)) + (portRef CE (instanceRef psum1_saved_61)) + (portRef CE (instanceRef psum1_saved_60)) + (portRef CE (instanceRef psum1_saved_59)) + (portRef CE (instanceRef psum1_saved_58)) + (portRef CE (instanceRef psum1_saved_57)) + (portRef CE (instanceRef psum1_saved_56)) + (portRef CE (instanceRef psum1_saved_55)) + (portRef CE (instanceRef psum1_saved_54)) + (portRef CE (instanceRef psum1_saved_53)) + (portRef CE (instanceRef psum1_saved_52)) + (portRef CE (instanceRef psum1_saved_51)) + (portRef CE (instanceRef psum1_saved_50)) + (portRef CE (instanceRef psum1_saved_49)) + (portRef CE (instanceRef psum1_saved_48)) + (portRef CE (instanceRef psum1_saved_47)) + (portRef CE (instanceRef psum1_saved_46)) + (portRef CE (instanceRef psum1_saved_45)) + (portRef CE (instanceRef psum1_saved_44)) + (portRef CE (instanceRef psum1_saved_43)) + (portRef CE (instanceRef psum1_saved_42)) + (portRef CE (instanceRef psum1_saved_41)) + (portRef CE (instanceRef psum1_saved_40)) + (portRef CE (instanceRef psum1_saved_39)) + (portRef CE (instanceRef psum1_saved_38)) + (portRef CE (instanceRef psum1_saved_37)) + (portRef CE (instanceRef psum1_saved_36)) + (portRef CE (instanceRef psum1_saved_35)) + (portRef CE (instanceRef psum1_saved_34)) + (portRef CE (instanceRef psum1_saved_33)) + (portRef CE (instanceRef psum1_saved_32)) + (portRef CE (instanceRef psum1_saved_31)) + (portRef CE (instanceRef psum1_saved_30)) + (portRef CE (instanceRef psum1_saved_29)) + (portRef CE (instanceRef psum1_saved_28)) + (portRef CE (instanceRef psum1_saved_27)) + (portRef CE (instanceRef psum1_saved_26)) + (portRef CE (instanceRef psum1_saved_25)) + (portRef CE (instanceRef psum1_saved_24)) + (portRef CE (instanceRef psum1_saved_23)) + (portRef CE (instanceRef psum1_saved_22)) + (portRef CE (instanceRef psum1_saved_21)) + (portRef CE (instanceRef psum1_saved_20)) + (portRef CE (instanceRef psum1_saved_19)) + (portRef CE (instanceRef psum1_saved_18)) + (portRef CE (instanceRef psum1_saved_17)) + (portRef CE (instanceRef psum1_saved_16)) + (portRef CE (instanceRef psum1_saved_15)) + (portRef CE (instanceRef psum1_saved_14)) + (portRef CE (instanceRef psum1_saved_13)) + (portRef CE (instanceRef psum1_saved_12)) + (portRef CE (instanceRef psum1_saved_11)) + (portRef CE (instanceRef psum1_saved_10)) + (portRef CE (instanceRef psum1_saved_9)) + (portRef CE (instanceRef psum1_saved_8)) + (portRef CE (instanceRef psum1_saved_7)) + (portRef CE (instanceRef psum1_saved_6)) + (portRef CE (instanceRef psum1_saved_5)) + (portRef CE (instanceRef psum1_saved_4)) + (portRef CE (instanceRef psum1_saved_3)) + (portRef CE (instanceRef psum1_saved_2)) + (portRef CE (instanceRef psum1_saved_1)) + (portRef CE (instanceRef psum1_saved_0)) + )) + (net (rename psum1_saved_70 "psum1_saved(70)") (joined + (portRef Q (instanceRef psum1_saved_69)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_s_70)) + (portRef I0 (instanceRef un1_psum0_signed_axb_69)) + (portRef I0 (instanceRef un1_psum0_signed_axb_70)) + )) + (net (rename un1_psum0_signed_axbZ0Z_70 "un1_psum0_signed_axb_70") (joined + (portRef O (instanceRef un1_psum0_signed_axb_70)) + (portRef (member S 1) (instanceRef un1_psum0_signed_s_70)) + )) + (net (rename un1_psum0_signed_58 "un1_psum0_signed(58)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_59)) + (portRef (member un1_psum0_signed 6) (instanceRef gO_4_11_MUX12)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_27_MUX12)) + (portRef (member un1_psum0_signed 12) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 8) (instanceRef gO_2_gO_2d_INC2)) + (portRef I0 (instanceRef un1_psum0_signed_cry_59_RNIL9LV)) + )) + (net (rename un1_psum0_signed_60 "un1_psum0_signed(60)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_63)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_29_MUX12)) + (portRef (member un1_psum0_signed 10) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 6) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 10) (instanceRef gO_2_gO_2cN_AN8)) + (portRef I1 (instanceRef un1_psum0_signed_cry_59_RNIL9LV)) + )) + (net (rename un1_psum0_signed_62 "un1_psum0_signed(62)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_63)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_31_MUX12)) + (portRef (member un1_psum0_signed 8) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 4) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 8) (instanceRef gO_2_gO_2cN_AN8)) + (portRef I2 (instanceRef un1_psum0_signed_cry_59_RNIL9LV)) + )) + (net (rename un1_psum0_signed_61 "un1_psum0_signed(61)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_63)) + (portRef (member un1_psum0_signed 3) (instanceRef gO_4_11_MUX12)) + (portRef (member un1_psum0_signed 9) (instanceRef gO_4_34_MUX12)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_30_MUX12)) + (portRef (member un1_psum0_signed 5) (instanceRef gO_2_gO_2d_INC2)) + (portRef I3 (instanceRef un1_psum0_signed_cry_59_RNIL9LV)) + )) + (net g0_11_0 (joined + (portRef O (instanceRef un1_psum0_signed_cry_59_RNIL9LV)) + (portRef g0_11_0 (instanceRef OR25)) + )) + (net (rename A1_product_signed_2_43 "A1_product_signed_2(43)") (joined + (portRef LO (instanceRef psum1_signed_s_43_thru)) + (portRef I1 (instanceRef psum1_signed_s_43)) + )) + (net (rename A1_product_signed_2_44 "A1_product_signed_2(44)") (joined + (portRef O (instanceRef psum1_signed_s_44_thru)) + (portRef I0 (instanceRef psum1_signed_s_44)) + )) + (net (rename A1_product_signed_1_45 "A1_product_signed_1(45)") (joined + (portRef O (instanceRef psum1_signed_s_45_thru)) + (portRef I0 (instanceRef psum1_signed_s_45)) + )) + (net (rename A1_product_signed_1_46 "A1_product_signed_1(46)") (joined + (portRef O (instanceRef psum1_signed_s_46_thru)) + (portRef I0 (instanceRef psum1_signed_s_46)) + )) + (net (rename A1_product_signed_1_47 "A1_product_signed_1(47)") (joined + (portRef O (instanceRef psum1_signed_s_47_thru)) + (portRef I0 (instanceRef psum1_signed_s_47)) + )) + (net (rename A1_product_signed_1_48 "A1_product_signed_1(48)") (joined + (portRef O (instanceRef psum1_signed_s_48_thru)) + (portRef I0 (instanceRef psum1_signed_s_48)) + )) + (net (rename A1_product_signed_1_49 "A1_product_signed_1(49)") (joined + (portRef O (instanceRef psum1_signed_s_49_thru)) + (portRef I0 (instanceRef psum1_signed_s_49)) + )) + (net (rename A1_product_signed_1_50 "A1_product_signed_1(50)") (joined + (portRef O (instanceRef psum1_signed_s_50_thru)) + (portRef I0 (instanceRef psum1_signed_s_50)) + )) + (net (rename A1_product_signed_1_51 "A1_product_signed_1(51)") (joined + (portRef O (instanceRef psum1_signed_s_51_thru)) + (portRef I0 (instanceRef psum1_signed_s_51)) + )) + (net (rename A1_product_signed_1_52 "A1_product_signed_1(52)") (joined + (portRef O (instanceRef psum1_signed_s_52_thru)) + (portRef I0 (instanceRef psum1_signed_s_52)) + )) + (net (rename A1_product_signed_1_53 "A1_product_signed_1(53)") (joined + (portRef O (instanceRef psum1_signed_s_53_thru)) + (portRef I0 (instanceRef psum1_signed_s_53)) + )) + (net (rename A1_product_signed_1_54 "A1_product_signed_1(54)") (joined + (portRef O (instanceRef psum1_signed_s_54_thru)) + (portRef I0 (instanceRef psum1_signed_s_54)) + )) + (net (rename A1_product_signed_1_55 "A1_product_signed_1(55)") (joined + (portRef O (instanceRef psum1_signed_s_55_thru)) + (portRef I0 (instanceRef psum1_signed_s_55)) + )) + (net (rename A1_product_signed_1_56 "A1_product_signed_1(56)") (joined + (portRef O (instanceRef psum1_signed_s_56_thru)) + (portRef I0 (instanceRef psum1_signed_s_56)) + )) + (net (rename A1_product_signed_1_57 "A1_product_signed_1(57)") (joined + (portRef O (instanceRef psum1_signed_s_57_thru)) + (portRef I0 (instanceRef psum1_signed_s_57)) + )) + (net (rename A1_product_signed_1_58 "A1_product_signed_1(58)") (joined + (portRef O (instanceRef psum1_signed_s_58_thru)) + (portRef I0 (instanceRef psum1_signed_s_58)) + )) + (net (rename A1_product_signed_1_59 "A1_product_signed_1(59)") (joined + (portRef O (instanceRef psum1_signed_s_59_thru)) + (portRef I0 (instanceRef psum1_signed_s_59)) + )) + (net (rename A1_product_signed_1_60 "A1_product_signed_1(60)") (joined + (portRef O (instanceRef psum1_signed_s_60_thru)) + (portRef I0 (instanceRef psum1_signed_s_60)) + )) + (net (rename A1_product_signed_1_61 "A1_product_signed_1(61)") (joined + (portRef O (instanceRef psum1_signed_s_61_thru)) + (portRef I0 (instanceRef psum1_signed_s_61)) + )) + (net (rename A1_product_signed_1_62 "A1_product_signed_1(62)") (joined + (portRef O (instanceRef psum1_signed_s_62_thru)) + (portRef I0 (instanceRef psum1_signed_s_62)) + )) + (net (rename A1_product_signed_1_63 "A1_product_signed_1(63)") (joined + (portRef O (instanceRef psum1_signed_s_63_thru)) + (portRef I0 (instanceRef psum1_signed_s_63)) + )) + (net (rename A1_product_signed_1_64 "A1_product_signed_1(64)") (joined + (portRef O (instanceRef psum1_signed_s_64_thru)) + (portRef I0 (instanceRef psum1_signed_s_64)) + )) + (net (rename A1_product_signed_1_66 "A1_product_signed_1(66)") (joined + (portRef O (instanceRef psum1_signed_s_66_thru)) + (portRef I0 (instanceRef psum1_signed_s_66)) + )) + (net (rename A1_product_signed_0_67 "A1_product_signed_0(67)") (joined + (portRef O (instanceRef psum1_signed_s_69_thru)) + (portRef (member S 2) (instanceRef psum1_signed_s_69)) + )) + (net (rename psum1_savedZ0Z_0 "psum1_saved(0)") (joined + (portRef Q (instanceRef psum1_saved_0)) + (portRef (member psum1_saved 20) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net clk (joined + (portRef clk) + (portRef C (instanceRef data_out_final_35)) + (portRef C (instanceRef data_out_final_34)) + (portRef C (instanceRef data_out_final_33)) + (portRef C (instanceRef data_out_final_32)) + (portRef C (instanceRef data_out_final_31)) + (portRef C (instanceRef data_out_final_30)) + (portRef C (instanceRef data_out_final_29)) + (portRef C (instanceRef data_out_final_28)) + (portRef C (instanceRef data_out_final_27)) + (portRef C (instanceRef data_out_final_26)) + (portRef C (instanceRef data_out_final_25)) + (portRef C (instanceRef data_out_final_24)) + (portRef C (instanceRef data_out_final_23)) + (portRef C (instanceRef data_out_final_22)) + (portRef C (instanceRef data_out_final_21)) + (portRef C (instanceRef data_out_final_20)) + (portRef C (instanceRef data_out_final_19)) + (portRef C (instanceRef data_out_final_18)) + (portRef C (instanceRef data_out_final_17)) + (portRef C (instanceRef data_out_final_16)) + (portRef C (instanceRef data_out_final_15)) + (portRef C (instanceRef data_out_final_14)) + (portRef C (instanceRef data_out_final_13)) + (portRef C (instanceRef data_out_final_12)) + (portRef C (instanceRef data_out_final_11)) + (portRef C (instanceRef data_out_final_10)) + (portRef C (instanceRef data_out_final_9)) + (portRef C (instanceRef data_out_final_8)) + (portRef C (instanceRef data_out_final_7)) + (portRef C (instanceRef data_out_final_6)) + (portRef C (instanceRef data_out_final_5)) + (portRef C (instanceRef data_out_final_4)) + (portRef C (instanceRef data_out_final_3)) + (portRef C (instanceRef data_out_final_2)) + (portRef C (instanceRef data_out_final_1)) + (portRef C (instanceRef data_out_final_0)) + (portRef C (instanceRef saturation_final)) + (portRef C (instanceRef psum1_saved_69)) + (portRef C (instanceRef psum1_saved_68)) + (portRef C (instanceRef psum1_saved_67)) + (portRef C (instanceRef psum1_saved_66)) + (portRef C (instanceRef psum1_saved_65)) + (portRef C (instanceRef psum1_saved_64)) + (portRef C (instanceRef psum1_saved_63)) + (portRef C (instanceRef psum1_saved_62)) + (portRef C (instanceRef psum1_saved_61)) + (portRef C (instanceRef psum1_saved_60)) + (portRef C (instanceRef psum1_saved_59)) + (portRef C (instanceRef psum1_saved_58)) + (portRef C (instanceRef psum1_saved_57)) + (portRef C (instanceRef psum1_saved_56)) + (portRef C (instanceRef psum1_saved_55)) + (portRef C (instanceRef psum1_saved_54)) + (portRef C (instanceRef psum1_saved_53)) + (portRef C (instanceRef psum1_saved_52)) + (portRef C (instanceRef psum1_saved_51)) + (portRef C (instanceRef psum1_saved_50)) + (portRef C (instanceRef psum1_saved_49)) + (portRef C (instanceRef psum1_saved_48)) + (portRef C (instanceRef psum1_saved_47)) + (portRef C (instanceRef psum1_saved_46)) + (portRef C (instanceRef psum1_saved_45)) + (portRef C (instanceRef psum1_saved_44)) + (portRef C (instanceRef psum1_saved_43)) + (portRef C (instanceRef psum1_saved_42)) + (portRef C (instanceRef psum1_saved_41)) + (portRef C (instanceRef psum1_saved_40)) + (portRef C (instanceRef psum1_saved_39)) + (portRef C (instanceRef psum1_saved_38)) + (portRef C (instanceRef psum1_saved_37)) + (portRef C (instanceRef psum1_saved_36)) + (portRef C (instanceRef psum1_saved_35)) + (portRef C (instanceRef psum1_saved_34)) + (portRef C (instanceRef psum1_saved_33)) + (portRef C (instanceRef psum1_saved_32)) + (portRef C (instanceRef psum1_saved_31)) + (portRef C (instanceRef psum1_saved_30)) + (portRef C (instanceRef psum1_saved_29)) + (portRef C (instanceRef psum1_saved_28)) + (portRef C (instanceRef psum1_saved_27)) + (portRef C (instanceRef psum1_saved_26)) + (portRef C (instanceRef psum1_saved_25)) + (portRef C (instanceRef psum1_saved_24)) + (portRef C (instanceRef psum1_saved_23)) + (portRef C (instanceRef psum1_saved_22)) + (portRef C (instanceRef psum1_saved_21)) + (portRef C (instanceRef psum1_saved_20)) + (portRef C (instanceRef psum1_saved_19)) + (portRef C (instanceRef psum1_saved_18)) + (portRef C (instanceRef psum1_saved_17)) + (portRef C (instanceRef psum1_saved_16)) + (portRef C (instanceRef psum1_saved_15)) + (portRef C (instanceRef psum1_saved_14)) + (portRef C (instanceRef psum1_saved_13)) + (portRef C (instanceRef psum1_saved_12)) + (portRef C (instanceRef psum1_saved_11)) + (portRef C (instanceRef psum1_saved_10)) + (portRef C (instanceRef psum1_saved_9)) + (portRef C (instanceRef psum1_saved_8)) + (portRef C (instanceRef psum1_saved_7)) + (portRef C (instanceRef psum1_saved_6)) + (portRef C (instanceRef psum1_saved_5)) + (portRef C (instanceRef psum1_saved_4)) + (portRef C (instanceRef psum1_saved_3)) + (portRef C (instanceRef psum1_saved_2)) + (portRef C (instanceRef psum1_saved_1)) + (portRef C (instanceRef psum1_saved_0)) + )) + (net rstn_i (joined + (portRef rstn_i) + (portRef CLR (instanceRef data_out_final_35)) + (portRef CLR (instanceRef data_out_final_34)) + (portRef CLR (instanceRef data_out_final_33)) + (portRef CLR (instanceRef data_out_final_32)) + (portRef CLR (instanceRef data_out_final_31)) + (portRef CLR (instanceRef data_out_final_30)) + (portRef CLR (instanceRef data_out_final_29)) + (portRef CLR (instanceRef data_out_final_28)) + (portRef CLR (instanceRef data_out_final_27)) + (portRef CLR (instanceRef data_out_final_26)) + (portRef CLR (instanceRef data_out_final_25)) + (portRef CLR (instanceRef data_out_final_24)) + (portRef CLR (instanceRef data_out_final_23)) + (portRef CLR (instanceRef data_out_final_22)) + (portRef CLR (instanceRef data_out_final_21)) + (portRef CLR (instanceRef data_out_final_20)) + (portRef CLR (instanceRef data_out_final_19)) + (portRef CLR (instanceRef data_out_final_18)) + (portRef CLR (instanceRef data_out_final_17)) + (portRef CLR (instanceRef data_out_final_16)) + (portRef CLR (instanceRef data_out_final_15)) + (portRef CLR (instanceRef data_out_final_14)) + (portRef CLR (instanceRef data_out_final_13)) + (portRef CLR (instanceRef data_out_final_12)) + (portRef CLR (instanceRef data_out_final_11)) + (portRef CLR (instanceRef data_out_final_10)) + (portRef CLR (instanceRef data_out_final_9)) + (portRef CLR (instanceRef data_out_final_8)) + (portRef CLR (instanceRef data_out_final_7)) + (portRef CLR (instanceRef data_out_final_6)) + (portRef CLR (instanceRef data_out_final_5)) + (portRef CLR (instanceRef data_out_final_4)) + (portRef CLR (instanceRef data_out_final_3)) + (portRef CLR (instanceRef data_out_final_2)) + (portRef CLR (instanceRef data_out_final_1)) + (portRef CLR (instanceRef data_out_final_0)) + (portRef CLR (instanceRef saturation_final)) + (portRef CLR (instanceRef psum1_saved_69)) + (portRef CLR (instanceRef psum1_saved_68)) + (portRef CLR (instanceRef psum1_saved_67)) + (portRef CLR (instanceRef psum1_saved_66)) + (portRef CLR (instanceRef psum1_saved_65)) + (portRef CLR (instanceRef psum1_saved_64)) + (portRef CLR (instanceRef psum1_saved_63)) + (portRef CLR (instanceRef psum1_saved_62)) + (portRef CLR (instanceRef psum1_saved_61)) + (portRef CLR (instanceRef psum1_saved_60)) + (portRef CLR (instanceRef psum1_saved_59)) + (portRef CLR (instanceRef psum1_saved_58)) + (portRef CLR (instanceRef psum1_saved_57)) + (portRef CLR (instanceRef psum1_saved_56)) + (portRef CLR (instanceRef psum1_saved_55)) + (portRef CLR (instanceRef psum1_saved_54)) + (portRef CLR (instanceRef psum1_saved_53)) + (portRef CLR (instanceRef psum1_saved_52)) + (portRef CLR (instanceRef psum1_saved_51)) + (portRef CLR (instanceRef psum1_saved_50)) + (portRef CLR (instanceRef psum1_saved_49)) + (portRef CLR (instanceRef psum1_saved_48)) + (portRef CLR (instanceRef psum1_saved_47)) + (portRef CLR (instanceRef psum1_saved_46)) + (portRef CLR (instanceRef psum1_saved_45)) + (portRef CLR (instanceRef psum1_saved_44)) + (portRef CLR (instanceRef psum1_saved_43)) + (portRef CLR (instanceRef psum1_saved_42)) + (portRef CLR (instanceRef psum1_saved_41)) + (portRef CLR (instanceRef psum1_saved_40)) + (portRef CLR (instanceRef psum1_saved_39)) + (portRef CLR (instanceRef psum1_saved_38)) + (portRef CLR (instanceRef psum1_saved_37)) + (portRef CLR (instanceRef psum1_saved_36)) + (portRef CLR (instanceRef psum1_saved_35)) + (portRef CLR (instanceRef psum1_saved_34)) + (portRef CLR (instanceRef psum1_saved_33)) + (portRef CLR (instanceRef psum1_saved_32)) + (portRef CLR (instanceRef psum1_saved_31)) + (portRef CLR (instanceRef psum1_saved_30)) + (portRef CLR (instanceRef psum1_saved_29)) + (portRef CLR (instanceRef psum1_saved_28)) + (portRef CLR (instanceRef psum1_saved_27)) + (portRef CLR (instanceRef psum1_saved_26)) + (portRef CLR (instanceRef psum1_saved_25)) + (portRef CLR (instanceRef psum1_saved_24)) + (portRef CLR (instanceRef psum1_saved_23)) + (portRef CLR (instanceRef psum1_saved_22)) + (portRef CLR (instanceRef psum1_saved_21)) + (portRef CLR (instanceRef psum1_saved_20)) + (portRef CLR (instanceRef psum1_saved_19)) + (portRef CLR (instanceRef psum1_saved_18)) + (portRef CLR (instanceRef psum1_saved_17)) + (portRef CLR (instanceRef psum1_saved_16)) + (portRef CLR (instanceRef psum1_saved_15)) + (portRef CLR (instanceRef psum1_saved_14)) + (portRef CLR (instanceRef psum1_saved_13)) + (portRef CLR (instanceRef psum1_saved_12)) + (portRef CLR (instanceRef psum1_saved_11)) + (portRef CLR (instanceRef psum1_saved_10)) + (portRef CLR (instanceRef psum1_saved_9)) + (portRef CLR (instanceRef psum1_saved_8)) + (portRef CLR (instanceRef psum1_saved_7)) + (portRef CLR (instanceRef psum1_saved_6)) + (portRef CLR (instanceRef psum1_saved_5)) + (portRef CLR (instanceRef psum1_saved_4)) + (portRef CLR (instanceRef psum1_saved_3)) + (portRef CLR (instanceRef psum1_saved_2)) + (portRef CLR (instanceRef psum1_saved_1)) + (portRef CLR (instanceRef psum1_saved_0)) + )) + (net (rename psum1_savedZ0Z_1 "psum1_saved(1)") (joined + (portRef Q (instanceRef psum1_saved_1)) + (portRef (member psum1_saved 19) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_2 "psum1_saved(2)") (joined + (portRef Q (instanceRef psum1_saved_2)) + (portRef (member psum1_saved 18) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_3 "psum1_saved(3)") (joined + (portRef Q (instanceRef psum1_saved_3)) + (portRef (member psum1_saved 17) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_4 "psum1_saved(4)") (joined + (portRef Q (instanceRef psum1_saved_4)) + (portRef (member psum1_saved 16) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_5 "psum1_saved(5)") (joined + (portRef Q (instanceRef psum1_saved_5)) + (portRef (member psum1_saved 15) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_6 "psum1_saved(6)") (joined + (portRef Q (instanceRef psum1_saved_6)) + (portRef (member psum1_saved 14) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_7 "psum1_saved(7)") (joined + (portRef Q (instanceRef psum1_saved_7)) + (portRef (member psum1_saved 13) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_8 "psum1_saved(8)") (joined + (portRef Q (instanceRef psum1_saved_8)) + (portRef (member psum1_saved 12) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_9 "psum1_saved(9)") (joined + (portRef Q (instanceRef psum1_saved_9)) + (portRef (member psum1_saved 11) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_10 "psum1_saved(10)") (joined + (portRef Q (instanceRef psum1_saved_10)) + (portRef (member psum1_saved 10) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_11 "psum1_saved(11)") (joined + (portRef Q (instanceRef psum1_saved_11)) + (portRef (member psum1_saved 9) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_12 "psum1_saved(12)") (joined + (portRef Q (instanceRef psum1_saved_12)) + (portRef (member psum1_saved 8) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_13 "psum1_saved(13)") (joined + (portRef Q (instanceRef psum1_saved_13)) + (portRef (member psum1_saved 7) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_14 "psum1_saved(14)") (joined + (portRef Q (instanceRef psum1_saved_14)) + (portRef (member psum1_saved 6) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_15 "psum1_saved(15)") (joined + (portRef Q (instanceRef psum1_saved_15)) + (portRef (member psum1_saved 5) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_16 "psum1_saved(16)") (joined + (portRef Q (instanceRef psum1_saved_16)) + (portRef (member psum1_saved 4) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_17 "psum1_saved(17)") (joined + (portRef Q (instanceRef psum1_saved_17)) + (portRef (member psum1_saved 3) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_18 "psum1_saved(18)") (joined + (portRef Q (instanceRef psum1_saved_18)) + (portRef (member psum1_saved 2) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_19 "psum1_saved(19)") (joined + (portRef Q (instanceRef psum1_saved_19)) + (portRef (member psum1_saved 1) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_20 "psum1_saved(20)") (joined + (portRef Q (instanceRef psum1_saved_20)) + (portRef (member psum1_saved 0) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_23)) + (portRef I0 (instanceRef un1_psum0_signed_axb_20)) + )) + (net (rename psum1_savedZ0Z_21 "psum1_saved(21)") (joined + (portRef Q (instanceRef psum1_saved_21)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_23)) + (portRef I0 (instanceRef un1_psum0_signed_axb_21)) + )) + (net (rename psum1_savedZ0Z_22 "psum1_saved(22)") (joined + (portRef Q (instanceRef psum1_saved_22)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_23)) + (portRef I0 (instanceRef un1_psum0_signed_axb_22)) + )) + (net (rename psum1_savedZ0Z_23 "psum1_saved(23)") (joined + (portRef Q (instanceRef psum1_saved_23)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_23)) + (portRef I0 (instanceRef un1_psum0_signed_axb_23)) + )) + (net (rename psum1_savedZ0Z_24 "psum1_saved(24)") (joined + (portRef Q (instanceRef psum1_saved_24)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_27)) + (portRef I0 (instanceRef un1_psum0_signed_axb_24)) + )) + (net (rename psum1_savedZ0Z_25 "psum1_saved(25)") (joined + (portRef Q (instanceRef psum1_saved_25)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_27)) + (portRef I0 (instanceRef un1_psum0_signed_axb_25)) + )) + (net (rename psum1_savedZ0Z_26 "psum1_saved(26)") (joined + (portRef Q (instanceRef psum1_saved_26)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_27)) + (portRef I0 (instanceRef un1_psum0_signed_axb_26)) + )) + (net (rename psum1_savedZ0Z_27 "psum1_saved(27)") (joined + (portRef Q (instanceRef psum1_saved_27)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_27)) + (portRef I0 (instanceRef un1_psum0_signed_axb_27)) + )) + (net (rename psum1_savedZ0Z_28 "psum1_saved(28)") (joined + (portRef Q (instanceRef psum1_saved_28)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_31)) + (portRef I0 (instanceRef un1_psum0_signed_axb_28)) + )) + (net (rename psum1_savedZ0Z_29 "psum1_saved(29)") (joined + (portRef Q (instanceRef psum1_saved_29)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_31)) + (portRef I0 (instanceRef un1_psum0_signed_axb_29)) + )) + (net (rename psum1_savedZ0Z_30 "psum1_saved(30)") (joined + (portRef Q (instanceRef psum1_saved_30)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_31)) + (portRef I0 (instanceRef un1_psum0_signed_axb_30)) + )) + (net (rename psum1_savedZ0Z_31 "psum1_saved(31)") (joined + (portRef Q (instanceRef psum1_saved_31)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_31)) + (portRef I0 (instanceRef un1_psum0_signed_axb_31)) + )) + (net (rename psum1_savedZ0Z_32 "psum1_saved(32)") (joined + (portRef Q (instanceRef psum1_saved_32)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_35)) + (portRef I0 (instanceRef un1_psum0_signed_axb_32)) + )) + (net (rename psum1_savedZ0Z_33 "psum1_saved(33)") (joined + (portRef Q (instanceRef psum1_saved_33)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_35)) + (portRef I0 (instanceRef un1_psum0_signed_axb_33)) + )) + (net (rename psum1_savedZ0Z_34 "psum1_saved(34)") (joined + (portRef Q (instanceRef psum1_saved_34)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_35)) + (portRef I0 (instanceRef un1_psum0_signed_axb_34)) + )) + (net (rename psum1_savedZ0Z_35 "psum1_saved(35)") (joined + (portRef Q (instanceRef psum1_saved_35)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_35)) + (portRef I0 (instanceRef un1_psum0_signed_axb_35)) + )) + (net (rename psum1_savedZ0Z_36 "psum1_saved(36)") (joined + (portRef Q (instanceRef psum1_saved_36)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_39)) + (portRef I0 (instanceRef un1_psum0_signed_axb_36)) + )) + (net (rename psum1_savedZ0Z_37 "psum1_saved(37)") (joined + (portRef Q (instanceRef psum1_saved_37)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_39)) + (portRef I0 (instanceRef un1_psum0_signed_axb_37)) + )) + (net (rename psum1_savedZ0Z_38 "psum1_saved(38)") (joined + (portRef Q (instanceRef psum1_saved_38)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_39)) + (portRef I0 (instanceRef un1_psum0_signed_axb_38)) + )) + (net (rename psum1_savedZ0Z_39 "psum1_saved(39)") (joined + (portRef Q (instanceRef psum1_saved_39)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_39)) + (portRef I0 (instanceRef un1_psum0_signed_axb_39)) + )) + (net (rename psum1_savedZ0Z_40 "psum1_saved(40)") (joined + (portRef Q (instanceRef psum1_saved_40)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_43)) + (portRef I0 (instanceRef un1_psum0_signed_axb_40)) + )) + (net (rename psum1_savedZ0Z_41 "psum1_saved(41)") (joined + (portRef Q (instanceRef psum1_saved_41)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_43)) + (portRef I0 (instanceRef un1_psum0_signed_axb_41)) + )) + (net (rename psum1_savedZ0Z_42 "psum1_saved(42)") (joined + (portRef Q (instanceRef psum1_saved_42)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_43)) + (portRef I0 (instanceRef un1_psum0_signed_axb_42)) + )) + (net (rename psum1_savedZ0Z_43 "psum1_saved(43)") (joined + (portRef Q (instanceRef psum1_saved_43)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_43)) + (portRef I0 (instanceRef un1_psum0_signed_axb_43)) + )) + (net (rename psum1_savedZ0Z_44 "psum1_saved(44)") (joined + (portRef Q (instanceRef psum1_saved_44)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_47)) + (portRef I0 (instanceRef un1_psum0_signed_axb_44)) + )) + (net (rename psum1_savedZ0Z_45 "psum1_saved(45)") (joined + (portRef Q (instanceRef psum1_saved_45)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_47)) + (portRef I0 (instanceRef un1_psum0_signed_axb_45)) + )) + (net (rename psum1_savedZ0Z_46 "psum1_saved(46)") (joined + (portRef Q (instanceRef psum1_saved_46)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_47)) + (portRef I0 (instanceRef un1_psum0_signed_axb_46)) + )) + (net (rename psum1_savedZ0Z_47 "psum1_saved(47)") (joined + (portRef Q (instanceRef psum1_saved_47)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_47)) + (portRef I0 (instanceRef un1_psum0_signed_axb_47)) + )) + (net (rename psum1_savedZ0Z_48 "psum1_saved(48)") (joined + (portRef Q (instanceRef psum1_saved_48)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_51)) + (portRef I0 (instanceRef un1_psum0_signed_axb_48)) + )) + (net (rename psum1_savedZ0Z_49 "psum1_saved(49)") (joined + (portRef Q (instanceRef psum1_saved_49)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_51)) + (portRef I0 (instanceRef un1_psum0_signed_axb_49)) + )) + (net (rename psum1_savedZ0Z_50 "psum1_saved(50)") (joined + (portRef Q (instanceRef psum1_saved_50)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_51)) + (portRef I0 (instanceRef un1_psum0_signed_axb_50)) + )) + (net (rename psum1_savedZ0Z_51 "psum1_saved(51)") (joined + (portRef Q (instanceRef psum1_saved_51)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_51)) + (portRef I0 (instanceRef un1_psum0_signed_axb_51)) + )) + (net (rename psum1_savedZ0Z_52 "psum1_saved(52)") (joined + (portRef Q (instanceRef psum1_saved_52)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_55)) + (portRef I0 (instanceRef un1_psum0_signed_axb_52)) + )) + (net (rename psum1_savedZ0Z_53 "psum1_saved(53)") (joined + (portRef Q (instanceRef psum1_saved_53)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_55)) + (portRef I0 (instanceRef un1_psum0_signed_axb_53)) + )) + (net (rename psum1_savedZ0Z_54 "psum1_saved(54)") (joined + (portRef Q (instanceRef psum1_saved_54)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_55)) + (portRef I0 (instanceRef un1_psum0_signed_axb_54)) + )) + (net (rename psum1_savedZ0Z_55 "psum1_saved(55)") (joined + (portRef Q (instanceRef psum1_saved_55)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_55)) + (portRef I0 (instanceRef un1_psum0_signed_axb_55)) + )) + (net (rename psum1_savedZ0Z_56 "psum1_saved(56)") (joined + (portRef Q (instanceRef psum1_saved_56)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_59)) + (portRef I0 (instanceRef un1_psum0_signed_axb_56)) + )) + (net (rename psum1_savedZ0Z_57 "psum1_saved(57)") (joined + (portRef Q (instanceRef psum1_saved_57)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_59)) + (portRef I0 (instanceRef un1_psum0_signed_axb_57)) + )) + (net (rename psum1_savedZ0Z_58 "psum1_saved(58)") (joined + (portRef Q (instanceRef psum1_saved_58)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_59)) + (portRef I0 (instanceRef un1_psum0_signed_axb_58)) + )) + (net (rename psum1_savedZ0Z_59 "psum1_saved(59)") (joined + (portRef Q (instanceRef psum1_saved_59)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_59)) + (portRef I0 (instanceRef un1_psum0_signed_axb_59)) + )) + (net (rename psum1_savedZ0Z_60 "psum1_saved(60)") (joined + (portRef Q (instanceRef psum1_saved_60)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_63)) + (portRef I0 (instanceRef un1_psum0_signed_axb_60)) + )) + (net (rename psum1_savedZ0Z_61 "psum1_saved(61)") (joined + (portRef Q (instanceRef psum1_saved_61)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_63)) + (portRef I0 (instanceRef un1_psum0_signed_axb_61)) + )) + (net (rename psum1_savedZ0Z_62 "psum1_saved(62)") (joined + (portRef Q (instanceRef psum1_saved_62)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_63)) + (portRef I0 (instanceRef un1_psum0_signed_axb_62)) + )) + (net (rename psum1_savedZ0Z_63 "psum1_saved(63)") (joined + (portRef Q (instanceRef psum1_saved_63)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_63)) + (portRef I0 (instanceRef un1_psum0_signed_axb_63)) + )) + (net (rename psum1_savedZ0Z_64 "psum1_saved(64)") (joined + (portRef Q (instanceRef psum1_saved_64)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_67)) + (portRef I0 (instanceRef un1_psum0_signed_axb_64)) + )) + (net (rename psum1_savedZ0Z_65 "psum1_saved(65)") (joined + (portRef Q (instanceRef psum1_saved_65)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_67)) + (portRef I0 (instanceRef un1_psum0_signed_axb_65)) + )) + (net (rename psum1_savedZ0Z_66 "psum1_saved(66)") (joined + (portRef Q (instanceRef psum1_saved_66)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_67)) + (portRef I0 (instanceRef un1_psum0_signed_axb_66)) + )) + (net (rename psum1_savedZ0Z_67 "psum1_saved(67)") (joined + (portRef Q (instanceRef psum1_saved_67)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_67)) + (portRef I0 (instanceRef un1_psum0_signed_axb_67)) + )) + (net (rename psum1_savedZ0Z_68 "psum1_saved(68)") (joined + (portRef Q (instanceRef psum1_saved_68)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_s_70)) + (portRef I0 (instanceRef un1_psum0_signed_axb_68)) + )) + (net saturation_3 (joined + (portRef Q (instanceRef saturation_final)) + (portRef saturation_3) + )) + (net N_68_i (joined + (portRef N_68_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef saturation_final)) + )) + (net (rename dout_3_0 "dout_3(0)") (joined + (portRef Q (instanceRef data_out_final_0)) + (portRef (member dout_3 35)) + )) + (net (rename data_out_final_3_0 "data_out_final_3(0)") (joined + (portRef (member data_out_final_3 22) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_0)) + )) + (net (rename dout_3_1 "dout_3(1)") (joined + (portRef Q (instanceRef data_out_final_1)) + (portRef (member dout_3 34)) + )) + (net N_46_i (joined + (portRef N_46_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_1)) + )) + (net (rename dout_3_2 "dout_3(2)") (joined + (portRef Q (instanceRef data_out_final_2)) + (portRef (member dout_3 33)) + )) + (net (rename data_out_final_3_2 "data_out_final_3(2)") (joined + (portRef (member data_out_final_3 20) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_2)) + )) + (net (rename dout_3_3 "dout_3(3)") (joined + (portRef Q (instanceRef data_out_final_3)) + (portRef (member dout_3 32)) + )) + (net (rename data_out_final_3_3 "data_out_final_3(3)") (joined + (portRef (member data_out_final_3 19) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_3)) + )) + (net (rename dout_3_4 "dout_3(4)") (joined + (portRef Q (instanceRef data_out_final_4)) + (portRef (member dout_3 31)) + )) + (net (rename data_out_final_3_4 "data_out_final_3(4)") (joined + (portRef (member data_out_final_3 18) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_4)) + )) + (net (rename dout_3_5 "dout_3(5)") (joined + (portRef Q (instanceRef data_out_final_5)) + (portRef (member dout_3 30)) + )) + (net N_42_i (joined + (portRef N_42_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_5)) + )) + (net (rename dout_3_6 "dout_3(6)") (joined + (portRef Q (instanceRef data_out_final_6)) + (portRef (member dout_3 29)) + )) + (net N_38_i (joined + (portRef N_38_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_6)) + )) + (net (rename dout_3_7 "dout_3(7)") (joined + (portRef Q (instanceRef data_out_final_7)) + (portRef (member dout_3 28)) + )) + (net (rename data_out_final_3_7 "data_out_final_3(7)") (joined + (portRef (member data_out_final_3 15) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_7)) + )) + (net (rename dout_3_8 "dout_3(8)") (joined + (portRef Q (instanceRef data_out_final_8)) + (portRef (member dout_3 27)) + )) + (net (rename data_out_final_3_8 "data_out_final_3(8)") (joined + (portRef (member data_out_final_3 14) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_8)) + )) + (net (rename dout_3_9 "dout_3(9)") (joined + (portRef Q (instanceRef data_out_final_9)) + (portRef (member dout_3 26)) + )) + (net (rename data_out_final_3_9 "data_out_final_3(9)") (joined + (portRef (member data_out_final_3 13) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_9)) + )) + (net (rename dout_3_10 "dout_3(10)") (joined + (portRef Q (instanceRef data_out_final_10)) + (portRef (member dout_3 25)) + )) + (net (rename data_out_final_3_10 "data_out_final_3(10)") (joined + (portRef (member data_out_final_3 12) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_10)) + )) + (net (rename dout_3_11 "dout_3(11)") (joined + (portRef Q (instanceRef data_out_final_11)) + (portRef (member dout_3 24)) + )) + (net N_45_i (joined + (portRef N_45_i (instanceRef gO_4_11_MUX12)) + (portRef D (instanceRef data_out_final_11)) + )) + (net (rename dout_3_12 "dout_3(12)") (joined + (portRef Q (instanceRef data_out_final_12)) + (portRef (member dout_3 23)) + )) + (net (rename data_out_final_3_12 "data_out_final_3(12)") (joined + (portRef (member data_out_final_3 10) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_12)) + )) + (net (rename dout_3_13 "dout_3(13)") (joined + (portRef Q (instanceRef data_out_final_13)) + (portRef (member dout_3 22)) + )) + (net (rename data_out_final_3_13 "data_out_final_3(13)") (joined + (portRef (member data_out_final_3 9) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_13)) + )) + (net (rename dout_3_14 "dout_3(14)") (joined + (portRef Q (instanceRef data_out_final_14)) + (portRef (member dout_3 21)) + )) + (net (rename data_out_final_3_14 "data_out_final_3(14)") (joined + (portRef (member data_out_final_3 8) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_14)) + )) + (net (rename dout_3_15 "dout_3(15)") (joined + (portRef Q (instanceRef data_out_final_15)) + (portRef (member dout_3 20)) + )) + (net (rename data_out_final_3_15 "data_out_final_3(15)") (joined + (portRef (member data_out_final_3 7) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_15)) + )) + (net (rename dout_3_16 "dout_3(16)") (joined + (portRef Q (instanceRef data_out_final_16)) + (portRef (member dout_3 19)) + )) + (net N_34_i (joined + (portRef N_34_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_16)) + )) + (net (rename dout_3_17 "dout_3(17)") (joined + (portRef Q (instanceRef data_out_final_17)) + (portRef (member dout_3 18)) + )) + (net N_30_i (joined + (portRef N_30_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_17)) + )) + (net (rename dout_3_18 "dout_3(18)") (joined + (portRef Q (instanceRef data_out_final_18)) + (portRef (member dout_3 17)) + )) + (net N_26_i (joined + (portRef N_26_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_18)) + )) + (net (rename dout_3_19 "dout_3(19)") (joined + (portRef Q (instanceRef data_out_final_19)) + (portRef (member dout_3 16)) + )) + (net (rename data_out_final_3_19 "data_out_final_3(19)") (joined + (portRef (member data_out_final_3 3) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_19)) + )) + (net (rename dout_3_20 "dout_3(20)") (joined + (portRef Q (instanceRef data_out_final_20)) + (portRef (member dout_3 15)) + )) + (net N_22_i (joined + (portRef N_22_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_20)) + )) + (net (rename dout_3_21 "dout_3(21)") (joined + (portRef Q (instanceRef data_out_final_21)) + (portRef (member dout_3 14)) + )) + (net N_18_i (joined + (portRef N_18_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_21)) + )) + (net (rename dout_3_22 "dout_3(22)") (joined + (portRef Q (instanceRef data_out_final_22)) + (portRef (member dout_3 13)) + )) + (net (rename data_out_final_3_22 "data_out_final_3(22)") (joined + (portRef (member data_out_final_3 0) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_22)) + )) + (net (rename dout_3_23 "dout_3(23)") (joined + (portRef Q (instanceRef data_out_final_23)) + (portRef (member dout_3 12)) + )) + (net N_49_i (joined + (portRef N_49_i (instanceRef gO_4_11_MUX12)) + (portRef D (instanceRef data_out_final_23)) + )) + (net (rename dout_3_24 "dout_3(24)") (joined + (portRef Q (instanceRef data_out_final_24)) + (portRef (member dout_3 11)) + )) + (net N_53_i (joined + (portRef N_53_i (instanceRef gO_4_11_MUX12)) + (portRef D (instanceRef data_out_final_24)) + )) + (net (rename dout_3_25 "dout_3(25)") (joined + (portRef Q (instanceRef data_out_final_25)) + (portRef (member dout_3 10)) + )) + (net N_57_i (joined + (portRef N_57_i (instanceRef gO_4_11_MUX12)) + (portRef D (instanceRef data_out_final_25)) + )) + (net (rename dout_3_26 "dout_3(26)") (joined + (portRef Q (instanceRef data_out_final_26)) + (portRef (member dout_3 9)) + )) + (net N_61_i (joined + (portRef N_61_i (instanceRef gO_4_11_MUX12)) + (portRef D (instanceRef data_out_final_26)) + )) + (net (rename dout_3_27 "dout_3(27)") (joined + (portRef Q (instanceRef data_out_final_27)) + (portRef (member dout_3 8)) + )) + (net N_65_i (joined + (portRef N_65_i (instanceRef gO_4_11_MUX12)) + (portRef D (instanceRef data_out_final_27)) + )) + (net (rename dout_3_28 "dout_3(28)") (joined + (portRef Q (instanceRef data_out_final_28)) + (portRef (member dout_3 7)) + )) + (net data_out_final_3_6_1 (joined + (portRef data_out_final_3_6_1 (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_28)) + )) + (net (rename dout_3_29 "dout_3(29)") (joined + (portRef Q (instanceRef data_out_final_29)) + (portRef (member dout_3 6)) + )) + (net N_14_i (joined + (portRef N_14_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_29)) + )) + (net (rename dout_3_30 "dout_3(30)") (joined + (portRef Q (instanceRef data_out_final_30)) + (portRef (member dout_3 5)) + )) + (net N_75_i (joined + (portRef N_75_i (instanceRef gO_4_11_MUX12)) + (portRef D (instanceRef data_out_final_30)) + )) + (net (rename dout_3_31 "dout_3(31)") (joined + (portRef Q (instanceRef data_out_final_31)) + (portRef (member dout_3 4)) + )) + (net N_10_i (joined + (portRef N_10_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_31)) + )) + (net (rename dout_3_32 "dout_3(32)") (joined + (portRef Q (instanceRef data_out_final_32)) + (portRef (member dout_3 3)) + )) + (net N_77_i (joined + (portRef N_77_i (instanceRef gO_4_11_MUX12)) + (portRef D (instanceRef data_out_final_32)) + )) + (net (rename dout_3_33 "dout_3(33)") (joined + (portRef Q (instanceRef data_out_final_33)) + (portRef (member dout_3 2)) + )) + (net N_153_i (joined + (portRef N_153_i (instanceRef gO_4_11_MUX12)) + (portRef D (instanceRef data_out_final_33)) + )) + (net (rename dout_3_34 "dout_3(34)") (joined + (portRef Q (instanceRef data_out_final_34)) + (portRef (member dout_3 1)) + )) + (net N_6_i (joined + (portRef N_6_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_34)) + )) + (net (rename dout_3_35 "dout_3(35)") (joined + (portRef Q (instanceRef data_out_final_35)) + (portRef (member dout_3 0)) + )) + (net data_out_final_3_36 (joined + (portRef data_out_final_3_36 (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_35)) + )) + (net psum1_signed_cry_65 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_67)) + (portRef I1 (instanceRef psum1_signed_s_66)) + )) + (net (rename psum1_signed_cryZ0Z_63 "psum1_signed_cry_63") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_63)) + (portRef CI (instanceRef psum1_signed_cry_67)) + (portRef I1 (instanceRef psum1_signed_s_64)) + )) + (net psum1_signed_cry_62 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_63)) + (portRef I1 (instanceRef psum1_signed_s_63)) + )) + (net psum1_signed_cry_61 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_63)) + (portRef I1 (instanceRef psum1_signed_s_62)) + )) + (net psum1_signed_cry_60 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_63)) + (portRef I1 (instanceRef psum1_signed_s_61)) + )) + (net (rename psum1_signed_cryZ0Z_59 "psum1_signed_cry_59") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_59)) + (portRef CI (instanceRef psum1_signed_cry_63)) + (portRef I1 (instanceRef psum1_signed_s_60)) + )) + (net psum1_signed_cry_58 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_59)) + (portRef I1 (instanceRef psum1_signed_s_59)) + )) + (net psum1_signed_cry_57 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_59)) + (portRef I1 (instanceRef psum1_signed_s_58)) + )) + (net psum1_signed_cry_56 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_59)) + (portRef I1 (instanceRef psum1_signed_s_57)) + )) + (net (rename psum1_signed_cryZ0Z_55 "psum1_signed_cry_55") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_55)) + (portRef CI (instanceRef psum1_signed_cry_59)) + (portRef I1 (instanceRef psum1_signed_s_56)) + )) + (net psum1_signed_cry_54 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_55)) + (portRef I1 (instanceRef psum1_signed_s_55)) + )) + (net psum1_signed_cry_53 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_55)) + (portRef I1 (instanceRef psum1_signed_s_54)) + )) + (net psum1_signed_cry_52 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_55)) + (portRef I1 (instanceRef psum1_signed_s_53)) + )) + (net (rename psum1_signed_cryZ0Z_51 "psum1_signed_cry_51") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_51)) + (portRef CI (instanceRef psum1_signed_cry_55)) + (portRef I1 (instanceRef psum1_signed_s_52)) + )) + (net psum1_signed_cry_50 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_51)) + (portRef I1 (instanceRef psum1_signed_s_51)) + )) + (net psum1_signed_cry_49 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_51)) + (portRef I1 (instanceRef psum1_signed_s_50)) + )) + (net psum1_signed_cry_48 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_51)) + (portRef I1 (instanceRef psum1_signed_s_49)) + )) + (net (rename psum1_signed_cryZ0Z_47 "psum1_signed_cry_47") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_47)) + (portRef CI (instanceRef psum1_signed_cry_51)) + (portRef I1 (instanceRef psum1_signed_s_48)) + )) + (net psum1_signed_cry_46 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_47)) + (portRef I1 (instanceRef psum1_signed_s_47)) + )) + (net psum1_signed_cry_45 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_47)) + (portRef I1 (instanceRef psum1_signed_s_46)) + )) + (net psum1_signed_cry_44 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_47)) + (portRef I1 (instanceRef psum1_signed_s_45)) + )) + (net (rename psum1_signed_cryZ0Z_43 "psum1_signed_cry_43") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_43)) + (portRef CI (instanceRef psum1_signed_cry_47)) + (portRef I1 (instanceRef psum1_signed_s_44)) + )) + (net psum1_signed_cry_42 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_43)) + (portRef I0 (instanceRef psum1_signed_s_43)) + )) + (net (rename un1_psum0_signed_axbZ0Z_69 "un1_psum0_signed_axb_69") (joined + (portRef O (instanceRef un1_psum0_signed_axb_69)) + (portRef (member S 2) (instanceRef un1_psum0_signed_s_70)) + )) + (net (rename un1_psum0_signed_axbZ0Z_68 "un1_psum0_signed_axb_68") (joined + (portRef O (instanceRef un1_psum0_signed_axb_68)) + (portRef (member S 3) (instanceRef un1_psum0_signed_s_70)) + )) + (net (rename un1_psum0_signed_axbZ0Z_67 "un1_psum0_signed_axb_67") (joined + (portRef O (instanceRef un1_psum0_signed_axb_67)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_67)) + )) + (net (rename un1_psum0_signed_axbZ0Z_66 "un1_psum0_signed_axb_66") (joined + (portRef O (instanceRef un1_psum0_signed_axb_66)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_67)) + )) + (net (rename un1_psum0_signed_axbZ0Z_65 "un1_psum0_signed_axb_65") (joined + (portRef O (instanceRef un1_psum0_signed_axb_65)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_67)) + )) + (net (rename un1_psum0_signed_axbZ0Z_64 "un1_psum0_signed_axb_64") (joined + (portRef O (instanceRef un1_psum0_signed_axb_64)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_67)) + )) + (net (rename un1_psum0_signed_axbZ0Z_63 "un1_psum0_signed_axb_63") (joined + (portRef O (instanceRef un1_psum0_signed_axb_63)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_63)) + )) + (net (rename un1_psum0_signed_axbZ0Z_62 "un1_psum0_signed_axb_62") (joined + (portRef O (instanceRef un1_psum0_signed_axb_62)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_63)) + )) + (net (rename un1_psum0_signed_axbZ0Z_61 "un1_psum0_signed_axb_61") (joined + (portRef O (instanceRef un1_psum0_signed_axb_61)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_63)) + )) + (net (rename un1_psum0_signed_axbZ0Z_60 "un1_psum0_signed_axb_60") (joined + (portRef O (instanceRef un1_psum0_signed_axb_60)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_63)) + )) + (net (rename un1_psum0_signed_axbZ0Z_59 "un1_psum0_signed_axb_59") (joined + (portRef O (instanceRef un1_psum0_signed_axb_59)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_59)) + )) + (net (rename un1_psum0_signed_axbZ0Z_58 "un1_psum0_signed_axb_58") (joined + (portRef O (instanceRef un1_psum0_signed_axb_58)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_59)) + )) + (net (rename un1_psum0_signed_axbZ0Z_57 "un1_psum0_signed_axb_57") (joined + (portRef O (instanceRef un1_psum0_signed_axb_57)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_59)) + )) + (net (rename un1_psum0_signed_axbZ0Z_56 "un1_psum0_signed_axb_56") (joined + (portRef O (instanceRef un1_psum0_signed_axb_56)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_59)) + )) + (net (rename un1_psum0_signed_axbZ0Z_55 "un1_psum0_signed_axb_55") (joined + (portRef O (instanceRef un1_psum0_signed_axb_55)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_55)) + )) + (net (rename un1_psum0_signed_axbZ0Z_54 "un1_psum0_signed_axb_54") (joined + (portRef O (instanceRef un1_psum0_signed_axb_54)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_55)) + )) + (net (rename un1_psum0_signed_axbZ0Z_53 "un1_psum0_signed_axb_53") (joined + (portRef O (instanceRef un1_psum0_signed_axb_53)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_55)) + )) + (net (rename un1_psum0_signed_axbZ0Z_52 "un1_psum0_signed_axb_52") (joined + (portRef O (instanceRef un1_psum0_signed_axb_52)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_55)) + )) + (net (rename un1_psum0_signed_axbZ0Z_51 "un1_psum0_signed_axb_51") (joined + (portRef O (instanceRef un1_psum0_signed_axb_51)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_51)) + )) + (net (rename un1_psum0_signed_axbZ0Z_50 "un1_psum0_signed_axb_50") (joined + (portRef O (instanceRef un1_psum0_signed_axb_50)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_51)) + )) + (net (rename un1_psum0_signed_axbZ0Z_49 "un1_psum0_signed_axb_49") (joined + (portRef O (instanceRef un1_psum0_signed_axb_49)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_51)) + )) + (net (rename un1_psum0_signed_axbZ0Z_48 "un1_psum0_signed_axb_48") (joined + (portRef O (instanceRef un1_psum0_signed_axb_48)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_51)) + )) + (net (rename un1_psum0_signed_axbZ0Z_47 "un1_psum0_signed_axb_47") (joined + (portRef O (instanceRef un1_psum0_signed_axb_47)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_47)) + )) + (net (rename un1_psum0_signed_axbZ0Z_46 "un1_psum0_signed_axb_46") (joined + (portRef O (instanceRef un1_psum0_signed_axb_46)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_47)) + )) + (net (rename un1_psum0_signed_axbZ0Z_45 "un1_psum0_signed_axb_45") (joined + (portRef O (instanceRef un1_psum0_signed_axb_45)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_47)) + )) + (net (rename un1_psum0_signed_axbZ0Z_44 "un1_psum0_signed_axb_44") (joined + (portRef O (instanceRef un1_psum0_signed_axb_44)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_47)) + )) + (net (rename un1_psum0_signed_axbZ0Z_43 "un1_psum0_signed_axb_43") (joined + (portRef O (instanceRef un1_psum0_signed_axb_43)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_43)) + )) + (net (rename un1_psum0_signed_axbZ0Z_42 "un1_psum0_signed_axb_42") (joined + (portRef O (instanceRef un1_psum0_signed_axb_42)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_43)) + )) + (net (rename un1_psum0_signed_axbZ0Z_41 "un1_psum0_signed_axb_41") (joined + (portRef O (instanceRef un1_psum0_signed_axb_41)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_43)) + )) + (net (rename un1_psum0_signed_axbZ0Z_40 "un1_psum0_signed_axb_40") (joined + (portRef O (instanceRef un1_psum0_signed_axb_40)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_43)) + )) + (net (rename un1_psum0_signed_axbZ0Z_39 "un1_psum0_signed_axb_39") (joined + (portRef O (instanceRef un1_psum0_signed_axb_39)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_39)) + )) + (net (rename un1_psum0_signed_axbZ0Z_38 "un1_psum0_signed_axb_38") (joined + (portRef O (instanceRef un1_psum0_signed_axb_38)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_39)) + )) + (net (rename un1_psum0_signed_axbZ0Z_37 "un1_psum0_signed_axb_37") (joined + (portRef O (instanceRef un1_psum0_signed_axb_37)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_39)) + )) + (net (rename un1_psum0_signed_axbZ0Z_36 "un1_psum0_signed_axb_36") (joined + (portRef O (instanceRef un1_psum0_signed_axb_36)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_39)) + )) + (net (rename un1_psum0_signed_axbZ0Z_35 "un1_psum0_signed_axb_35") (joined + (portRef O (instanceRef un1_psum0_signed_axb_35)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_35)) + )) + (net (rename un1_psum0_signed_axbZ0Z_34 "un1_psum0_signed_axb_34") (joined + (portRef O (instanceRef un1_psum0_signed_axb_34)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_35)) + )) + (net (rename un1_psum0_signed_axbZ0Z_33 "un1_psum0_signed_axb_33") (joined + (portRef O (instanceRef un1_psum0_signed_axb_33)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_35)) + )) + (net (rename un1_psum0_signed_axbZ0Z_32 "un1_psum0_signed_axb_32") (joined + (portRef O (instanceRef un1_psum0_signed_axb_32)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_35)) + )) + (net (rename un1_psum0_signed_axbZ0Z_31 "un1_psum0_signed_axb_31") (joined + (portRef O (instanceRef un1_psum0_signed_axb_31)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_31)) + )) + (net (rename un1_psum0_signed_axbZ0Z_30 "un1_psum0_signed_axb_30") (joined + (portRef O (instanceRef un1_psum0_signed_axb_30)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_31)) + )) + (net (rename un1_psum0_signed_axbZ0Z_29 "un1_psum0_signed_axb_29") (joined + (portRef O (instanceRef un1_psum0_signed_axb_29)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_31)) + )) + (net (rename un1_psum0_signed_axbZ0Z_28 "un1_psum0_signed_axb_28") (joined + (portRef O (instanceRef un1_psum0_signed_axb_28)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_31)) + )) + (net (rename un1_psum0_signed_axbZ0Z_27 "un1_psum0_signed_axb_27") (joined + (portRef O (instanceRef un1_psum0_signed_axb_27)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_27)) + )) + (net (rename un1_psum0_signed_axbZ0Z_26 "un1_psum0_signed_axb_26") (joined + (portRef O (instanceRef un1_psum0_signed_axb_26)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_27)) + )) + (net (rename un1_psum0_signed_axbZ0Z_25 "un1_psum0_signed_axb_25") (joined + (portRef O (instanceRef un1_psum0_signed_axb_25)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_27)) + )) + (net (rename un1_psum0_signed_axbZ0Z_24 "un1_psum0_signed_axb_24") (joined + (portRef O (instanceRef un1_psum0_signed_axb_24)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_27)) + )) + (net (rename un1_psum0_signed_axbZ0Z_23 "un1_psum0_signed_axb_23") (joined + (portRef O (instanceRef un1_psum0_signed_axb_23)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_23)) + )) + (net (rename un1_psum0_signed_axbZ0Z_22 "un1_psum0_signed_axb_22") (joined + (portRef O (instanceRef un1_psum0_signed_axb_22)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_23)) + )) + (net (rename un1_psum0_signed_axbZ0Z_21 "un1_psum0_signed_axb_21") (joined + (portRef O (instanceRef un1_psum0_signed_axb_21)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_23)) + )) + (net (rename un1_psum0_signed_20 "un1_psum0_signed(20)") (joined + (portRef O (instanceRef un1_psum0_signed_axb_20)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_23)) + )) + (net psum1_signed_cry_68_sf (joined + (portRef O (instanceRef psum1_signed_s_69_RNO)) + (portRef (member S 3) (instanceRef psum1_signed_s_69)) + )) + (net psum1_signed_cry_67_sf (joined + (portRef O (instanceRef psum1_signed_cry_67_RNO)) + (portRef (member S 0) (instanceRef psum1_signed_cry_67)) + )) + (net (rename A1_product_signed_0_66 "A1_product_signed_0(66)") (joined + (portRef O (instanceRef psum1_signed_cry_66_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_67)) + )) + (net (rename A1_product_signed_0_65 "A1_product_signed_0(65)") (joined + (portRef O (instanceRef psum1_signed_cry_65_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_67)) + )) + (net (rename A1_product_signed_0_64 "A1_product_signed_0(64)") (joined + (portRef O (instanceRef psum1_signed_cry_64_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_67)) + )) + (net (rename A1_product_signed_0_63 "A1_product_signed_0(63)") (joined + (portRef O (instanceRef psum1_signed_cry_63_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_63)) + )) + (net (rename A1_product_signed_0_62 "A1_product_signed_0(62)") (joined + (portRef O (instanceRef psum1_signed_cry_62_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_63)) + )) + (net (rename A1_product_signed_0_61 "A1_product_signed_0(61)") (joined + (portRef O (instanceRef psum1_signed_cry_61_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_63)) + )) + (net (rename A1_product_signed_0_60 "A1_product_signed_0(60)") (joined + (portRef O (instanceRef psum1_signed_cry_60_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_63)) + )) + (net (rename A1_product_signed_0_59 "A1_product_signed_0(59)") (joined + (portRef O (instanceRef psum1_signed_cry_59_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_59)) + )) + (net (rename A1_product_signed_0_58 "A1_product_signed_0(58)") (joined + (portRef O (instanceRef psum1_signed_cry_58_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_59)) + )) + (net (rename A1_product_signed_0_57 "A1_product_signed_0(57)") (joined + (portRef O (instanceRef psum1_signed_cry_57_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_59)) + )) + (net (rename A1_product_signed_0_56 "A1_product_signed_0(56)") (joined + (portRef O (instanceRef psum1_signed_cry_56_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_59)) + )) + (net (rename A1_product_signed_0_55 "A1_product_signed_0(55)") (joined + (portRef O (instanceRef psum1_signed_cry_55_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_55)) + )) + (net (rename A1_product_signed_0_54 "A1_product_signed_0(54)") (joined + (portRef O (instanceRef psum1_signed_cry_54_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_55)) + )) + (net (rename A1_product_signed_0_53 "A1_product_signed_0(53)") (joined + (portRef O (instanceRef psum1_signed_cry_53_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_55)) + )) + (net (rename A1_product_signed_0_52 "A1_product_signed_0(52)") (joined + (portRef O (instanceRef psum1_signed_cry_52_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_55)) + )) + (net (rename A1_product_signed_0_51 "A1_product_signed_0(51)") (joined + (portRef O (instanceRef psum1_signed_cry_51_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_51)) + )) + (net (rename A1_product_signed_0_50 "A1_product_signed_0(50)") (joined + (portRef O (instanceRef psum1_signed_cry_50_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_51)) + )) + (net (rename A1_product_signed_0_49 "A1_product_signed_0(49)") (joined + (portRef O (instanceRef psum1_signed_cry_49_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_51)) + )) + (net (rename A1_product_signed_0_48 "A1_product_signed_0(48)") (joined + (portRef O (instanceRef psum1_signed_cry_48_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_51)) + )) + (net (rename A1_product_signed_0_47 "A1_product_signed_0(47)") (joined + (portRef O (instanceRef psum1_signed_cry_47_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_47)) + )) + (net (rename A1_product_signed_0_46 "A1_product_signed_0(46)") (joined + (portRef O (instanceRef psum1_signed_cry_46_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_47)) + )) + (net (rename A1_product_signed_0_45 "A1_product_signed_0(45)") (joined + (portRef O (instanceRef psum1_signed_cry_45_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_47)) + )) + (net (rename A1_product_signed_1_44 "A1_product_signed_1(44)") (joined + (portRef O (instanceRef psum1_signed_cry_44_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_47)) + )) + (net (rename A1_product_signed_1_43 "A1_product_signed_1(43)") (joined + (portRef O (instanceRef psum1_signed_cry_43_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_43)) + )) + (net (rename A1_product_signed_2_42 "A1_product_signed_2(42)") (joined + (portRef O (instanceRef psum1_signed_cry_42_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_43)) + )) + (net (rename A1_product_signed_2_41 "A1_product_signed_2(41)") (joined + (portRef O (instanceRef psum1_signed_cry_41_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_43)) + )) + (net (rename A1_product_signed_2_40 "A1_product_signed_2(40)") (joined + (portRef O (instanceRef psum1_signed_cry_40_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_43)) + )) + (net (rename A1_product_signed_2_39 "A1_product_signed_2(39)") (joined + (portRef O (instanceRef psum1_signed_cry_39_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_39)) + )) + (net (rename A1_product_signed_2_38 "A1_product_signed_2(38)") (joined + (portRef O (instanceRef psum1_signed_cry_38_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_39)) + )) + (net (rename A1_product_signed_2_37 "A1_product_signed_2(37)") (joined + (portRef O (instanceRef psum1_signed_cry_37_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_39)) + )) + (net (rename A1_product_signed_2_36 "A1_product_signed_2(36)") (joined + (portRef O (instanceRef psum1_signed_cry_36_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_39)) + )) + (net (rename A1_product_signed_2_35 "A1_product_signed_2(35)") (joined + (portRef O (instanceRef psum1_signed_cry_35_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_35)) + )) + (net (rename A1_product_signed_2_34 "A1_product_signed_2(34)") (joined + (portRef O (instanceRef psum1_signed_cry_34_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_35)) + )) + (net (rename A1_product_signed_2_33 "A1_product_signed_2(33)") (joined + (portRef O (instanceRef psum1_signed_cry_33_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_35)) + )) + (net (rename A1_product_signed_2_32 "A1_product_signed_2(32)") (joined + (portRef O (instanceRef psum1_signed_cry_32_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_35)) + )) + (net (rename A1_product_signed_2_31 "A1_product_signed_2(31)") (joined + (portRef O (instanceRef psum1_signed_cry_31_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_31)) + )) + (net (rename A1_product_signed_2_30 "A1_product_signed_2(30)") (joined + (portRef O (instanceRef psum1_signed_cry_30_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_31)) + )) + (net (rename A1_product_signed_2_29 "A1_product_signed_2(29)") (joined + (portRef O (instanceRef psum1_signed_cry_29_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_31)) + )) + (net (rename A1_product_signed_2_28 "A1_product_signed_2(28)") (joined + (portRef O (instanceRef psum1_signed_cry_28_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_31)) + )) + (net (rename A1_product_signed_2_27 "A1_product_signed_2(27)") (joined + (portRef O (instanceRef psum1_signed_cry_27_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_27)) + )) + (net (rename A1_product_signed_2_26 "A1_product_signed_2(26)") (joined + (portRef O (instanceRef psum1_signed_cry_26_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_27)) + )) + (net (rename A1_product_signed_1_25 "A1_product_signed_1(25)") (joined + (portRef O (instanceRef psum1_signed_cry_25_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_27)) + )) + (net (rename A1_product_signed_1_24 "A1_product_signed_1(24)") (joined + (portRef O (instanceRef psum1_signed_cry_24_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_27)) + )) + (net (rename A1_product_signed_1_23 "A1_product_signed_1(23)") (joined + (portRef O (instanceRef psum1_signed_cry_23_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_23)) + )) + (net (rename A1_product_signed_1_22 "A1_product_signed_1(22)") (joined + (portRef O (instanceRef psum1_signed_cry_22_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_23)) + )) + (net (rename A1_product_signed_1_21 "A1_product_signed_1(21)") (joined + (portRef O (instanceRef psum1_signed_cry_21_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_23)) + )) + (net (rename A1_product_signed_1_20 "A1_product_signed_1(20)") (joined + (portRef O (instanceRef psum1_signed_cry_20_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_23)) + )) + (net (rename A1_product_signed_1_19 "A1_product_signed_1(19)") (joined + (portRef O (instanceRef psum1_signed_cry_19_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_19)) + )) + (net (rename A1_product_signed_1_18 "A1_product_signed_1(18)") (joined + (portRef O (instanceRef psum1_signed_cry_18_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_19)) + )) + (net (rename A1_product_signed_1_17 "A1_product_signed_1(17)") (joined + (portRef O (instanceRef psum1_signed_cry_17_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_19)) + )) + (net (rename A1_product_signed_1_16 "A1_product_signed_1(16)") (joined + (portRef O (instanceRef psum1_signed_cry_16_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_19)) + )) + (net (rename A1_product_signed_1_15 "A1_product_signed_1(15)") (joined + (portRef O (instanceRef psum1_signed_cry_15_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_15)) + )) + (net (rename A1_product_signed_1_14 "A1_product_signed_1(14)") (joined + (portRef O (instanceRef psum1_signed_cry_14_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_15)) + )) + (net (rename A1_product_signed_1_13 "A1_product_signed_1(13)") (joined + (portRef O (instanceRef psum1_signed_cry_13_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_15)) + )) + (net (rename A1_product_signed_1_12 "A1_product_signed_1(12)") (joined + (portRef O (instanceRef psum1_signed_cry_12_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_15)) + )) + (net (rename A1_product_signed_1_11 "A1_product_signed_1(11)") (joined + (portRef O (instanceRef psum1_signed_cry_11_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_11)) + )) + (net (rename A1_product_signed_1_10 "A1_product_signed_1(10)") (joined + (portRef O (instanceRef psum1_signed_cry_10_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_11)) + )) + (net (rename A1_product_signed_1_9 "A1_product_signed_1(9)") (joined + (portRef O (instanceRef psum1_signed_cry_9_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_11)) + )) + (net (rename A1_product_signed_1_8 "A1_product_signed_1(8)") (joined + (portRef O (instanceRef psum1_signed_cry_8_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_11)) + )) + (net (rename A1_product_signed_1_7 "A1_product_signed_1(7)") (joined + (portRef O (instanceRef psum1_signed_cry_7_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_7)) + )) + (net (rename A1_product_signed_1_6 "A1_product_signed_1(6)") (joined + (portRef O (instanceRef psum1_signed_cry_6_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_7)) + )) + (net (rename A1_product_signed_1_5 "A1_product_signed_1(5)") (joined + (portRef O (instanceRef psum1_signed_cry_5_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_7)) + )) + (net (rename A1_product_signed_1_4 "A1_product_signed_1(4)") (joined + (portRef O (instanceRef psum1_signed_cry_4_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_7)) + )) + (net (rename A1_product_signed_1_3 "A1_product_signed_1(3)") (joined + (portRef O (instanceRef psum1_signed_cry_3_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_3)) + )) + (net (rename A1_product_signed_1_2 "A1_product_signed_1(2)") (joined + (portRef O (instanceRef psum1_signed_cry_2_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_3)) + )) + (net (rename A1_product_signed_1_1 "A1_product_signed_1(1)") (joined + (portRef O (instanceRef psum1_signed_cry_1_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_3)) + )) + (net (rename A1_product_signed_1_0 "A1_product_signed_1(0)") (joined + (portRef O (instanceRef psum1_signed_cry_0_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_3)) + )) + (net (rename un1_psum0_signed_cryZ0Z_23 "un1_psum0_signed_cry_23") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_23)) + (portRef CI (instanceRef un1_psum0_signed_cry_27)) + )) + (net (rename un1_psum0_signed_21 "un1_psum0_signed(21)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_23)) + (portRef (member un1_psum0_signed 49) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_22 "un1_psum0_signed(22)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_23)) + (portRef (member un1_psum0_signed 48) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_23 "un1_psum0_signed(23)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_23)) + (portRef (member un1_psum0_signed 47) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_cryZ0Z_27 "un1_psum0_signed_cry_27") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_27)) + (portRef CI (instanceRef un1_psum0_signed_cry_31)) + )) + (net (rename un1_psum0_signed_24 "un1_psum0_signed(24)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_27)) + (portRef (member un1_psum0_signed 46) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_25 "un1_psum0_signed(25)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_27)) + (portRef (member un1_psum0_signed 45) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_26 "un1_psum0_signed(26)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_27)) + (portRef (member un1_psum0_signed 44) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_27 "un1_psum0_signed(27)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_27)) + (portRef (member un1_psum0_signed 43) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_cryZ0Z_31 "un1_psum0_signed_cry_31") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_31)) + (portRef CI (instanceRef un1_psum0_signed_cry_35)) + )) + (net (rename un1_psum0_signed_28 "un1_psum0_signed(28)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_31)) + (portRef (member un1_psum0_signed 42) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_29 "un1_psum0_signed(29)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_31)) + (portRef (member un1_psum0_signed 41) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_31)) + (portRef (member un1_psum0_signed 40) (instanceRef OR25)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_0_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_7_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_8_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_2_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_3_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_4_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_10_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_22_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_12_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_19_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_13_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_14_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_15_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_9_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_28_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_35_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_31 "un1_psum0_signed(31)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_31)) + (portRef (member un1_psum0_signed 39) (instanceRef OR25)) + (portRef (member un1_psum0_signed 39) (instanceRef gO_4_0_MUX12)) + (portRef (member un1_psum0_signed 39) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 35) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 39) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_cryZ0Z_35 "un1_psum0_signed_cry_35") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_35)) + (portRef CI (instanceRef un1_psum0_signed_cry_39)) + )) + (net (rename un1_psum0_signed_32 "un1_psum0_signed(32)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_35)) + (portRef (member un1_psum0_signed 38) (instanceRef OR25)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_1_MUX12)) + (portRef (member un1_psum0_signed 38) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 34) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 38) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_33 "un1_psum0_signed(33)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_35)) + (portRef (member un1_psum0_signed 37) (instanceRef OR25)) + (portRef (member un1_psum0_signed 37) (instanceRef gO_4_2_MUX12)) + (portRef (member un1_psum0_signed 37) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 33) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_34 "un1_psum0_signed(34)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_35)) + (portRef (member un1_psum0_signed 36) (instanceRef OR25)) + (portRef (member un1_psum0_signed 36) (instanceRef gO_4_3_MUX12)) + (portRef (member un1_psum0_signed 36) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 32) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_35 "un1_psum0_signed(35)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_35)) + (portRef (member un1_psum0_signed 35) (instanceRef OR25)) + (portRef (member un1_psum0_signed 35) (instanceRef gO_4_4_MUX12)) + (portRef (member un1_psum0_signed 35) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 31) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_cryZ0Z_39 "un1_psum0_signed_cry_39") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_39)) + (portRef CI (instanceRef un1_psum0_signed_cry_43)) + )) + (net (rename un1_psum0_signed_36 "un1_psum0_signed(36)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_39)) + (portRef (member un1_psum0_signed 34) (instanceRef OR25)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_5_MUX12)) + (portRef (member un1_psum0_signed 34) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 30) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 34) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_37 "un1_psum0_signed(37)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_39)) + (portRef (member un1_psum0_signed 33) (instanceRef OR25)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_6_MUX12)) + (portRef (member un1_psum0_signed 33) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 29) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 33) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_38 "un1_psum0_signed(38)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_39)) + (portRef (member un1_psum0_signed 32) (instanceRef OR25)) + (portRef (member un1_psum0_signed 32) (instanceRef gO_4_7_MUX12)) + (portRef (member un1_psum0_signed 32) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 28) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_39 "un1_psum0_signed(39)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_39)) + (portRef (member un1_psum0_signed 31) (instanceRef gO_4_8_MUX12)) + (portRef (member un1_psum0_signed 26) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 27) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_cryZ0Z_43 "un1_psum0_signed_cry_43") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_43)) + (portRef CI (instanceRef un1_psum0_signed_cry_47)) + )) + (net (rename un1_psum0_signed_40 "un1_psum0_signed(40)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_43)) + (portRef (member un1_psum0_signed 30) (instanceRef gO_4_9_MUX12)) + (portRef (member un1_psum0_signed 25) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 26) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_41 "un1_psum0_signed(41)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_43)) + (portRef (member un1_psum0_signed 29) (instanceRef gO_4_10_MUX12)) + (portRef (member un1_psum0_signed 24) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 25) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_42 "un1_psum0_signed(42)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_43)) + (portRef (member un1_psum0_signed 22) (instanceRef gO_4_11_MUX12)) + (portRef (member un1_psum0_signed 23) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 24) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_43 "un1_psum0_signed(43)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_43)) + (portRef (member un1_psum0_signed 27) (instanceRef gO_4_12_MUX12)) + (portRef (member un1_psum0_signed 22) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 23) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_cryZ0Z_47 "un1_psum0_signed_cry_47") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_47)) + (portRef CI (instanceRef un1_psum0_signed_cry_51)) + )) + (net (rename un1_psum0_signed_44 "un1_psum0_signed(44)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_47)) + (portRef (member un1_psum0_signed 26) (instanceRef gO_4_13_MUX12)) + (portRef (member un1_psum0_signed 21) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 22) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_45 "un1_psum0_signed(45)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_47)) + (portRef (member un1_psum0_signed 25) (instanceRef gO_4_14_MUX12)) + (portRef (member un1_psum0_signed 20) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 21) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_46 "un1_psum0_signed(46)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_47)) + (portRef (member un1_psum0_signed 24) (instanceRef gO_4_15_MUX12)) + (portRef (member un1_psum0_signed 19) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 20) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_47 "un1_psum0_signed(47)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_47)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_16_MUX12)) + (portRef (member un1_psum0_signed 18) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 19) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 23) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_cryZ0Z_51 "un1_psum0_signed_cry_51") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_51)) + (portRef CI (instanceRef un1_psum0_signed_cry_55)) + )) + (net (rename un1_psum0_signed_48 "un1_psum0_signed(48)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_51)) + (portRef (member un1_psum0_signed 22) (instanceRef OR25)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_17_MUX12)) + (portRef (member un1_psum0_signed 17) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 18) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 22) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_49 "un1_psum0_signed(49)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_51)) + (portRef (member un1_psum0_signed 21) (instanceRef OR25)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_18_MUX12)) + (portRef (member un1_psum0_signed 21) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 17) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 21) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_50 "un1_psum0_signed(50)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_51)) + (portRef (member un1_psum0_signed 20) (instanceRef OR25)) + (portRef (member un1_psum0_signed 20) (instanceRef gO_4_19_MUX12)) + (portRef (member un1_psum0_signed 20) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 16) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_51 "un1_psum0_signed(51)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_51)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_20_MUX12)) + (portRef (member un1_psum0_signed 19) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 14) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 15) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 19) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_cryZ0Z_55 "un1_psum0_signed_cry_55") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_55)) + (portRef CI (instanceRef un1_psum0_signed_cry_59)) + )) + (net (rename un1_psum0_signed_52 "un1_psum0_signed(52)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_55)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_21_MUX12)) + (portRef (member un1_psum0_signed 18) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 13) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 14) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 18) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_53 "un1_psum0_signed(53)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_55)) + (portRef (member un1_psum0_signed 17) (instanceRef gO_4_22_MUX12)) + (portRef (member un1_psum0_signed 17) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 12) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 13) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_54 "un1_psum0_signed(54)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_55)) + (portRef (member un1_psum0_signed 10) (instanceRef gO_4_11_MUX12)) + (portRef (member un1_psum0_signed 16) (instanceRef gO_4_34_MUX12)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_23_MUX12)) + (portRef (member un1_psum0_signed 11) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 12) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_55 "un1_psum0_signed(55)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_55)) + (portRef (member un1_psum0_signed 9) (instanceRef gO_4_11_MUX12)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_24_MUX12)) + (portRef (member un1_psum0_signed 15) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 10) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 11) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_cryZ0Z_59 "un1_psum0_signed_cry_59") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_59)) + (portRef CI (instanceRef un1_psum0_signed_cry_63)) + )) + (net (rename un1_psum0_signed_56 "un1_psum0_signed(56)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_59)) + (portRef (member un1_psum0_signed 8) (instanceRef gO_4_11_MUX12)) + (portRef (member un1_psum0_signed 14) (instanceRef gO_4_34_MUX12)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_25_MUX12)) + (portRef (member un1_psum0_signed 9) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 10) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_57 "un1_psum0_signed(57)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_59)) + (portRef (member un1_psum0_signed 13) (instanceRef OR25)) + (portRef (member un1_psum0_signed 7) (instanceRef gO_4_11_MUX12)) + (portRef (member un1_psum0_signed 13) (instanceRef gO_4_34_MUX12)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_26_MUX12)) + (portRef (member un1_psum0_signed 9) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_59 "un1_psum0_signed(59)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_59)) + (portRef (member un1_psum0_signed 11) (instanceRef OR25)) + (portRef (member un1_psum0_signed 11) (instanceRef gO_4_28_MUX12)) + (portRef (member un1_psum0_signed 11) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 7) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_cryZ0Z_63 "un1_psum0_signed_cry_63") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_63)) + (portRef CI (instanceRef un1_psum0_signed_cry_67)) + )) + (net (rename un1_psum0_signed_63 "un1_psum0_signed(63)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_63)) + (portRef (member un1_psum0_signed 7) (instanceRef OR25)) + (portRef (member un1_psum0_signed 1) (instanceRef gO_4_11_MUX12)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_32_MUX12)) + (portRef (member un1_psum0_signed 2) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 3) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_cryZ0Z_67 "un1_psum0_signed_cry_67") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_67)) + (portRef CI (instanceRef un1_psum0_signed_s_70)) + )) + (net (rename un1_psum0_signed_64 "un1_psum0_signed(64)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_67)) + (portRef (member un1_psum0_signed 6) (instanceRef OR25)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_11_MUX12)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_33_MUX12)) + (portRef (member un1_psum0_signed 1) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 2) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_65 "un1_psum0_signed(65)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_67)) + (portRef (member un1_psum0_signed 5) (instanceRef OR25)) + (portRef (member un1_psum0_signed 5) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 1) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 5) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_66 "un1_psum0_signed(66)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_67)) + (portRef (member un1_psum0_signed 4) (instanceRef OR25)) + (portRef (member un1_psum0_signed 4) (instanceRef AN24)) + (portRef (member un1_psum0_signed 4) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_67 "un1_psum0_signed(67)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_67)) + (portRef (member un1_psum0_signed 3) (instanceRef OR25)) + (portRef (member un1_psum0_signed 3) (instanceRef AN24)) + (portRef (member un1_psum0_signed 3) (instanceRef gO_4_34_MUX12)) + )) + (net (rename un1_psum0_signed_68 "un1_psum0_signed(68)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_s_70)) + (portRef (member un1_psum0_signed 2) (instanceRef OR25)) + (portRef (member un1_psum0_signed 2) (instanceRef AN24)) + (portRef (member un1_psum0_signed 2) (instanceRef gO_4_34_MUX12)) + )) + (net (rename un1_psum0_signed_69 "un1_psum0_signed(69)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_s_70)) + (portRef (member un1_psum0_signed 1) (instanceRef OR25)) + (portRef (member un1_psum0_signed 1) (instanceRef AN24)) + (portRef (member un1_psum0_signed 1) (instanceRef gO_4_34_MUX12)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_s_70)) + (portRef (member un1_psum0_signed 0) (instanceRef OR25)) + (portRef (member un1_psum0_signed 0) (instanceRef AN24)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_0_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_7_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_8_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_2_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_3_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_4_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_10_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_22_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_12_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_19_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_13_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_14_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_15_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_9_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_28_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_35_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_signed_cryZ0Z_67 "psum1_signed_cry_67") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_67)) + (portRef CI (instanceRef psum1_signed_s_69)) + )) + (net (rename feedback_inc_data_1 "feedback_inc_data(1)") (joined + (portRef (member feedback_inc_data 34) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_1_MUX12)) + (portRef (member feedback_inc_data 34) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_5 "feedback_inc_data(5)") (joined + (portRef (member feedback_inc_data 30) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_5_MUX12)) + (portRef (member feedback_inc_data 30) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_6 "feedback_inc_data(6)") (joined + (portRef (member feedback_inc_data 29) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_6_MUX12)) + (portRef (member feedback_inc_data 29) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_16 "feedback_inc_data(16)") (joined + (portRef (member feedback_inc_data 19) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_16_MUX12)) + (portRef (member feedback_inc_data 19) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_17 "feedback_inc_data(17)") (joined + (portRef (member feedback_inc_data 18) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_17_MUX12)) + (portRef (member feedback_inc_data 18) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_18 "feedback_inc_data(18)") (joined + (portRef (member feedback_inc_data 17) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_18_MUX12)) + (portRef (member feedback_inc_data 17) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_20 "feedback_inc_data(20)") (joined + (portRef (member feedback_inc_data 15) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_20_MUX12)) + (portRef (member feedback_inc_data 15) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_21 "feedback_inc_data(21)") (joined + (portRef (member feedback_inc_data 14) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_21_MUX12)) + (portRef (member feedback_inc_data 14) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_29 "feedback_inc_data(29)") (joined + (portRef (member feedback_inc_data 6) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_29_MUX12)) + (portRef (member feedback_inc_data 6) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_31 "feedback_inc_data(31)") (joined + (portRef (member feedback_inc_data 4) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_31_MUX12)) + (portRef (member feedback_inc_data 4) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_34 "feedback_inc_data(34)") (joined + (portRef (member feedback_inc_data 1) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_34_MUX12)) + (portRef (member feedback_inc_data 1) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_35 "feedback_inc_data(35)") (joined + (portRef (member feedback_inc_data 0) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_35_MUX12)) + (portRef (member feedback_inc_data 0) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg (instanceRef AN24)) + (portRef feedback_to_big_neg (instanceRef gO_4_11_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_18_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_24_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_31_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_5_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_6_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_1_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_17_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_29_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_20_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_21_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_16_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_27_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_34_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_26_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_33_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_25_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_32_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_23_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_30_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_2_gO_2cN_AN8)) + )) + (net N_186 (joined + (portRef N_186 (instanceRef gO_4_34_MUX12)) + (portRef N_186 (instanceRef gO_4_11_MUX12)) + (portRef N_186 (instanceRef gO_4_18_MUX12)) + (portRef N_186 (instanceRef gO_4_24_MUX12)) + (portRef N_186 (instanceRef gO_4_31_MUX12)) + (portRef N_186 (instanceRef gO_4_5_MUX12)) + (portRef N_186 (instanceRef gO_4_6_MUX12)) + (portRef N_186 (instanceRef gO_4_1_MUX12)) + (portRef N_186 (instanceRef gO_4_17_MUX12)) + (portRef N_186 (instanceRef gO_4_29_MUX12)) + (portRef N_186 (instanceRef gO_4_20_MUX12)) + (portRef N_186 (instanceRef gO_4_21_MUX12)) + (portRef N_186 (instanceRef gO_4_16_MUX12)) + (portRef N_186 (instanceRef gO_4_27_MUX12)) + (portRef N_186 (instanceRef gO_4_26_MUX12)) + (portRef N_186 (instanceRef gO_4_33_MUX12)) + (portRef N_186 (instanceRef gO_4_25_MUX12)) + (portRef N_186 (instanceRef gO_4_32_MUX12)) + (portRef N_186 (instanceRef gO_4_23_MUX12)) + (portRef N_186 (instanceRef gO_4_30_MUX12)) + (portRef N_186 (instanceRef gO_2_gO_2cN_AN8)) + )) + (net N_218 (joined + (portRef N_218 (instanceRef gO_4_34_MUX12)) + (portRef N_218 (instanceRef gO_4_11_MUX12)) + (portRef N_218 (instanceRef gO_4_18_MUX12)) + (portRef N_218 (instanceRef gO_4_24_MUX12)) + (portRef N_218 (instanceRef gO_4_31_MUX12)) + (portRef N_218 (instanceRef gO_4_5_MUX12)) + (portRef N_218 (instanceRef gO_4_6_MUX12)) + (portRef N_218 (instanceRef gO_4_1_MUX12)) + (portRef N_218 (instanceRef gO_4_17_MUX12)) + (portRef N_218 (instanceRef gO_4_29_MUX12)) + (portRef N_218 (instanceRef gO_4_20_MUX12)) + (portRef N_218 (instanceRef gO_4_21_MUX12)) + (portRef N_218 (instanceRef gO_4_16_MUX12)) + (portRef N_218 (instanceRef gO_4_27_MUX12)) + (portRef N_218 (instanceRef gO_4_26_MUX12)) + (portRef N_218 (instanceRef gO_4_33_MUX12)) + (portRef N_218 (instanceRef gO_4_25_MUX12)) + (portRef N_218 (instanceRef gO_4_32_MUX12)) + (portRef N_218 (instanceRef gO_4_23_MUX12)) + (portRef N_218 (instanceRef gO_4_30_MUX12)) + (portRef N_218 (instanceRef gO_2_gO_2cN_AN8)) + )) + (net Z_i_0_o3_28 (joined + (portRef Z_i_0_o3_28 (instanceRef gO_2_gO_2cN_AN8)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_0_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_7_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_8_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_2_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_3_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_4_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_10_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_22_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_12_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_19_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_13_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_14_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_15_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_9_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_28_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_35_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_34_MUX12)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big (instanceRef OR25)) + (portRef feedback_to_big (instanceRef gO_4_0_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_7_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_8_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_2_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_3_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_4_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_10_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_22_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_12_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_19_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_13_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_14_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_15_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_9_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_28_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_35_MUX12)) + (portRef feedback_to_big (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_2 "feedback_inc_data(2)") (joined + (portRef (member feedback_inc_data 33) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_2_MUX12)) + )) + (net (rename feedback_inc_data_3 "feedback_inc_data(3)") (joined + (portRef (member feedback_inc_data 32) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_3_MUX12)) + )) + (net (rename feedback_inc_data_4 "feedback_inc_data(4)") (joined + (portRef (member feedback_inc_data 31) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_4_MUX12)) + )) + (net (rename feedback_inc_data_7 "feedback_inc_data(7)") (joined + (portRef (member feedback_inc_data 28) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_7_MUX12)) + )) + (net (rename feedback_inc_data_8 "feedback_inc_data(8)") (joined + (portRef (member feedback_inc_data 27) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_8_MUX12)) + )) + (net (rename feedback_inc_data_9 "feedback_inc_data(9)") (joined + (portRef (member feedback_inc_data 26) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_9_MUX12)) + )) + (net (rename feedback_inc_data_10 "feedback_inc_data(10)") (joined + (portRef (member feedback_inc_data 25) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_10_MUX12)) + )) + (net (rename feedback_inc_data_11 "feedback_inc_data(11)") (joined + (portRef (member feedback_inc_data 24) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member feedback_inc_data 22) (instanceRef gO_4_11_MUX12)) + )) + (net (rename feedback_inc_data_12 "feedback_inc_data(12)") (joined + (portRef (member feedback_inc_data 23) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_12_MUX12)) + )) + (net (rename feedback_inc_data_13 "feedback_inc_data(13)") (joined + (portRef (member feedback_inc_data 22) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_13_MUX12)) + )) + (net (rename feedback_inc_data_14 "feedback_inc_data(14)") (joined + (portRef (member feedback_inc_data 21) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_14_MUX12)) + )) + (net (rename feedback_inc_data_15 "feedback_inc_data(15)") (joined + (portRef (member feedback_inc_data 20) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_15_MUX12)) + )) + (net (rename feedback_inc_data_19 "feedback_inc_data(19)") (joined + (portRef (member feedback_inc_data 16) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_19_MUX12)) + )) + (net (rename feedback_inc_data_22 "feedback_inc_data(22)") (joined + (portRef (member feedback_inc_data 13) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_22_MUX12)) + )) + (net (rename feedback_inc_data_23 "feedback_inc_data(23)") (joined + (portRef (member feedback_inc_data 12) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member feedback_inc_data 10) (instanceRef gO_4_11_MUX12)) + (portRef feedback_inc_data_0 (instanceRef gO_4_23_MUX12)) + )) + (net (rename feedback_inc_data_24 "feedback_inc_data(24)") (joined + (portRef (member feedback_inc_data 11) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member feedback_inc_data 9) (instanceRef gO_4_11_MUX12)) + (portRef feedback_inc_data_0 (instanceRef gO_4_24_MUX12)) + )) + (net (rename feedback_inc_data_25 "feedback_inc_data(25)") (joined + (portRef (member feedback_inc_data 10) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member feedback_inc_data 8) (instanceRef gO_4_11_MUX12)) + (portRef feedback_inc_data_0 (instanceRef gO_4_25_MUX12)) + )) + (net (rename feedback_inc_data_26 "feedback_inc_data(26)") (joined + (portRef (member feedback_inc_data 9) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member feedback_inc_data 7) (instanceRef gO_4_11_MUX12)) + (portRef feedback_inc_data_0 (instanceRef gO_4_26_MUX12)) + )) + (net (rename feedback_inc_data_27 "feedback_inc_data(27)") (joined + (portRef (member feedback_inc_data 8) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member feedback_inc_data 6) (instanceRef gO_4_11_MUX12)) + (portRef feedback_inc_data_0 (instanceRef gO_4_27_MUX12)) + )) + (net (rename feedback_inc_data_28 "feedback_inc_data(28)") (joined + (portRef (member feedback_inc_data 7) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_28_MUX12)) + )) + (net (rename feedback_inc_data_30 "feedback_inc_data(30)") (joined + (portRef (member feedback_inc_data 5) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member feedback_inc_data 3) (instanceRef gO_4_11_MUX12)) + (portRef feedback_inc_data_0 (instanceRef gO_4_30_MUX12)) + )) + (net (rename feedback_inc_data_32 "feedback_inc_data(32)") (joined + (portRef (member feedback_inc_data 3) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member feedback_inc_data 1) (instanceRef gO_4_11_MUX12)) + (portRef feedback_inc_data_0 (instanceRef gO_4_32_MUX12)) + )) + (net (rename feedback_inc_data_33 "feedback_inc_data(33)") (joined + (portRef (member feedback_inc_data 2) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member feedback_inc_data 0) (instanceRef gO_4_11_MUX12)) + (portRef feedback_inc_data_0 (instanceRef gO_4_33_MUX12)) + )) + (net Z_32_0 (joined + (portRef Z_32_0 (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef Z_32_0 (instanceRef OR25)) + )) + (net Z_33_2 (joined + (portRef Z_33_2 (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef Z_33_2 (instanceRef OR25)) + )) + (net Z_32_1 (joined + (portRef Z_32_1 (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef Z_32_1 (instanceRef OR25)) + )) + (net Z_32 (joined + (portRef Z_32 (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef Z_32 (instanceRef gO_4_34_MUX12)) + )) + ) + (property langParams (string "data_in_width data_out_width frac_data_out_width feedback_width max_coef_width frac_coef_width saturation_mode out_reg")) + (property orig_inst_of (string "DW_iir_dc")) + (property out_reg (integer 1)) + (property saturation_mode (integer 0)) + (property frac_coef_width (integer 31)) + (property max_coef_width (integer 32)) + (property feedback_width (integer 36)) + (property frac_data_out_width (integer 20)) + (property data_out_width (integer 36)) + (property data_in_width (integer 16)) + ) + ) + (cell (rename dw03_dw_iir_dc_mult_16_36_20_31_0_1_1_data_in_wsnyy3k_widthfrac_coef_widthsaturation_modeout_reg_5_0 "dw03_dw_iir_dc_mult_16_36_20_31_0_1_1_data_in_widthdata_out_widthfrac_data_out_widthfeedback_widthmax_coef_widthfrac_coef_widthsaturation_modeout_reg_5_0") (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename b4_re "b4_re(31:0)") 32) (direction INPUT)) + (port (array (rename gated_in_data "gated_in_data(15:0)") 16) (direction INPUT)) + (port (array (rename a4_re "a4_re(31:0)") 32) (direction INPUT)) + (port (array (rename dout_4 "dout_4(35:0)") 36) (direction OUTPUT)) + (port rstn (direction INPUT)) + (port en (direction INPUT)) + (port clk (direction INPUT)) + (port rstn_i (direction INPUT)) + (port saturation_4 (direction OUTPUT)) + ) + (contents + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_43 "PSUM2AND1_REGS.psum1_saved_3_lut[43]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_42 "PSUM2AND1_REGS.psum1_saved_3_lut[42]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_41 "PSUM2AND1_REGS.psum1_saved_3_lut[41]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_37 "PSUM2AND1_REGS.psum1_saved_3_lut[37]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_38 "PSUM2AND1_REGS.psum1_saved_3_lut[38]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_40 "PSUM2AND1_REGS.psum1_saved_3_lut[40]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_39 "PSUM2AND1_REGS.psum1_saved_3_lut[39]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_36 "PSUM2AND1_REGS.psum1_saved_3_lut[36]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_33 "PSUM2AND1_REGS.psum1_saved_3_lut[33]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_35 "PSUM2AND1_REGS.psum1_saved_3_lut[35]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_34 "PSUM2AND1_REGS.psum1_saved_3_lut[34]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_30 "PSUM2AND1_REGS.psum1_saved_3_lut[30]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_31 "PSUM2AND1_REGS.psum1_saved_3_lut[31]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_29 "PSUM2AND1_REGS.psum1_saved_3_lut[29]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_32 "PSUM2AND1_REGS.psum1_saved_3_lut[32]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_27 "PSUM2AND1_REGS.psum1_saved_3_lut[27]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_25 "PSUM2AND1_REGS.psum1_saved_3_lut[25]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_28 "PSUM2AND1_REGS.psum1_saved_3_lut[28]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_26 "PSUM2AND1_REGS.psum1_saved_3_lut[26]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_21 "PSUM2AND1_REGS.psum1_saved_3_lut[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_24 "PSUM2AND1_REGS.psum1_saved_3_lut[24]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_23 "PSUM2AND1_REGS.psum1_saved_3_lut[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_22 "PSUM2AND1_REGS.psum1_saved_3_lut[22]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_20 "PSUM2AND1_REGS.psum1_saved_3_lut[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_19 "PSUM2AND1_REGS.psum1_saved_3_lut[19]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_18 "PSUM2AND1_REGS.psum1_saved_3_lut[18]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_17 "PSUM2AND1_REGS.psum1_saved_3_lut[17]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_16 "PSUM2AND1_REGS.psum1_saved_3_lut[16]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_15 "PSUM2AND1_REGS.psum1_saved_3_lut[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_13 "PSUM2AND1_REGS.psum1_saved_3_lut[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_14 "PSUM2AND1_REGS.psum1_saved_3_lut[14]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_12 "PSUM2AND1_REGS.psum1_saved_3_lut[12]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_9 "PSUM2AND1_REGS.psum1_saved_3_lut[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_11 "PSUM2AND1_REGS.psum1_saved_3_lut[11]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_10 "PSUM2AND1_REGS.psum1_saved_3_lut[10]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_6 "PSUM2AND1_REGS.psum1_saved_3_lut[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_7 "PSUM2AND1_REGS.psum1_saved_3_lut[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_8 "PSUM2AND1_REGS.psum1_saved_3_lut[8]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_5 "PSUM2AND1_REGS.psum1_saved_3_lut[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_2 "PSUM2AND1_REGS.psum1_saved_3_lut[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_1 "PSUM2AND1_REGS.psum1_saved_3_lut[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_3 "PSUM2AND1_REGS.psum1_saved_3_lut[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_4 "PSUM2AND1_REGS.psum1_saved_3_lut[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_65 "PSUM2AND1_REGS.psum1_saved_3[65]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'h28")) + ) + (instance (rename A1_product_signedAdd_0_42_0 "A1_product_signedAdd_0[42:0]") (viewRef PRIM (cellRef DSP48E2 (libraryRef VIRTEXR))) + (property ADREG (integer 0)) + (property BMULTSEL (string "B")) + (property PREADDINSEL (string "A")) + (property AMULTSEL (string "A")) + (property INMODEREG (integer 0)) + (property DREG (integer 0)) + (property AUTORESET_PATDET (string "NO_RESET")) + (property USE_SIMD (string "ONE48")) + (property A_INPUT (string "CASCADE")) + (property B_INPUT (string "DIRECT")) + (property ALUMODEREG (integer 0)) + (property CARRYINREG (integer 0)) + (property CARRYINSELREG (integer 0)) + (property OPMODEREG (integer 0)) + (property MREG (integer 0)) + (property PREG (integer 0)) + (property CREG (integer 0)) + (property BCASCREG (integer 0)) + (property BREG (integer 0)) + (property ACASCREG (integer 0)) + (property AREG (integer 0)) + (property USE_MULT (string "MULTIPLY")) + ) + (instance (rename A1_product_signedAdd_1_34_0 "A1_product_signedAdd_1[34:0]") (viewRef PRIM (cellRef DSP48E2 (libraryRef VIRTEXR))) + (property ADREG (integer 0)) + (property BMULTSEL (string "B")) + (property PREADDINSEL (string "A")) + (property AMULTSEL (string "A")) + (property INMODEREG (integer 0)) + (property DREG (integer 0)) + (property AUTORESET_PATDET (string "NO_RESET")) + (property USE_SIMD (string "ONE48")) + (property A_INPUT (string "DIRECT")) + (property B_INPUT (string "DIRECT")) + (property ALUMODEREG (integer 0)) + (property CARRYINREG (integer 0)) + (property CARRYINSELREG (integer 0)) + (property OPMODEREG (integer 0)) + (property MREG (integer 0)) + (property PREG (integer 0)) + (property CREG (integer 0)) + (property BCASCREG (integer 0)) + (property BREG (integer 0)) + (property ACASCREG (integer 0)) + (property AREG (integer 0)) + (property USE_MULT (string "MULTIPLY")) + ) + (instance (rename B0_product_signedAdd_0_30_0 "B0_product_signedAdd_0[30:0]") (viewRef PRIM (cellRef DSP48E2 (libraryRef VIRTEXR))) + (property ADREG (integer 0)) + (property BMULTSEL (string "B")) + (property PREADDINSEL (string "A")) + (property AMULTSEL (string "A")) + (property INMODEREG (integer 0)) + (property DREG (integer 0)) + (property AUTORESET_PATDET (string "NO_RESET")) + (property USE_SIMD (string "ONE48")) + (property A_INPUT (string "DIRECT")) + (property B_INPUT (string "DIRECT")) + (property ALUMODEREG (integer 0)) + (property CARRYINREG (integer 0)) + (property CARRYINSELREG (integer 0)) + (property OPMODEREG (integer 0)) + (property MREG (integer 0)) + (property PREG (integer 0)) + (property CREG (integer 0)) + (property BCASCREG (integer 0)) + (property BREG (integer 0)) + (property ACASCREG (integer 0)) + (property AREG (integer 0)) + (property USE_MULT (string "MULTIPLY")) + ) + (instance (rename A1_product_signedAdd_2_24_0 "A1_product_signedAdd_2[24:0]") (viewRef PRIM (cellRef DSP48E2 (libraryRef VIRTEXR))) + (property ADREG (integer 0)) + (property BMULTSEL (string "B")) + (property PREADDINSEL (string "A")) + (property AMULTSEL (string "A")) + (property INMODEREG (integer 0)) + (property DREG (integer 0)) + (property AUTORESET_PATDET (string "NO_RESET")) + (property USE_SIMD (string "ONE48")) + (property A_INPUT (string "DIRECT")) + (property B_INPUT (string "DIRECT")) + (property ALUMODEREG (integer 0)) + (property CARRYINREG (integer 0)) + (property CARRYINSELREG (integer 0)) + (property OPMODEREG (integer 0)) + (property MREG (integer 0)) + (property PREG (integer 0)) + (property CREG (integer 0)) + (property BCASCREG (integer 0)) + (property BREG (integer 0)) + (property ACASCREG (integer 0)) + (property AREG (integer 0)) + (property USE_MULT (string "MULTIPLY")) + ) + (instance (rename A1_product_signed_0_44_0 "A1_product_signed_0[44:0]") (viewRef PRIM (cellRef DSP48E2 (libraryRef VIRTEXR))) + (property ADREG (integer 0)) + (property BMULTSEL (string "B")) + (property PREADDINSEL (string "A")) + (property AMULTSEL (string "A")) + (property INMODEREG (integer 0)) + (property DREG (integer 0)) + (property AUTORESET_PATDET (string "NO_RESET")) + (property USE_SIMD (string "ONE48")) + (property A_INPUT (string "DIRECT")) + (property B_INPUT (string "DIRECT")) + (property ALUMODEREG (integer 0)) + (property CARRYINREG (integer 0)) + (property CARRYINSELREG (integer 0)) + (property OPMODEREG (integer 0)) + (property MREG (integer 0)) + (property PREG (integer 0)) + (property CREG (integer 0)) + (property BCASCREG (integer 0)) + (property BREG (integer 0)) + (property ACASCREG (integer 0)) + (property AREG (integer 0)) + (property USE_MULT (string "MULTIPLY")) + ) + (instance (rename B0_product_signed_0_33_0 "B0_product_signed_0[33:0]") (viewRef PRIM (cellRef DSP48E2 (libraryRef VIRTEXR))) + (property ADREG (integer 0)) + (property BMULTSEL (string "B")) + (property PREADDINSEL (string "A")) + (property AMULTSEL (string "A")) + (property INMODEREG (integer 0)) + (property DREG (integer 0)) + (property AUTORESET_PATDET (string "NO_RESET")) + (property USE_SIMD (string "ONE48")) + (property A_INPUT (string "DIRECT")) + (property B_INPUT (string "DIRECT")) + (property ALUMODEREG (integer 0)) + (property CARRYINREG (integer 0)) + (property CARRYINSELREG (integer 0)) + (property OPMODEREG (integer 0)) + (property MREG (integer 0)) + (property PREG (integer 0)) + (property CREG (integer 0)) + (property BCASCREG (integer 0)) + (property BREG (integer 0)) + (property ACASCREG (integer 0)) + (property AREG (integer 0)) + (property USE_MULT (string "MULTIPLY")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_69 "PSUM2AND1_REGS.psum1_saved_3[69]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_68 "PSUM2AND1_REGS.psum1_saved_3[68]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_67 "PSUM2AND1_REGS.psum1_saved_3[67]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_66 "PSUM2AND1_REGS.psum1_saved_3[66]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_64 "PSUM2AND1_REGS.psum1_saved_3[64]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_63 "PSUM2AND1_REGS.psum1_saved_3[63]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_62 "PSUM2AND1_REGS.psum1_saved_3[62]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_61 "PSUM2AND1_REGS.psum1_saved_3[61]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_60 "PSUM2AND1_REGS.psum1_saved_3[60]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_59 "PSUM2AND1_REGS.psum1_saved_3[59]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_58 "PSUM2AND1_REGS.psum1_saved_3[58]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_57 "PSUM2AND1_REGS.psum1_saved_3[57]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_56 "PSUM2AND1_REGS.psum1_saved_3[56]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_55 "PSUM2AND1_REGS.psum1_saved_3[55]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_54 "PSUM2AND1_REGS.psum1_saved_3[54]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_53 "PSUM2AND1_REGS.psum1_saved_3[53]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_52 "PSUM2AND1_REGS.psum1_saved_3[52]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_51 "PSUM2AND1_REGS.psum1_saved_3[51]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_50 "PSUM2AND1_REGS.psum1_saved_3[50]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_49 "PSUM2AND1_REGS.psum1_saved_3[49]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_48 "PSUM2AND1_REGS.psum1_saved_3[48]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_47 "PSUM2AND1_REGS.psum1_saved_3[47]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_46 "PSUM2AND1_REGS.psum1_saved_3[46]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_45 "PSUM2AND1_REGS.psum1_saved_3[45]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_44 "PSUM2AND1_REGS.psum1_saved_3[44]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_0 "PSUM2AND1_REGS.psum1_saved_3[0]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_un1_enable "PSUM2AND1_REGS.un1_enable") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'hB")) + ) + (instance un1_psum0_signed_axb_70 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_cry_59_RNIP9821 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h8000")) + ) + (instance psum1_signed_s_44_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_45_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_46_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_47_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_48_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_49_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_50_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_51_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_52_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_53_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_54_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_55_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_56_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_57_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_58_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_59_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_60_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_61_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_62_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_63_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_64_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_65_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_66_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_69_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance (rename psum1_saved_0 "psum1_saved[0]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_1 "psum1_saved[1]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_2 "psum1_saved[2]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_3 "psum1_saved[3]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_4 "psum1_saved[4]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_5 "psum1_saved[5]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_6 "psum1_saved[6]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_7 "psum1_saved[7]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_8 "psum1_saved[8]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_9 "psum1_saved[9]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_10 "psum1_saved[10]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_11 "psum1_saved[11]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_12 "psum1_saved[12]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_13 "psum1_saved[13]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_14 "psum1_saved[14]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_15 "psum1_saved[15]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_16 "psum1_saved[16]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_17 "psum1_saved[17]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_18 "psum1_saved[18]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_19 "psum1_saved[19]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_20 "psum1_saved[20]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_21 "psum1_saved[21]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_22 "psum1_saved[22]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_23 "psum1_saved[23]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_24 "psum1_saved[24]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_25 "psum1_saved[25]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_26 "psum1_saved[26]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_27 "psum1_saved[27]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_28 "psum1_saved[28]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_29 "psum1_saved[29]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_30 "psum1_saved[30]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_31 "psum1_saved[31]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_32 "psum1_saved[32]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_33 "psum1_saved[33]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_34 "psum1_saved[34]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_35 "psum1_saved[35]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_36 "psum1_saved[36]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_37 "psum1_saved[37]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_38 "psum1_saved[38]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_39 "psum1_saved[39]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_40 "psum1_saved[40]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_41 "psum1_saved[41]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_42 "psum1_saved[42]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_43 "psum1_saved[43]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_44 "psum1_saved[44]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_45 "psum1_saved[45]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_46 "psum1_saved[46]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_47 "psum1_saved[47]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_48 "psum1_saved[48]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_49 "psum1_saved[49]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_50 "psum1_saved[50]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_51 "psum1_saved[51]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_52 "psum1_saved[52]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_53 "psum1_saved[53]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_54 "psum1_saved[54]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_55 "psum1_saved[55]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_56 "psum1_saved[56]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_57 "psum1_saved[57]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_58 "psum1_saved[58]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_59 "psum1_saved[59]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_60 "psum1_saved[60]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_61 "psum1_saved[61]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_62 "psum1_saved[62]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_63 "psum1_saved[63]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_64 "psum1_saved[64]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_65 "psum1_saved[65]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_66 "psum1_saved[66]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_67 "psum1_saved[67]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_68 "psum1_saved[68]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_69 "psum1_saved[69]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance saturation_final (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_0 "data_out_final[0]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_1 "data_out_final[1]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_2 "data_out_final[2]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_3 "data_out_final[3]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_4 "data_out_final[4]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_5 "data_out_final[5]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_6 "data_out_final[6]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_7 "data_out_final[7]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_8 "data_out_final[8]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_9 "data_out_final[9]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_10 "data_out_final[10]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_11 "data_out_final[11]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_12 "data_out_final[12]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_13 "data_out_final[13]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_14 "data_out_final[14]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_15 "data_out_final[15]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_16 "data_out_final[16]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_17 "data_out_final[17]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_18 "data_out_final[18]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_19 "data_out_final[19]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_20 "data_out_final[20]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_21 "data_out_final[21]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_22 "data_out_final[22]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_23 "data_out_final[23]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_24 "data_out_final[24]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_25 "data_out_final[25]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_26 "data_out_final[26]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_27 "data_out_final[27]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_28 "data_out_final[28]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_29 "data_out_final[29]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_30 "data_out_final[30]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_31 "data_out_final[31]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_32 "data_out_final[32]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_33 "data_out_final[33]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_34 "data_out_final[34]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_35 "data_out_final[35]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance psum1_signed_s_66 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_64 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_63 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_62 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_61 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_60 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_59 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_58 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_57 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_56 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_55 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_54 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_53 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_52 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_51 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_50 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_49 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_48 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_47 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_46 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_45 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_44 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_69 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_68 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_67 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_66 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_65 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_64 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_63 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_62 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_61 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_60 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_59 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_58 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_57 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_56 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_55 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_54 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_53 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_52 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_51 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_50 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_49 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_48 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_47 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_46 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_45 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_44 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_43 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_42 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_41 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_40 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_39 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_38 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_37 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_36 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_35 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_34 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_33 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_32 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_31 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_30 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_29 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_28 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_27 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_26 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_25 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_24 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_23 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_22 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_21 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_20 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_69_RNO (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_67_RNO (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_66_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_65_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_64_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_63_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_62_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_61_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_60_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_59_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_58_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_57_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_56_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_55_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_54_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_53_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_52_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_51_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_50_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_49_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_48_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_47_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_46_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_45_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_44_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_43_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_42_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_41_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_40_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_39_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_38_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_37_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_36_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_35_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_34_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_33_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_32_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_31_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_30_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_29_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_28_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_27_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_26_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_25_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_24_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_23_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_22_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_21_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_20_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_19_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_18_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_17_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_16_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_15_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_14_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_13_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_12_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_11_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_10_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_9_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_8_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_7_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_6_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_5_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_4_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_3_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_2_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_1_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_0_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_psum0_signed_cry_23 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + (property xcn_c4chain_base (integer 1)) + ) + (instance un1_psum0_signed_cry_27 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_31 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_35 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_39 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_43 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_47 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_51 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_55 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_59 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_63 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_67 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_s_70 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_3 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + (property xcn_c4chain_base (integer 1)) + ) + (instance psum1_signed_cry_7 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_11 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_15 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_19 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_23 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_27 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_31 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_35 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_39 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_43 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_47 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_51 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_55 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_59 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_63 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_67 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_s_69 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance (rename gO_2_gO_2cN_AN8 "gO_2.gO_2cN.AN8") (viewRef netlist (cellRef GTECH_AND2_76 (libraryRef gtech))) + ) + (instance (rename gO_2_gO_2d_INC2 "gO_2.gO_2d.INC2") (viewRef netlist (cellRef DW01_inc_36_0 (libraryRef dw01))) + ) + (instance (rename gO_2_gO_2d_gO_2d1_0_AN11 "gO_2.gO_2d.gO_2d1.0.AN11") (viewRef netlist (cellRef GTECH_AND2_108 (libraryRef gtech))) + ) + (instance (rename gO_4_30_MUX12 "gO_4.30.MUX12") (viewRef netlist (cellRef GTECH_MUX2_107 (libraryRef gtech))) + ) + (instance (rename gO_4_23_MUX12 "gO_4.23.MUX12") (viewRef netlist (cellRef GTECH_MUX2_108 (libraryRef gtech))) + ) + (instance (rename gO_4_32_MUX12 "gO_4.32.MUX12") (viewRef netlist (cellRef GTECH_MUX2_109 (libraryRef gtech))) + ) + (instance (rename gO_4_25_MUX12 "gO_4.25.MUX12") (viewRef netlist (cellRef GTECH_MUX2_110 (libraryRef gtech))) + ) + (instance (rename gO_4_33_MUX12 "gO_4.33.MUX12") (viewRef netlist (cellRef GTECH_MUX2_111 (libraryRef gtech))) + ) + (instance (rename gO_4_26_MUX12 "gO_4.26.MUX12") (viewRef netlist (cellRef GTECH_MUX2_112 (libraryRef gtech))) + ) + (instance (rename gO_4_34_MUX12 "gO_4.34.MUX12") (viewRef netlist (cellRef GTECH_MUX2_113 (libraryRef gtech))) + ) + (instance (rename gO_4_27_MUX12 "gO_4.27.MUX12") (viewRef netlist (cellRef GTECH_MUX2_114 (libraryRef gtech))) + ) + (instance (rename gO_4_35_MUX12 "gO_4.35.MUX12") (viewRef netlist (cellRef GTECH_MUX2_115 (libraryRef gtech))) + ) + (instance (rename gO_4_28_MUX12 "gO_4.28.MUX12") (viewRef netlist (cellRef GTECH_MUX2_116 (libraryRef gtech))) + ) + (instance (rename gO_4_16_MUX12 "gO_4.16.MUX12") (viewRef netlist (cellRef GTECH_MUX2_117 (libraryRef gtech))) + ) + (instance (rename gO_4_9_MUX12 "gO_4.9.MUX12") (viewRef netlist (cellRef GTECH_MUX2_118 (libraryRef gtech))) + ) + (instance (rename gO_4_15_MUX12 "gO_4.15.MUX12") (viewRef netlist (cellRef GTECH_MUX2_119 (libraryRef gtech))) + ) + (instance (rename gO_4_21_MUX12 "gO_4.21.MUX12") (viewRef netlist (cellRef GTECH_MUX2_120 (libraryRef gtech))) + ) + (instance (rename gO_4_14_MUX12 "gO_4.14.MUX12") (viewRef netlist (cellRef GTECH_MUX2_121 (libraryRef gtech))) + ) + (instance (rename gO_4_20_MUX12 "gO_4.20.MUX12") (viewRef netlist (cellRef GTECH_MUX2_122 (libraryRef gtech))) + ) + (instance (rename gO_4_13_MUX12 "gO_4.13.MUX12") (viewRef netlist (cellRef GTECH_MUX2_123 (libraryRef gtech))) + ) + (instance (rename gO_4_19_MUX12 "gO_4.19.MUX12") (viewRef netlist (cellRef GTECH_MUX2_124 (libraryRef gtech))) + ) + (instance (rename gO_4_12_MUX12 "gO_4.12.MUX12") (viewRef netlist (cellRef GTECH_MUX2_125 (libraryRef gtech))) + ) + (instance (rename gO_4_29_MUX12 "gO_4.29.MUX12") (viewRef netlist (cellRef GTECH_MUX2_126 (libraryRef gtech))) + ) + (instance (rename gO_4_22_MUX12 "gO_4.22.MUX12") (viewRef netlist (cellRef GTECH_MUX2_127 (libraryRef gtech))) + ) + (instance (rename gO_4_17_MUX12 "gO_4.17.MUX12") (viewRef netlist (cellRef GTECH_MUX2_128 (libraryRef gtech))) + ) + (instance (rename gO_4_10_MUX12 "gO_4.10.MUX12") (viewRef netlist (cellRef GTECH_MUX2_129 (libraryRef gtech))) + ) + (instance (rename gO_4_4_MUX12 "gO_4.4.MUX12") (viewRef netlist (cellRef GTECH_MUX2_130 (libraryRef gtech))) + ) + (instance (rename gO_4_3_MUX12 "gO_4.3.MUX12") (viewRef netlist (cellRef GTECH_MUX2_131 (libraryRef gtech))) + ) + (instance (rename gO_4_2_MUX12 "gO_4.2.MUX12") (viewRef netlist (cellRef GTECH_MUX2_132 (libraryRef gtech))) + ) + (instance (rename gO_4_8_MUX12 "gO_4.8.MUX12") (viewRef netlist (cellRef GTECH_MUX2_133 (libraryRef gtech))) + ) + (instance (rename gO_4_1_MUX12 "gO_4.1.MUX12") (viewRef netlist (cellRef GTECH_MUX2_134 (libraryRef gtech))) + ) + (instance (rename gO_4_7_MUX12 "gO_4.7.MUX12") (viewRef netlist (cellRef GTECH_MUX2_135 (libraryRef gtech))) + ) + (instance (rename gO_4_0_MUX12 "gO_4.0.MUX12") (viewRef netlist (cellRef GTECH_MUX2_136 (libraryRef gtech))) + ) + (instance (rename gO_4_6_MUX12 "gO_4.6.MUX12") (viewRef netlist (cellRef GTECH_MUX2_137 (libraryRef gtech))) + ) + (instance (rename gO_4_5_MUX12 "gO_4.5.MUX12") (viewRef netlist (cellRef GTECH_MUX2_138 (libraryRef gtech))) + ) + (instance (rename gO_4_31_MUX12 "gO_4.31.MUX12") (viewRef netlist (cellRef GTECH_MUX2_139 (libraryRef gtech))) + ) + (instance (rename gO_4_24_MUX12 "gO_4.24.MUX12") (viewRef netlist (cellRef GTECH_MUX2_140 (libraryRef gtech))) + ) + (instance (rename gO_4_18_MUX12 "gO_4.18.MUX12") (viewRef netlist (cellRef GTECH_MUX2_141 (libraryRef gtech))) + ) + (instance (rename gO_4_11_MUX12 "gO_4.11.MUX12") (viewRef netlist (cellRef GTECH_MUX2_142 (libraryRef gtech))) + ) + (instance AN24 (viewRef netlist (cellRef GTECH_AND2_112 (libraryRef gtech))) + ) + (instance OR25 (viewRef netlist (cellRef GTECH_OR2_68 (libraryRef gtech))) + ) + (instance GND (viewRef PRIM (cellRef GND (libraryRef UNILIB))) ) + (instance VCC (viewRef PRIM (cellRef VCC (libraryRef UNILIB))) ) + (net (rename A1_product_signed_43 "A1_product_signed(43)") (joined + (portRef (member P 47) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_43)) + (portRef I0 (instanceRef psum1_signed_cry_43_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_43)) + )) + (net psum1_signed_cry_42 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_43)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_43)) + )) + (net rstn (joined + (portRef rstn) + (portRef rstn (instanceRef gO_4_11_MUX12)) + (portRef rstn (instanceRef gO_2_gO_2cN_AN8)) + (portRef I1 (instanceRef PSUM2AND1_REGS_un1_enable)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_0)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_44)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_45)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_46)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_47)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_48)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_49)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_50)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_51)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_52)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_53)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_54)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_55)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_56)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_57)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_58)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_59)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_60)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_61)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_62)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_63)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_64)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_66)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_67)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_68)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_69)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_65)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_3)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_1)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_2)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_8)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_10)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_11)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_12)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_14)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_13)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_15)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_16)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_17)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_18)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_19)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_20)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_23)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_24)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_26)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_28)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_25)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_27)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_32)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_29)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_31)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_30)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_34)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_35)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_33)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_36)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_39)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_40)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_38)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_37)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_41)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_42)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_43)) + )) + (net (rename psum1_saved_3_43 "psum1_saved_3(43)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_43)) + (portRef D (instanceRef psum1_saved_43)) + )) + (net (rename A1_product_signed_42 "A1_product_signed(42)") (joined + (portRef (member P 31) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_43)) + (portRef I0 (instanceRef psum1_signed_cry_42_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_42)) + )) + (net psum1_signed_cry_41 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_43)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_42)) + )) + (net (rename psum1_saved_3_42 "psum1_saved_3(42)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_42)) + (portRef D (instanceRef psum1_saved_42)) + )) + (net (rename A1_product_signed_41 "A1_product_signed(41)") (joined + (portRef (member P 32) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_43)) + (portRef I0 (instanceRef psum1_signed_cry_41_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_41)) + )) + (net psum1_signed_cry_40 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_43)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_41)) + )) + (net (rename psum1_saved_3_41 "psum1_saved_3(41)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_41)) + (portRef D (instanceRef psum1_saved_41)) + )) + (net (rename A1_product_signed_37 "A1_product_signed(37)") (joined + (portRef (member P 36) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_39)) + (portRef I0 (instanceRef psum1_signed_cry_37_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_37)) + )) + (net psum1_signed_cry_36 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_39)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_37)) + )) + (net (rename psum1_saved_3_37 "psum1_saved_3(37)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_37)) + (portRef D (instanceRef psum1_saved_37)) + )) + (net (rename A1_product_signed_38 "A1_product_signed(38)") (joined + (portRef (member P 35) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_39)) + (portRef I0 (instanceRef psum1_signed_cry_38_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_38)) + )) + (net psum1_signed_cry_37 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_39)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_38)) + )) + (net (rename psum1_saved_3_38 "psum1_saved_3(38)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_38)) + (portRef D (instanceRef psum1_saved_38)) + )) + (net (rename A1_product_signed_40 "A1_product_signed(40)") (joined + (portRef (member P 33) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_43)) + (portRef I0 (instanceRef psum1_signed_cry_40_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_40)) + )) + (net (rename psum1_signed_cryZ0Z_39 "psum1_signed_cry_39") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_39)) + (portRef CI (instanceRef psum1_signed_cry_43)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_40)) + )) + (net (rename psum1_saved_3_40 "psum1_saved_3(40)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_40)) + (portRef D (instanceRef psum1_saved_40)) + )) + (net (rename A1_product_signed_39 "A1_product_signed(39)") (joined + (portRef (member P 34) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_39)) + (portRef I0 (instanceRef psum1_signed_cry_39_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_39)) + )) + (net psum1_signed_cry_38 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_39)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_39)) + )) + (net (rename psum1_saved_3_39 "psum1_saved_3(39)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_39)) + (portRef D (instanceRef psum1_saved_39)) + )) + (net (rename A1_product_signed_36 "A1_product_signed(36)") (joined + (portRef (member P 37) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_39)) + (portRef I0 (instanceRef psum1_signed_cry_36_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_36)) + )) + (net (rename psum1_signed_cryZ0Z_35 "psum1_signed_cry_35") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_35)) + (portRef CI (instanceRef psum1_signed_cry_39)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_36)) + )) + (net (rename psum1_saved_3_36 "psum1_saved_3(36)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_36)) + (portRef D (instanceRef psum1_saved_36)) + )) + (net (rename A1_product_signed_33 "A1_product_signed(33)") (joined + (portRef (member P 40) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_35)) + (portRef I0 (instanceRef psum1_signed_cry_33_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_33)) + )) + (net psum1_signed_cry_32 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_35)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_33)) + )) + (net (rename psum1_saved_3_33 "psum1_saved_3(33)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_33)) + (portRef D (instanceRef psum1_saved_33)) + )) + (net (rename A1_product_signed_35 "A1_product_signed(35)") (joined + (portRef (member P 38) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_35)) + (portRef I0 (instanceRef psum1_signed_cry_35_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_35)) + )) + (net psum1_signed_cry_34 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_35)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_35)) + )) + (net (rename psum1_saved_3_35 "psum1_saved_3(35)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_35)) + (portRef D (instanceRef psum1_saved_35)) + )) + (net (rename A1_product_signed_34 "A1_product_signed(34)") (joined + (portRef (member P 39) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_35)) + (portRef I0 (instanceRef psum1_signed_cry_34_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_34)) + )) + (net psum1_signed_cry_33 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_35)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_34)) + )) + (net (rename psum1_saved_3_34 "psum1_saved_3(34)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_34)) + (portRef D (instanceRef psum1_saved_34)) + )) + (net (rename A1_product_signed_30 "A1_product_signed(30)") (joined + (portRef (member P 43) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_31)) + (portRef I0 (instanceRef psum1_signed_cry_30_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_30)) + )) + (net psum1_signed_cry_29 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_31)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_30)) + )) + (net (rename psum1_saved_3_30 "psum1_saved_3(30)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_30)) + (portRef D (instanceRef psum1_saved_30)) + )) + (net (rename A1_product_signed_31 "A1_product_signed(31)") (joined + (portRef (member P 42) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_31)) + (portRef I0 (instanceRef psum1_signed_cry_31_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_31)) + )) + (net psum1_signed_cry_30 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_31)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_31)) + )) + (net (rename psum1_saved_3_31 "psum1_saved_3(31)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_31)) + (portRef D (instanceRef psum1_saved_31)) + )) + (net (rename A1_product_signed_29 "A1_product_signed(29)") (joined + (portRef (member P 44) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_31)) + (portRef I0 (instanceRef psum1_signed_cry_29_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_29)) + )) + (net psum1_signed_cry_28 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_31)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_29)) + )) + (net (rename psum1_saved_3_29 "psum1_saved_3(29)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_29)) + (portRef D (instanceRef psum1_saved_29)) + )) + (net (rename A1_product_signed_32 "A1_product_signed(32)") (joined + (portRef (member P 41) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_35)) + (portRef I0 (instanceRef psum1_signed_cry_32_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_32)) + )) + (net (rename psum1_signed_cryZ0Z_31 "psum1_signed_cry_31") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_31)) + (portRef CI (instanceRef psum1_signed_cry_35)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_32)) + )) + (net (rename psum1_saved_3_32 "psum1_saved_3(32)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_32)) + (portRef D (instanceRef psum1_saved_32)) + )) + (net (rename A1_product_signed_27 "A1_product_signed(27)") (joined + (portRef (member P 46) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_27)) + (portRef I0 (instanceRef psum1_signed_cry_27_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_27)) + )) + (net psum1_signed_cry_26 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_27)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_27)) + )) + (net (rename psum1_saved_3_27 "psum1_saved_3(27)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_27)) + (portRef D (instanceRef psum1_saved_27)) + )) + (net (rename A1_product_signed_25 "A1_product_signed(25)") (joined + (portRef (member P 39) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_27)) + (portRef I0 (instanceRef psum1_signed_cry_25_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_25)) + )) + (net psum1_signed_cry_24 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_27)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_25)) + )) + (net (rename psum1_saved_3_25 "psum1_saved_3(25)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_25)) + (portRef D (instanceRef psum1_saved_25)) + )) + (net (rename A1_product_signed_28 "A1_product_signed(28)") (joined + (portRef (member P 45) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_31)) + (portRef I0 (instanceRef psum1_signed_cry_28_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_28)) + )) + (net (rename psum1_signed_cryZ0Z_27 "psum1_signed_cry_27") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_27)) + (portRef CI (instanceRef psum1_signed_cry_31)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_28)) + )) + (net (rename psum1_saved_3_28 "psum1_saved_3(28)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_28)) + (portRef D (instanceRef psum1_saved_28)) + )) + (net (rename A1_product_signed_26 "A1_product_signed(26)") (joined + (portRef (member P 47) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_27)) + (portRef I0 (instanceRef psum1_signed_cry_26_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_26)) + )) + (net psum1_signed_cry_25 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_27)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_26)) + )) + (net (rename psum1_saved_3_26 "psum1_saved_3(26)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_26)) + (portRef D (instanceRef psum1_saved_26)) + )) + (net (rename A1_product_signed_21 "A1_product_signed(21)") (joined + (portRef (member P 43) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_23)) + (portRef I0 (instanceRef psum1_signed_cry_21_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) + )) + (net psum1_signed_cry_20 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_23)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) + )) + (net (rename psum1_saved_3_21 "psum1_saved_3(21)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) + (portRef D (instanceRef psum1_saved_21)) + )) + (net (rename A1_product_signed_24 "A1_product_signed(24)") (joined + (portRef (member P 40) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_27)) + (portRef I0 (instanceRef psum1_signed_cry_24_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_24)) + )) + (net (rename psum1_signed_cryZ0Z_23 "psum1_signed_cry_23") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_23)) + (portRef CI (instanceRef psum1_signed_cry_27)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_24)) + )) + (net (rename psum1_saved_3_24 "psum1_saved_3(24)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_24)) + (portRef D (instanceRef psum1_saved_24)) + )) + (net (rename A1_product_signed_23 "A1_product_signed(23)") (joined + (portRef (member P 41) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_23)) + (portRef I0 (instanceRef psum1_signed_cry_23_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_23)) + )) + (net psum1_signed_cry_22 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_23)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_23)) + )) + (net (rename psum1_saved_3_23 "psum1_saved_3(23)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_23)) + (portRef D (instanceRef psum1_saved_23)) + )) + (net (rename A1_product_signed_22 "A1_product_signed(22)") (joined + (portRef (member P 42) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_23)) + (portRef I0 (instanceRef psum1_signed_cry_22_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) + )) + (net psum1_signed_cry_21 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_23)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) + )) + (net (rename psum1_saved_3_22 "psum1_saved_3(22)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) + (portRef D (instanceRef psum1_saved_22)) + )) + (net (rename A1_product_signed_20 "A1_product_signed(20)") (joined + (portRef (member P 44) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_23)) + (portRef I0 (instanceRef psum1_signed_cry_20_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_20)) + )) + (net (rename psum1_signed_cryZ0Z_19 "psum1_signed_cry_19") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_19)) + (portRef CI (instanceRef psum1_signed_cry_23)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_20)) + )) + (net (rename psum1_saved_3_20 "psum1_saved_3(20)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_20)) + (portRef D (instanceRef psum1_saved_20)) + )) + (net (rename A1_product_signed_19 "A1_product_signed(19)") (joined + (portRef (member P 45) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_19)) + (portRef I0 (instanceRef psum1_signed_cry_19_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_19)) + )) + (net psum1_signed_cry_18 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_19)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_19)) + )) + (net (rename psum1_saved_3_19 "psum1_saved_3(19)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_19)) + (portRef D (instanceRef psum1_saved_19)) + )) + (net (rename A1_product_signed_18 "A1_product_signed(18)") (joined + (portRef (member P 46) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_19)) + (portRef I0 (instanceRef psum1_signed_cry_18_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_18)) + )) + (net psum1_signed_cry_17 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_19)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_18)) + )) + (net (rename psum1_saved_3_18 "psum1_saved_3(18)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_18)) + (portRef D (instanceRef psum1_saved_18)) + )) + (net (rename A1_product_signed_17 "A1_product_signed(17)") (joined + (portRef (member P 47) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_19)) + (portRef I0 (instanceRef psum1_signed_cry_17_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_17)) + )) + (net psum1_signed_cry_16 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_19)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_17)) + )) + (net (rename psum1_saved_3_17 "psum1_saved_3(17)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_17)) + (portRef D (instanceRef psum1_saved_17)) + )) + (net (rename A1_product_signed_16 "A1_product_signed(16)") (joined + (portRef (member P 31) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_19)) + (portRef I0 (instanceRef psum1_signed_cry_16_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_16)) + )) + (net (rename psum1_signed_cryZ0Z_15 "psum1_signed_cry_15") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_15)) + (portRef CI (instanceRef psum1_signed_cry_19)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_16)) + )) + (net (rename psum1_saved_3_16 "psum1_saved_3(16)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_16)) + (portRef D (instanceRef psum1_saved_16)) + )) + (net (rename A1_product_signed_15 "A1_product_signed(15)") (joined + (portRef (member P 32) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_15)) + (portRef I0 (instanceRef psum1_signed_cry_15_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_15)) + )) + (net psum1_signed_cry_14 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_15)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_15)) + )) + (net (rename psum1_saved_3_15 "psum1_saved_3(15)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_15)) + (portRef D (instanceRef psum1_saved_15)) + )) + (net (rename A1_product_signed_13 "A1_product_signed(13)") (joined + (portRef (member P 34) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_15)) + (portRef I0 (instanceRef psum1_signed_cry_13_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_13)) + )) + (net psum1_signed_cry_12 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_15)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_13)) + )) + (net (rename psum1_saved_3_13 "psum1_saved_3(13)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_13)) + (portRef D (instanceRef psum1_saved_13)) + )) + (net (rename A1_product_signed_14 "A1_product_signed(14)") (joined + (portRef (member P 33) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_15)) + (portRef I0 (instanceRef psum1_signed_cry_14_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_14)) + )) + (net psum1_signed_cry_13 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_15)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_14)) + )) + (net (rename psum1_saved_3_14 "psum1_saved_3(14)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_14)) + (portRef D (instanceRef psum1_saved_14)) + )) + (net (rename A1_product_signed_12 "A1_product_signed(12)") (joined + (portRef (member P 35) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_15)) + (portRef I0 (instanceRef psum1_signed_cry_12_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_12)) + )) + (net (rename psum1_signed_cryZ0Z_11 "psum1_signed_cry_11") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_11)) + (portRef CI (instanceRef psum1_signed_cry_15)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_12)) + )) + (net (rename psum1_saved_3_12 "psum1_saved_3(12)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_12)) + (portRef D (instanceRef psum1_saved_12)) + )) + (net (rename A1_product_signed_9 "A1_product_signed(9)") (joined + (portRef (member P 38) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_11)) + (portRef I0 (instanceRef psum1_signed_cry_9_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) + )) + (net psum1_signed_cry_8 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_11)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) + )) + (net (rename psum1_saved_3_9 "psum1_saved_3(9)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) + (portRef D (instanceRef psum1_saved_9)) + )) + (net (rename A1_product_signed_11 "A1_product_signed(11)") (joined + (portRef (member P 36) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_11)) + (portRef I0 (instanceRef psum1_signed_cry_11_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_11)) + )) + (net psum1_signed_cry_10 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_11)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_11)) + )) + (net (rename psum1_saved_3_11 "psum1_saved_3(11)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_11)) + (portRef D (instanceRef psum1_saved_11)) + )) + (net (rename A1_product_signed_10 "A1_product_signed(10)") (joined + (portRef (member P 37) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_11)) + (portRef I0 (instanceRef psum1_signed_cry_10_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_10)) + )) + (net psum1_signed_cry_9 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_11)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_10)) + )) + (net (rename psum1_saved_3_10 "psum1_saved_3(10)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_10)) + (portRef D (instanceRef psum1_saved_10)) + )) + (net (rename A1_product_signed_6 "A1_product_signed(6)") (joined + (portRef (member P 41) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_7)) + (portRef I0 (instanceRef psum1_signed_cry_6_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) + )) + (net psum1_signed_cry_5 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_7)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) + )) + (net (rename psum1_saved_3_6 "psum1_saved_3(6)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) + (portRef D (instanceRef psum1_saved_6)) + )) + (net (rename A1_product_signed_7 "A1_product_signed(7)") (joined + (portRef (member P 40) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_7)) + (portRef I0 (instanceRef psum1_signed_cry_7_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) + )) + (net psum1_signed_cry_6 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_7)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) + )) + (net (rename psum1_saved_3_7 "psum1_saved_3(7)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) + (portRef D (instanceRef psum1_saved_7)) + )) + (net (rename A1_product_signed_8 "A1_product_signed(8)") (joined + (portRef (member P 39) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_11)) + (portRef I0 (instanceRef psum1_signed_cry_8_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_8)) + )) + (net (rename psum1_signed_cryZ0Z_7 "psum1_signed_cry_7") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_7)) + (portRef CI (instanceRef psum1_signed_cry_11)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_8)) + )) + (net (rename psum1_saved_3_8 "psum1_saved_3(8)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_8)) + (portRef D (instanceRef psum1_saved_8)) + )) + (net (rename A1_product_signed_5 "A1_product_signed(5)") (joined + (portRef (member P 42) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_7)) + (portRef I0 (instanceRef psum1_signed_cry_5_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) + )) + (net psum1_signed_cry_4 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_7)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) + )) + (net (rename psum1_saved_3_5 "psum1_saved_3(5)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) + (portRef D (instanceRef psum1_saved_5)) + )) + (net (rename A1_product_signed_2 "A1_product_signed(2)") (joined + (portRef (member P 45) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_3)) + (portRef I0 (instanceRef psum1_signed_cry_2_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_2)) + )) + (net psum1_signed_cry_1 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_3)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_2)) + )) + (net (rename psum1_saved_3_2 "psum1_saved_3(2)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_2)) + (portRef D (instanceRef psum1_saved_2)) + )) + (net (rename A1_product_signed_1 "A1_product_signed(1)") (joined + (portRef (member P 46) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_3)) + (portRef I0 (instanceRef psum1_signed_cry_1_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_1)) + )) + (net psum1_signed_cry_0 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_3)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_1)) + )) + (net (rename psum1_saved_3_1 "psum1_saved_3(1)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_1)) + (portRef D (instanceRef psum1_saved_1)) + )) + (net (rename A1_product_signed_3 "A1_product_signed(3)") (joined + (portRef (member P 44) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_3)) + (portRef I0 (instanceRef psum1_signed_cry_3_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_3)) + )) + (net psum1_signed_cry_2 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_3)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_3)) + )) + (net (rename psum1_saved_3_3 "psum1_saved_3(3)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_3)) + (portRef D (instanceRef psum1_saved_3)) + )) + (net (rename A1_product_signed_4 "A1_product_signed(4)") (joined + (portRef (member P 43) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_7)) + (portRef I0 (instanceRef psum1_signed_cry_4_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) + )) + (net (rename psum1_signed_cryZ0Z_3 "psum1_signed_cry_3") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_3)) + (portRef CI (instanceRef psum1_signed_cry_7)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) + )) + (net (rename psum1_saved_3_4 "psum1_saved_3(4)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) + (portRef D (instanceRef psum1_saved_4)) + )) + (net (rename A1_product_signed_1_65 "A1_product_signed_1(65)") (joined + (portRef O (instanceRef psum1_signed_s_65_thru)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_65)) + )) + (net psum1_signed_cry_64 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_67)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_65)) + )) + (net psum1_saved_3_7_0 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_65)) + (portRef D (instanceRef psum1_saved_65)) + )) + (net (rename A1_product_signedAdd_0_9 "A1_product_signedAdd_0(9)") (joined + (portRef (member P 38) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 47) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_10 "A1_product_signedAdd_0(10)") (joined + (portRef (member P 37) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 46) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_11 "A1_product_signedAdd_0(11)") (joined + (portRef (member P 36) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 45) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_12 "A1_product_signedAdd_0(12)") (joined + (portRef (member P 35) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 44) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_13 "A1_product_signedAdd_0(13)") (joined + (portRef (member P 34) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 43) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_14 "A1_product_signedAdd_0(14)") (joined + (portRef (member P 33) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 42) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_15 "A1_product_signedAdd_0(15)") (joined + (portRef (member P 32) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 41) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_16 "A1_product_signedAdd_0(16)") (joined + (portRef (member P 31) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 40) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_17 "A1_product_signedAdd_0(17)") (joined + (portRef (member P 30) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 39) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_18 "A1_product_signedAdd_0(18)") (joined + (portRef (member P 29) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 38) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_19 "A1_product_signedAdd_0(19)") (joined + (portRef (member P 28) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 37) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_20 "A1_product_signedAdd_0(20)") (joined + (portRef (member P 27) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 36) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_21 "A1_product_signedAdd_0(21)") (joined + (portRef (member P 26) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 35) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_22 "A1_product_signedAdd_0(22)") (joined + (portRef (member P 25) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 34) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_23 "A1_product_signedAdd_0(23)") (joined + (portRef (member P 24) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 33) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_24 "A1_product_signedAdd_0(24)") (joined + (portRef (member P 23) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 32) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_25 "A1_product_signedAdd_0(25)") (joined + (portRef (member P 22) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 31) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_26 "A1_product_signedAdd_0(26)") (joined + (portRef (member P 21) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 30) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_27 "A1_product_signedAdd_0(27)") (joined + (portRef (member P 20) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 29) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_28 "A1_product_signedAdd_0(28)") (joined + (portRef (member P 19) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 28) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_29 "A1_product_signedAdd_0(29)") (joined + (portRef (member P 18) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 27) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_30 "A1_product_signedAdd_0(30)") (joined + (portRef (member P 17) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 26) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_31 "A1_product_signedAdd_0(31)") (joined + (portRef (member P 16) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 25) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_32 "A1_product_signedAdd_0(32)") (joined + (portRef (member P 15) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 24) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_33 "A1_product_signedAdd_0(33)") (joined + (portRef (member P 14) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 23) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_34 "A1_product_signedAdd_0(34)") (joined + (portRef (member P 13) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 22) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_35 "A1_product_signedAdd_0(35)") (joined + (portRef (member P 12) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 21) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_36 "A1_product_signedAdd_0(36)") (joined + (portRef (member P 11) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 20) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_37 "A1_product_signedAdd_0(37)") (joined + (portRef (member P 10) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 19) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_38 "A1_product_signedAdd_0(38)") (joined + (portRef (member P 9) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 18) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_39 "A1_product_signedAdd_0(39)") (joined + (portRef (member P 8) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 17) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_40 "A1_product_signedAdd_0(40)") (joined + (portRef (member P 7) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 16) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_41 "A1_product_signedAdd_0(41)") (joined + (portRef (member P 6) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 15) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_42 "A1_product_signedAdd_0(42)") (joined + (portRef (member P 5) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 5) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 6) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 7) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 8) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 9) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 10) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 11) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 12) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 13) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 14) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename GNDZ0 "GND") (joined + (portRef G (instanceRef GND)) + (portRef (member S 0) (instanceRef psum1_signed_s_69)) + (portRef (member S 1) (instanceRef psum1_signed_s_69)) + (portRef (member DI 0) (instanceRef psum1_signed_s_69)) + (portRef (member DI 1) (instanceRef psum1_signed_s_69)) + (portRef (member DI 2) (instanceRef psum1_signed_s_69)) + (portRef (member DI 3) (instanceRef psum1_signed_s_69)) + (portRef CYINIT (instanceRef psum1_signed_s_69)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_67)) + (portRef CYINIT (instanceRef psum1_signed_cry_67)) + (portRef CYINIT (instanceRef psum1_signed_cry_63)) + (portRef CYINIT (instanceRef psum1_signed_cry_59)) + (portRef CYINIT (instanceRef psum1_signed_cry_55)) + (portRef CYINIT (instanceRef psum1_signed_cry_51)) + (portRef CYINIT (instanceRef psum1_signed_cry_47)) + (portRef CYINIT (instanceRef psum1_signed_cry_43)) + (portRef CYINIT (instanceRef psum1_signed_cry_39)) + (portRef CYINIT (instanceRef psum1_signed_cry_35)) + (portRef CYINIT (instanceRef psum1_signed_cry_31)) + (portRef CYINIT (instanceRef psum1_signed_cry_27)) + (portRef CYINIT (instanceRef psum1_signed_cry_23)) + (portRef CYINIT (instanceRef psum1_signed_cry_19)) + (portRef CYINIT (instanceRef psum1_signed_cry_15)) + (portRef CYINIT (instanceRef psum1_signed_cry_11)) + (portRef CYINIT (instanceRef psum1_signed_cry_7)) + (portRef CYINIT (instanceRef psum1_signed_cry_3)) + (portRef CI (instanceRef psum1_signed_cry_3)) + (portRef (member S 0) (instanceRef un1_psum0_signed_s_70)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_s_70)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_s_70)) + (portRef CYINIT (instanceRef un1_psum0_signed_s_70)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_67)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_63)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_59)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_55)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_51)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_47)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_43)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_39)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_35)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_31)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_27)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_23)) + (portRef CI (instanceRef un1_psum0_signed_cry_23)) + (portRef RSTP (instanceRef B0_product_signed_0_33_0)) + (portRef RSTM (instanceRef B0_product_signed_0_33_0)) + (portRef RSTINMODE (instanceRef B0_product_signed_0_33_0)) + (portRef RSTD (instanceRef B0_product_signed_0_33_0)) + (portRef RSTCTRL (instanceRef B0_product_signed_0_33_0)) + (portRef RSTC (instanceRef B0_product_signed_0_33_0)) + (portRef RSTB (instanceRef B0_product_signed_0_33_0)) + (portRef RSTALUMODE (instanceRef B0_product_signed_0_33_0)) + (portRef RSTALLCARRYIN (instanceRef B0_product_signed_0_33_0)) + (portRef RSTA (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 5) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 6) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 7) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 8) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 9) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 10) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 11) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 12) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 13) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 14) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 15) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 16) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 17) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 18) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 19) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 20) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 21) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 22) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 23) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 24) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 25) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 26) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 27) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 28) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 29) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 30) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 31) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 32) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 33) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 34) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 35) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 36) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 37) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 38) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 39) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 40) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 41) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 42) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 43) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 44) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 45) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 46) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 47) (instanceRef B0_product_signed_0_33_0)) + (portRef (member OPMODE 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member OPMODE 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member OPMODE 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member OPMODE 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member OPMODE 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member OPMODE 5) (instanceRef B0_product_signed_0_33_0)) + (portRef (member OPMODE 7) (instanceRef B0_product_signed_0_33_0)) + (portRef MULTSIGNIN (instanceRef B0_product_signed_0_33_0)) + (portRef (member INMODE 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member INMODE 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member INMODE 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member INMODE 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member INMODE 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 5) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 6) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 7) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 8) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 9) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 10) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 11) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 12) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 13) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 14) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 15) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 16) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 17) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 18) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 19) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 20) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 21) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 22) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 23) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 24) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 25) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 26) (instanceRef B0_product_signed_0_33_0)) + (portRef CLK (instanceRef B0_product_signed_0_33_0)) + (portRef CEP (instanceRef B0_product_signed_0_33_0)) + (portRef CEM (instanceRef B0_product_signed_0_33_0)) + (portRef CEINMODE (instanceRef B0_product_signed_0_33_0)) + (portRef CED (instanceRef B0_product_signed_0_33_0)) + (portRef CECTRL (instanceRef B0_product_signed_0_33_0)) + (portRef CECARRYIN (instanceRef B0_product_signed_0_33_0)) + (portRef CEC (instanceRef B0_product_signed_0_33_0)) + (portRef CEB2 (instanceRef B0_product_signed_0_33_0)) + (portRef CEB1 (instanceRef B0_product_signed_0_33_0)) + (portRef CEALUMODE (instanceRef B0_product_signed_0_33_0)) + (portRef CEAD (instanceRef B0_product_signed_0_33_0)) + (portRef CEA2 (instanceRef B0_product_signed_0_33_0)) + (portRef CEA1 (instanceRef B0_product_signed_0_33_0)) + (portRef (member CARRYINSEL 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member CARRYINSEL 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member CARRYINSEL 2) (instanceRef B0_product_signed_0_33_0)) + (portRef CARRYIN (instanceRef B0_product_signed_0_33_0)) + (portRef CARRYCASCIN (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 5) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 6) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 7) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 8) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 9) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 10) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 11) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 12) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 13) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 14) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 15) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 16) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 17) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 18) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 19) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 20) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 21) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 22) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 23) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 24) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 25) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 26) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 27) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 28) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 29) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 30) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 31) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 32) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 33) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 34) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 35) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 36) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 37) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 38) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 39) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 40) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 41) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 42) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 43) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 44) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 45) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 46) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 47) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 5) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 6) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 7) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 8) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 9) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 10) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 11) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 12) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 13) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 14) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 15) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 16) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 17) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ALUMODE 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ALUMODE 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ALUMODE 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ALUMODE 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 5) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 6) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 7) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 8) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 9) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 10) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 11) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 12) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 13) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 14) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 15) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 16) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 17) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 18) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 19) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 20) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 21) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 22) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 23) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 24) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 25) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 26) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 27) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 28) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 29) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 5) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 6) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 7) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 8) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 9) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 10) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 11) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 12) (instanceRef B0_product_signed_0_33_0)) + (portRef RSTP (instanceRef A1_product_signed_0_44_0)) + (portRef RSTM (instanceRef A1_product_signed_0_44_0)) + (portRef RSTINMODE (instanceRef A1_product_signed_0_44_0)) + (portRef RSTD (instanceRef A1_product_signed_0_44_0)) + (portRef RSTCTRL (instanceRef A1_product_signed_0_44_0)) + (portRef RSTC (instanceRef A1_product_signed_0_44_0)) + (portRef RSTB (instanceRef A1_product_signed_0_44_0)) + (portRef RSTALUMODE (instanceRef A1_product_signed_0_44_0)) + (portRef RSTALLCARRYIN (instanceRef A1_product_signed_0_44_0)) + (portRef RSTA (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 5) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 6) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 7) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 8) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 9) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 10) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 11) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 12) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 13) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 14) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 15) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 16) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 17) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 18) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 19) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 20) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 21) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 22) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 23) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 24) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 25) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 26) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 27) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 28) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 29) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 30) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 31) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 32) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 33) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 34) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 35) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 36) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 37) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 38) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 39) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 40) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 41) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 42) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 43) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 44) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 45) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 46) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 47) (instanceRef A1_product_signed_0_44_0)) + (portRef (member OPMODE 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member OPMODE 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member OPMODE 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member OPMODE 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member OPMODE 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member OPMODE 5) (instanceRef A1_product_signed_0_44_0)) + (portRef (member OPMODE 7) (instanceRef A1_product_signed_0_44_0)) + (portRef MULTSIGNIN (instanceRef A1_product_signed_0_44_0)) + (portRef (member INMODE 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member INMODE 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member INMODE 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member INMODE 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member INMODE 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 5) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 6) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 7) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 8) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 9) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 10) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 11) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 12) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 13) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 14) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 15) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 16) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 17) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 18) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 19) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 20) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 21) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 22) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 23) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 24) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 25) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 26) (instanceRef A1_product_signed_0_44_0)) + (portRef CLK (instanceRef A1_product_signed_0_44_0)) + (portRef CEP (instanceRef A1_product_signed_0_44_0)) + (portRef CEM (instanceRef A1_product_signed_0_44_0)) + (portRef CEINMODE (instanceRef A1_product_signed_0_44_0)) + (portRef CED (instanceRef A1_product_signed_0_44_0)) + (portRef CECTRL (instanceRef A1_product_signed_0_44_0)) + (portRef CECARRYIN (instanceRef A1_product_signed_0_44_0)) + (portRef CEC (instanceRef A1_product_signed_0_44_0)) + (portRef CEB2 (instanceRef A1_product_signed_0_44_0)) + (portRef CEB1 (instanceRef A1_product_signed_0_44_0)) + (portRef CEALUMODE (instanceRef A1_product_signed_0_44_0)) + (portRef CEAD (instanceRef A1_product_signed_0_44_0)) + (portRef CEA2 (instanceRef A1_product_signed_0_44_0)) + (portRef CEA1 (instanceRef A1_product_signed_0_44_0)) + (portRef (member CARRYINSEL 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member CARRYINSEL 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member CARRYINSEL 2) (instanceRef A1_product_signed_0_44_0)) + (portRef CARRYIN (instanceRef A1_product_signed_0_44_0)) + (portRef CARRYCASCIN (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 5) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 6) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 7) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 8) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 9) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 10) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 11) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 12) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 13) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 14) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 15) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 16) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 17) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 18) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 19) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 20) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 21) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 22) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 23) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 24) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 25) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 26) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 27) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 28) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 29) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 30) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 31) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 32) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 33) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 34) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 35) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 36) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 37) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 38) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 39) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 40) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 41) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 42) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 43) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 44) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 45) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 46) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 47) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 5) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 6) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 7) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 8) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 9) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 10) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 11) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 12) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 13) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 14) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 15) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 16) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 17) (instanceRef A1_product_signed_0_44_0)) + (portRef (member B 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ALUMODE 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ALUMODE 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ALUMODE 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ALUMODE 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 5) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 6) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 7) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 8) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 9) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 10) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 11) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 12) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 13) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 14) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 15) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 16) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 17) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 18) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 19) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 20) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 21) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 22) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 23) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 24) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 25) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 26) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 27) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 28) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 29) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 3) (instanceRef A1_product_signed_0_44_0)) + (portRef RSTP (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTM (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTINMODE (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTD (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTCTRL (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTC (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTB (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTALUMODE (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTALLCARRYIN (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTA (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member OPMODE 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member OPMODE 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member OPMODE 3) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member OPMODE 5) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member OPMODE 7) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef MULTSIGNIN (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member INMODE 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member INMODE 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member INMODE 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member INMODE 3) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member INMODE 4) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 3) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 4) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 5) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 6) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 7) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 8) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 9) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 10) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 11) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 12) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 13) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 14) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 15) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 16) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 17) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 18) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 19) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 20) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 21) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 22) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 23) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 24) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 25) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 26) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CLK (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEP (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEM (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEINMODE (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CED (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CECTRL (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CECARRYIN (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEC (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEB2 (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEB1 (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEALUMODE (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEAD (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEA2 (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEA1 (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member CARRYINSEL 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member CARRYINSEL 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member CARRYINSEL 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CARRYIN (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CARRYCASCIN (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 3) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 4) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 5) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 6) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 7) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 8) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 9) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 10) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 11) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 12) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 13) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 14) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 15) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 16) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 17) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 18) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 19) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 20) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 21) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 22) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 23) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 24) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 25) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 26) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 27) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 28) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 29) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 30) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 31) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 32) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 33) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 34) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 35) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 36) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 37) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 38) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 39) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 40) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 41) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 42) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 43) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 44) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 45) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 46) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 47) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 3) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 4) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 5) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 6) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 7) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 8) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 9) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 10) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 11) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 12) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 13) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 14) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 15) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 16) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 17) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ALUMODE 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ALUMODE 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ALUMODE 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ALUMODE 3) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 3) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 4) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 5) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 6) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 7) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 8) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 9) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 10) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 11) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 12) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 13) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 14) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 15) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 16) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 17) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 18) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 19) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 20) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 21) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 22) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 23) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 24) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 25) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 26) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 27) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 28) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 29) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTP (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTM (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTINMODE (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTD (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTCTRL (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTC (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTB (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTALUMODE (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTALLCARRYIN (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTA (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member OPMODE 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member OPMODE 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member OPMODE 3) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member OPMODE 5) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member OPMODE 7) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef MULTSIGNIN (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member INMODE 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member INMODE 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member INMODE 2) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member INMODE 3) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member INMODE 4) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 2) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 3) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 4) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 5) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 6) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 7) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 8) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 9) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 10) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 11) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 12) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 13) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 14) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 15) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 16) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 17) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 18) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 19) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 20) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 21) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 22) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 23) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 24) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 25) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 26) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CLK (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEP (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEM (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEINMODE (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CED (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CECTRL (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CECARRYIN (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEC (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEB2 (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEB1 (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEALUMODE (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEAD (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEA2 (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEA1 (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member CARRYINSEL 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member CARRYINSEL 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member CARRYINSEL 2) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CARRYIN (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CARRYCASCIN (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 2) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 3) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 4) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 5) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 6) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 7) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 8) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 9) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 10) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 11) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 12) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 13) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 14) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 15) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 16) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 17) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 18) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 19) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 20) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 21) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 22) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 23) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 24) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 25) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 26) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 27) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 28) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 29) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 30) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 31) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 32) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 33) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 34) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 35) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 36) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 37) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 38) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 39) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 40) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 41) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 42) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 43) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 44) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 45) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 46) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 47) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 2) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 3) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 4) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 5) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 6) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 7) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 8) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 9) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 10) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 11) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 12) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 13) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 14) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 15) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 16) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 17) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ALUMODE 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ALUMODE 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ALUMODE 2) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ALUMODE 3) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 2) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 3) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 4) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 5) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 6) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 7) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 8) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 9) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 10) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 11) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 12) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 13) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 14) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 15) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 16) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 17) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 18) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 19) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 20) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 21) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 22) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 23) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 24) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 25) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 26) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 27) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 28) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 29) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTP (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTM (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTINMODE (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTD (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTCTRL (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTC (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTB (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTALUMODE (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTALLCARRYIN (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTA (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 5) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 6) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 7) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 8) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 9) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 10) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 11) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 12) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 13) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 14) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 15) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 16) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 17) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 18) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 19) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 20) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 21) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 22) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 23) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 24) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 25) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 26) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 27) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 28) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 29) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 30) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 31) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 32) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 33) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 34) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 35) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 36) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 37) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 38) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 39) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 40) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 41) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 42) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 43) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 44) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 45) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 46) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 47) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member OPMODE 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member OPMODE 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member OPMODE 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member OPMODE 5) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member OPMODE 7) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef MULTSIGNIN (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member INMODE 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member INMODE 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member INMODE 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member INMODE 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member INMODE 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 5) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 6) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 7) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 8) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 9) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 10) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 11) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 12) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 13) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 14) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 15) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 16) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 17) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 18) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 19) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 20) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 21) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 22) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 23) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 24) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 25) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 26) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CLK (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEP (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEM (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEINMODE (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CED (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CECTRL (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CECARRYIN (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEC (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEB2 (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEB1 (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEALUMODE (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEAD (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEA2 (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEA1 (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member CARRYINSEL 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member CARRYINSEL 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member CARRYINSEL 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CARRYIN (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CARRYCASCIN (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 5) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 6) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 7) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 8) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 9) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 10) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 11) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 12) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 13) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 14) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 15) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 16) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 17) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ALUMODE 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ALUMODE 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ALUMODE 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ALUMODE 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 5) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 6) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 7) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 8) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 9) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 10) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 11) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 12) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 13) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 14) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 15) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 16) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 17) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 18) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 19) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 20) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 21) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 22) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 23) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 24) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 25) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 26) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 27) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 28) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 29) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 5) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 6) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 7) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 8) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 9) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 10) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 11) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 12) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTP (instanceRef A1_product_signedAdd_0_42_0)) + (portRef RSTM (instanceRef A1_product_signedAdd_0_42_0)) + (portRef RSTINMODE (instanceRef A1_product_signedAdd_0_42_0)) + (portRef RSTD (instanceRef A1_product_signedAdd_0_42_0)) + (portRef RSTCTRL (instanceRef A1_product_signedAdd_0_42_0)) + (portRef RSTC (instanceRef A1_product_signedAdd_0_42_0)) + (portRef RSTB (instanceRef A1_product_signedAdd_0_42_0)) + (portRef RSTALUMODE (instanceRef A1_product_signedAdd_0_42_0)) + (portRef RSTALLCARRYIN (instanceRef A1_product_signedAdd_0_42_0)) + (portRef RSTA (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member OPMODE 0) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member OPMODE 1) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member OPMODE 3) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member OPMODE 5) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member OPMODE 7) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef MULTSIGNIN (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member INMODE 0) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member INMODE 1) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member INMODE 2) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member INMODE 3) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member INMODE 4) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 0) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 1) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 2) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 3) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 4) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 5) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 6) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 7) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 8) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 9) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 10) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 11) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 12) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 13) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 14) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 15) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 16) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 17) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 18) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 19) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 20) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 21) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 22) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 23) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 24) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 25) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 26) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CLK (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEP (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEM (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEINMODE (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CED (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CECTRL (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CECARRYIN (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEC (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEB2 (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEB1 (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEALUMODE (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEAD (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEA2 (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEA1 (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member CARRYINSEL 0) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member CARRYINSEL 1) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member CARRYINSEL 2) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CARRYIN (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CARRYCASCIN (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 0) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 1) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 2) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 3) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 4) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 5) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 6) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 7) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 8) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 9) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 10) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 11) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 12) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 13) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 14) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 15) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 16) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 17) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 18) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 19) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 20) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 21) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 22) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 23) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 24) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 25) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 26) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 27) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 28) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 29) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 30) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 31) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 32) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 33) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 34) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 35) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 36) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 37) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 38) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 39) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 40) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 41) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 42) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 43) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 44) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 45) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 46) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 47) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 0) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 1) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 2) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 3) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 4) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 5) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 6) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 7) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 8) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 9) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 10) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 11) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 12) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 13) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 14) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 15) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 16) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 17) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member ALUMODE 0) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member ALUMODE 1) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member ALUMODE 2) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member ALUMODE 3) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 0) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 1) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 2) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 3) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 4) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 5) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 6) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 7) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 8) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 9) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 10) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 11) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 12) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 13) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 14) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 15) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 16) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 17) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 18) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 19) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 20) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 21) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 22) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 23) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 24) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 25) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 26) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 27) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 28) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 29) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_0 "feedback_data_0(0)") (joined + (portRef (member ACOUT 29) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 29) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_48_i_0 (joined + (portRef (member ACOUT 28) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 28) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_2 "feedback_data_0(2)") (joined + (portRef (member ACOUT 27) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 27) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_3 "feedback_data_0(3)") (joined + (portRef (member ACOUT 26) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 26) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_4 "feedback_data_0(4)") (joined + (portRef (member ACOUT 25) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 25) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_44_i_0 (joined + (portRef (member ACOUT 24) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 24) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_40_i_0 (joined + (portRef (member ACOUT 23) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 23) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_7 "feedback_data_0(7)") (joined + (portRef (member ACOUT 22) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 22) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_8 "feedback_data_0(8)") (joined + (portRef (member ACOUT 21) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 21) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_9 "feedback_data_0(9)") (joined + (portRef (member ACOUT 20) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 20) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_10 "feedback_data_0(10)") (joined + (portRef (member ACOUT 19) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 19) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_41_i_0 (joined + (portRef (member ACOUT 18) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 18) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_12 "feedback_data_0(12)") (joined + (portRef (member ACOUT 17) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 17) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_13 "feedback_data_0(13)") (joined + (portRef (member ACOUT 16) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 16) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_14 "feedback_data_0(14)") (joined + (portRef (member ACOUT 15) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 15) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_15 "feedback_data_0(15)") (joined + (portRef (member ACOUT 14) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 14) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_36_i_0 (joined + (portRef (member ACOUT 13) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 13) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_32_i_0 (joined + (portRef (member ACOUT 12) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 12) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_28_i_0 (joined + (portRef (member ACOUT 11) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 11) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_19 "feedback_data_0(19)") (joined + (portRef (member ACOUT 10) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 10) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_24_i_0 (joined + (portRef (member ACOUT 9) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 9) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_20_i_0 (joined + (portRef (member ACOUT 8) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 8) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_22 "feedback_data_0(22)") (joined + (portRef (member ACOUT 7) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 7) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_37_i_0 (joined + (portRef (member ACOUT 6) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 6) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_33_i_0 (joined + (portRef (member ACOUT 5) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 5) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_29_i_0 (joined + (portRef (member ACOUT 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 4) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net GND_16 (joined + (portRef (member ACOUT 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 3) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net GND_17 (joined + (portRef (member ACOUT 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 2) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net GND_18 (joined + (portRef (member ACOUT 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 1) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net GND_19 (joined + (portRef (member ACOUT 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 0) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b4_re_17 "b4_re(17)") (joined + (portRef (member b4_re 14)) + (portRef (member A 29) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 17) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b4_re_18 "b4_re(18)") (joined + (portRef (member b4_re 13)) + (portRef (member A 28) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 16) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b4_re_19 "b4_re(19)") (joined + (portRef (member b4_re 12)) + (portRef (member A 27) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 15) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b4_re_20 "b4_re(20)") (joined + (portRef (member b4_re 11)) + (portRef (member A 26) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 14) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b4_re_21 "b4_re(21)") (joined + (portRef (member b4_re 10)) + (portRef (member A 25) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 13) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b4_re_22 "b4_re(22)") (joined + (portRef (member b4_re 9)) + (portRef (member A 24) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 12) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b4_re_23 "b4_re(23)") (joined + (portRef (member b4_re 8)) + (portRef (member A 23) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 11) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b4_re_24 "b4_re(24)") (joined + (portRef (member b4_re 7)) + (portRef (member A 22) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 10) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b4_re_25 "b4_re(25)") (joined + (portRef (member b4_re 6)) + (portRef (member A 21) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 9) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b4_re_26 "b4_re(26)") (joined + (portRef (member b4_re 5)) + (portRef (member A 20) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 8) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b4_re_27 "b4_re(27)") (joined + (portRef (member b4_re 4)) + (portRef (member A 19) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 7) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b4_re_28 "b4_re(28)") (joined + (portRef (member b4_re 3)) + (portRef (member A 18) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 6) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b4_re_29 "b4_re(29)") (joined + (portRef (member b4_re 2)) + (portRef (member A 17) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 5) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b4_re_30 "b4_re(30)") (joined + (portRef (member b4_re 1)) + (portRef (member A 16) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 4) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b4_re_31 "b4_re(31)") (joined + (portRef (member b4_re 0)) + (portRef (member A 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 3) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 4) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 5) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 6) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 7) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 8) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 9) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 10) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 11) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 12) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 13) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 14) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 15) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 0) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member B 1) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member B 2) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member B 3) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename VCCZ0 "VCC") (joined + (portRef P (instanceRef VCC)) + (portRef (member OPMODE 6) (instanceRef B0_product_signed_0_33_0)) + (portRef (member OPMODE 8) (instanceRef B0_product_signed_0_33_0)) + (portRef (member OPMODE 6) (instanceRef A1_product_signed_0_44_0)) + (portRef (member OPMODE 8) (instanceRef A1_product_signed_0_44_0)) + (portRef (member OPMODE 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member OPMODE 4) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member OPMODE 6) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member OPMODE 8) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member OPMODE 2) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member OPMODE 4) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member OPMODE 6) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member OPMODE 8) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member OPMODE 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member OPMODE 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member OPMODE 6) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member OPMODE 8) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member OPMODE 2) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member OPMODE 4) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member OPMODE 6) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member OPMODE 8) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0 "A1_product_signed_0(0)") (joined + (portRef (member PCOUT 47) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 47) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_1 "A1_product_signed_0(1)") (joined + (portRef (member PCOUT 46) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 46) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_2 "A1_product_signed_0(2)") (joined + (portRef (member PCOUT 45) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 45) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_3 "A1_product_signed_0(3)") (joined + (portRef (member PCOUT 44) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 44) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_4 "A1_product_signed_0(4)") (joined + (portRef (member PCOUT 43) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 43) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_5 "A1_product_signed_0(5)") (joined + (portRef (member PCOUT 42) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 42) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_6 "A1_product_signed_0(6)") (joined + (portRef (member PCOUT 41) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 41) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_7 "A1_product_signed_0(7)") (joined + (portRef (member PCOUT 40) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 40) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_8 "A1_product_signed_0(8)") (joined + (portRef (member PCOUT 39) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 39) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_9 "A1_product_signed_0(9)") (joined + (portRef (member PCOUT 38) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 38) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_10 "A1_product_signed_0(10)") (joined + (portRef (member PCOUT 37) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 37) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_11 "A1_product_signed_0(11)") (joined + (portRef (member PCOUT 36) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 36) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_12 "A1_product_signed_0(12)") (joined + (portRef (member PCOUT 35) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 35) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_13 "A1_product_signed_0(13)") (joined + (portRef (member PCOUT 34) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 34) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_14 "A1_product_signed_0(14)") (joined + (portRef (member PCOUT 33) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 33) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_15 "A1_product_signed_0(15)") (joined + (portRef (member PCOUT 32) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 32) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_16 "A1_product_signed_0(16)") (joined + (portRef (member PCOUT 31) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 31) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_17 "A1_product_signed_0_0(17)") (joined + (portRef (member PCOUT 30) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 30) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_18 "A1_product_signed_0_0(18)") (joined + (portRef (member PCOUT 29) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 29) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_19 "A1_product_signed_0_0(19)") (joined + (portRef (member PCOUT 28) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 28) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_20 "A1_product_signed_0_0(20)") (joined + (portRef (member PCOUT 27) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 27) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_21 "A1_product_signed_0_0(21)") (joined + (portRef (member PCOUT 26) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 26) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_22 "A1_product_signed_0_0(22)") (joined + (portRef (member PCOUT 25) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 25) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_23 "A1_product_signed_0_0(23)") (joined + (portRef (member PCOUT 24) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 24) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_24 "A1_product_signed_0_0(24)") (joined + (portRef (member PCOUT 23) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 23) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_25 "A1_product_signed_0_0(25)") (joined + (portRef (member PCOUT 22) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 22) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_26 "A1_product_signed_0_0(26)") (joined + (portRef (member PCOUT 21) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 21) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_27 "A1_product_signed_0_0(27)") (joined + (portRef (member PCOUT 20) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 20) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_28 "A1_product_signed_0_0(28)") (joined + (portRef (member PCOUT 19) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 19) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_29 "A1_product_signed_0_0(29)") (joined + (portRef (member PCOUT 18) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 18) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_30 "A1_product_signed_0_0(30)") (joined + (portRef (member PCOUT 17) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 17) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_31 "A1_product_signed_0_0(31)") (joined + (portRef (member PCOUT 16) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 16) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_32 "A1_product_signed_0_0(32)") (joined + (portRef (member PCOUT 15) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 15) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_33 "A1_product_signed_0_0(33)") (joined + (portRef (member PCOUT 14) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 14) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_34 "A1_product_signed_0_0(34)") (joined + (portRef (member PCOUT 13) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 13) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_35 "A1_product_signed_0_0(35)") (joined + (portRef (member PCOUT 12) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 12) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_36 "A1_product_signed_0_0(36)") (joined + (portRef (member PCOUT 11) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 11) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_37 "A1_product_signed_0_0(37)") (joined + (portRef (member PCOUT 10) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 10) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_38 "A1_product_signed_0_0(38)") (joined + (portRef (member PCOUT 9) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 9) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_39 "A1_product_signed_0_0(39)") (joined + (portRef (member PCOUT 8) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 8) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_40 "A1_product_signed_0_0(40)") (joined + (portRef (member PCOUT 7) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 7) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_41 "A1_product_signed_0_0(41)") (joined + (portRef (member PCOUT 6) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 6) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_42 "A1_product_signed_0_0(42)") (joined + (portRef (member PCOUT 5) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 5) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_43 "A1_product_signed_0_0(43)") (joined + (portRef (member PCOUT 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 4) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_44 "A1_product_signed_0_0(44)") (joined + (portRef (member PCOUT 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 3) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename P_uc_27_0_45 "P_uc_27_0(45)") (joined + (portRef (member PCOUT 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 2) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename P_uc_27_0_46 "P_uc_27_0(46)") (joined + (portRef (member PCOUT 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 1) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename P_uc_27_0_47 "P_uc_27_0(47)") (joined + (portRef (member PCOUT 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 0) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_1_26 "A1_product_signed_1(26)") (joined + (portRef (member PCOUT 47) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 47) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_27 "A1_product_signed_1(27)") (joined + (portRef (member PCOUT 46) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 46) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_28 "A1_product_signed_1(28)") (joined + (portRef (member PCOUT 45) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 45) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_29 "A1_product_signed_1(29)") (joined + (portRef (member PCOUT 44) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 44) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_30 "A1_product_signed_1(30)") (joined + (portRef (member PCOUT 43) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 43) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_31 "A1_product_signed_1(31)") (joined + (portRef (member PCOUT 42) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 42) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_32 "A1_product_signed_1(32)") (joined + (portRef (member PCOUT 41) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 41) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_33 "A1_product_signed_1(33)") (joined + (portRef (member PCOUT 40) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 40) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_34 "A1_product_signed_1(34)") (joined + (portRef (member PCOUT 39) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 39) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_35 "A1_product_signed_1(35)") (joined + (portRef (member PCOUT 38) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 38) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_36 "A1_product_signed_1(36)") (joined + (portRef (member PCOUT 37) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 37) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_37 "A1_product_signed_1(37)") (joined + (portRef (member PCOUT 36) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 36) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_38 "A1_product_signed_1(38)") (joined + (portRef (member PCOUT 35) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 35) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_39 "A1_product_signed_1(39)") (joined + (portRef (member PCOUT 34) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 34) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_40 "A1_product_signed_1(40)") (joined + (portRef (member PCOUT 33) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 33) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_41 "A1_product_signed_1(41)") (joined + (portRef (member PCOUT 32) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 32) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_42 "A1_product_signed_1(42)") (joined + (portRef (member PCOUT 31) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 31) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_17 "A1_product_signedAdd_1_0(17)") (joined + (portRef (member PCOUT 30) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 30) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_18 "A1_product_signedAdd_1_0(18)") (joined + (portRef (member PCOUT 29) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 29) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_19 "A1_product_signedAdd_1_0(19)") (joined + (portRef (member PCOUT 28) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 28) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_20 "A1_product_signedAdd_1_0(20)") (joined + (portRef (member PCOUT 27) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 27) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_21 "A1_product_signedAdd_1_0(21)") (joined + (portRef (member PCOUT 26) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 26) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_22 "A1_product_signedAdd_1_0(22)") (joined + (portRef (member PCOUT 25) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 25) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_23 "A1_product_signedAdd_1_0(23)") (joined + (portRef (member PCOUT 24) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 24) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_24 "A1_product_signedAdd_1_0(24)") (joined + (portRef (member PCOUT 23) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 23) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_25 "A1_product_signedAdd_1_0(25)") (joined + (portRef (member PCOUT 22) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 22) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_26 "A1_product_signedAdd_1_0(26)") (joined + (portRef (member PCOUT 21) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 21) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_27 "A1_product_signedAdd_1_0(27)") (joined + (portRef (member PCOUT 20) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 20) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_28 "A1_product_signedAdd_1_0(28)") (joined + (portRef (member PCOUT 19) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 19) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_29 "A1_product_signedAdd_1_0(29)") (joined + (portRef (member PCOUT 18) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 18) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_30 "A1_product_signedAdd_1_0(30)") (joined + (portRef (member PCOUT 17) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 17) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_31 "A1_product_signedAdd_1_0(31)") (joined + (portRef (member PCOUT 16) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 16) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_32 "A1_product_signedAdd_1_0(32)") (joined + (portRef (member PCOUT 15) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 15) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_33 "A1_product_signedAdd_1_0(33)") (joined + (portRef (member PCOUT 14) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 14) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_34 "A1_product_signedAdd_1_0(34)") (joined + (portRef (member PCOUT 13) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 13) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_3_0_35 "P_uc_3_0(35)") (joined + (portRef (member PCOUT 12) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 12) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_3_0_36 "P_uc_3_0(36)") (joined + (portRef (member PCOUT 11) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 11) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_3_0_37 "P_uc_3_0(37)") (joined + (portRef (member PCOUT 10) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 10) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_3_0_38 "P_uc_3_0(38)") (joined + (portRef (member PCOUT 9) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 9) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_3_0_39 "P_uc_3_0(39)") (joined + (portRef (member PCOUT 8) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 8) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_3_0_40 "P_uc_3_0(40)") (joined + (portRef (member PCOUT 7) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 7) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_3_0_41 "P_uc_3_0(41)") (joined + (portRef (member PCOUT 6) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 6) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_3_0_42 "P_uc_3_0(42)") (joined + (portRef (member PCOUT 5) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 5) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_9_0_43 "P_uc_9_0(43)") (joined + (portRef (member PCOUT 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 4) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_9_0_44 "P_uc_9_0(44)") (joined + (portRef (member PCOUT 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 3) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_9_0_45 "P_uc_9_0(45)") (joined + (portRef (member PCOUT 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 2) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_9_0_46 "P_uc_9_0(46)") (joined + (portRef (member PCOUT 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 1) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_9_0_47 "P_uc_9_0(47)") (joined + (portRef (member PCOUT 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 0) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename b4_re_0 "b4_re(0)") (joined + (portRef (member b4_re 31)) + (portRef (member B 17) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 29) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b4_re_1 "b4_re(1)") (joined + (portRef (member b4_re 30)) + (portRef (member B 16) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 28) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b4_re_2 "b4_re(2)") (joined + (portRef (member b4_re 29)) + (portRef (member B 15) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 27) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b4_re_3 "b4_re(3)") (joined + (portRef (member b4_re 28)) + (portRef (member B 14) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 26) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b4_re_4 "b4_re(4)") (joined + (portRef (member b4_re 27)) + (portRef (member B 13) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 25) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b4_re_5 "b4_re(5)") (joined + (portRef (member b4_re 26)) + (portRef (member B 12) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 24) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b4_re_6 "b4_re(6)") (joined + (portRef (member b4_re 25)) + (portRef (member B 11) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 23) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b4_re_7 "b4_re(7)") (joined + (portRef (member b4_re 24)) + (portRef (member B 10) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 22) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b4_re_8 "b4_re(8)") (joined + (portRef (member b4_re 23)) + (portRef (member B 9) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 21) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b4_re_9 "b4_re(9)") (joined + (portRef (member b4_re 22)) + (portRef (member B 8) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 20) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b4_re_10 "b4_re(10)") (joined + (portRef (member b4_re 21)) + (portRef (member B 7) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 19) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b4_re_11 "b4_re(11)") (joined + (portRef (member b4_re 20)) + (portRef (member B 6) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 18) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b4_re_12 "b4_re(12)") (joined + (portRef (member b4_re 19)) + (portRef (member B 5) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 17) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b4_re_13 "b4_re(13)") (joined + (portRef (member b4_re 18)) + (portRef (member B 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 16) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b4_re_14 "b4_re(14)") (joined + (portRef (member b4_re 17)) + (portRef (member B 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 15) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b4_re_15 "b4_re(15)") (joined + (portRef (member b4_re 16)) + (portRef (member B 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 14) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b4_re_16 "b4_re(16)") (joined + (portRef (member b4_re 15)) + (portRef (member B 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 13) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net N_25_i (joined + (portRef N_25_i (instanceRef gO_4_26_MUX12)) + (portRef (member B 17) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 17) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net N_21_i (joined + (portRef N_21_i (instanceRef gO_4_27_MUX12)) + (portRef (member B 16) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 16) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename feedback_data_28 "feedback_data(28)") (joined + (portRef feedback_data_0 (instanceRef gO_4_28_MUX12)) + (portRef (member feedback_data 0) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member B 15) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 15) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net N_16_i (joined + (portRef N_16_i (instanceRef gO_4_29_MUX12)) + (portRef (member B 14) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 14) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net N_17_i (joined + (portRef N_17_i (instanceRef gO_4_30_MUX12)) + (portRef (member B 13) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 13) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net N_12_i (joined + (portRef N_12_i (instanceRef gO_4_31_MUX12)) + (portRef (member B 12) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 12) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net N_13_i (joined + (portRef N_13_i (instanceRef gO_4_32_MUX12)) + (portRef (member B 11) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 11) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net N_9_i (joined + (portRef N_9_i (instanceRef gO_4_33_MUX12)) + (portRef (member B 10) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 10) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net N_8_i (joined + (portRef N_8_i (instanceRef gO_4_34_MUX12)) + (portRef (member B 9) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 9) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename feedback_data_35 "feedback_data(35)") (joined + (portRef feedback_data_0 (instanceRef gO_4_35_MUX12)) + (portRef (member B 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 3) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 4) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 5) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 6) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 7) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 8) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member B 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member B 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member B 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member B 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member B 5) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member B 6) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member B 7) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member B 8) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename B0_product_signed_17 "B0_product_signed(17)") (joined + (portRef (member P 47) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_37)) + )) + (net (rename B0_product_signed_18 "B0_product_signed(18)") (joined + (portRef (member P 46) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_38)) + )) + (net (rename B0_product_signed_19 "B0_product_signed(19)") (joined + (portRef (member P 45) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_39)) + )) + (net (rename B0_product_signed_20 "B0_product_signed(20)") (joined + (portRef (member P 44) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_40)) + )) + (net (rename B0_product_signed_21 "B0_product_signed(21)") (joined + (portRef (member P 43) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_41)) + )) + (net (rename B0_product_signed_22 "B0_product_signed(22)") (joined + (portRef (member P 42) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_42)) + )) + (net (rename B0_product_signed_23 "B0_product_signed(23)") (joined + (portRef (member P 41) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_43)) + )) + (net (rename B0_product_signed_24 "B0_product_signed(24)") (joined + (portRef (member P 40) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_44)) + )) + (net (rename B0_product_signed_25 "B0_product_signed(25)") (joined + (portRef (member P 39) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_45)) + )) + (net (rename B0_product_signed_26 "B0_product_signed(26)") (joined + (portRef (member P 38) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_46)) + )) + (net (rename B0_product_signed_27 "B0_product_signed(27)") (joined + (portRef (member P 37) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_47)) + )) + (net (rename B0_product_signed_28 "B0_product_signed(28)") (joined + (portRef (member P 36) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_48)) + )) + (net (rename B0_product_signed_29 "B0_product_signed(29)") (joined + (portRef (member P 35) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_49)) + )) + (net (rename B0_product_signed_30 "B0_product_signed(30)") (joined + (portRef (member P 34) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_50)) + )) + (net (rename B0_product_signed_31 "B0_product_signed(31)") (joined + (portRef (member P 33) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_51)) + )) + (net (rename B0_product_signed_32 "B0_product_signed(32)") (joined + (portRef (member P 32) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_52)) + )) + (net (rename B0_product_signed_33 "B0_product_signed(33)") (joined + (portRef (member P 31) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_53)) + )) + (net (rename B0_product_signed_34 "B0_product_signed(34)") (joined + (portRef (member P 30) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_54)) + )) + (net (rename B0_product_signed_35 "B0_product_signed(35)") (joined + (portRef (member P 29) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_55)) + )) + (net (rename B0_product_signed_36 "B0_product_signed(36)") (joined + (portRef (member P 28) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_56)) + )) + (net (rename B0_product_signed_37 "B0_product_signed(37)") (joined + (portRef (member P 27) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_57)) + )) + (net (rename B0_product_signed_38 "B0_product_signed(38)") (joined + (portRef (member P 26) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_58)) + )) + (net (rename B0_product_signed_39 "B0_product_signed(39)") (joined + (portRef (member P 25) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_59)) + )) + (net (rename B0_product_signed_40 "B0_product_signed(40)") (joined + (portRef (member P 24) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_60)) + )) + (net (rename B0_product_signed_41 "B0_product_signed(41)") (joined + (portRef (member P 23) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_61)) + )) + (net (rename B0_product_signed_42 "B0_product_signed(42)") (joined + (portRef (member P 22) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_62)) + )) + (net (rename B0_product_signed_43 "B0_product_signed(43)") (joined + (portRef (member P 21) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_63)) + )) + (net (rename B0_product_signed_44 "B0_product_signed(44)") (joined + (portRef (member P 20) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_64)) + )) + (net (rename B0_product_signed_45 "B0_product_signed(45)") (joined + (portRef (member P 19) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_65)) + )) + (net (rename B0_product_signed_46 "B0_product_signed(46)") (joined + (portRef (member P 18) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_66)) + )) + (net (rename B0_product_signed_47 "B0_product_signed(47)") (joined + (portRef (member P 17) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_67)) + (portRef I1 (instanceRef un1_psum0_signed_axb_68)) + (portRef I1 (instanceRef un1_psum0_signed_axb_69)) + (portRef I1 (instanceRef un1_psum0_signed_axb_70)) + )) + (net (rename a4_re_17 "a4_re(17)") (joined + (portRef (member a4_re 14)) + (portRef (member A 29) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a4_re_18 "a4_re(18)") (joined + (portRef (member a4_re 13)) + (portRef (member A 28) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a4_re_19 "a4_re(19)") (joined + (portRef (member a4_re 12)) + (portRef (member A 27) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a4_re_20 "a4_re(20)") (joined + (portRef (member a4_re 11)) + (portRef (member A 26) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a4_re_21 "a4_re(21)") (joined + (portRef (member a4_re 10)) + (portRef (member A 25) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a4_re_22 "a4_re(22)") (joined + (portRef (member a4_re 9)) + (portRef (member A 24) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a4_re_23 "a4_re(23)") (joined + (portRef (member a4_re 8)) + (portRef (member A 23) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a4_re_24 "a4_re(24)") (joined + (portRef (member a4_re 7)) + (portRef (member A 22) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a4_re_25 "a4_re(25)") (joined + (portRef (member a4_re 6)) + (portRef (member A 21) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a4_re_26 "a4_re(26)") (joined + (portRef (member a4_re 5)) + (portRef (member A 20) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a4_re_27 "a4_re(27)") (joined + (portRef (member a4_re 4)) + (portRef (member A 19) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a4_re_28 "a4_re(28)") (joined + (portRef (member a4_re 3)) + (portRef (member A 18) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a4_re_29 "a4_re(29)") (joined + (portRef (member a4_re 2)) + (portRef (member A 17) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a4_re_30 "a4_re(30)") (joined + (portRef (member a4_re 1)) + (portRef (member A 16) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a4_re_31 "a4_re(31)") (joined + (portRef (member a4_re 0)) + (portRef (member A 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 2) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 3) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 4) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 5) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 6) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 7) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 8) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 9) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 10) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 11) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 12) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 13) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 14) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 15) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_0 "gated_in_data(0)") (joined + (portRef (member gated_in_data 15)) + (portRef (member B 17) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 17) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_1 "gated_in_data(1)") (joined + (portRef (member gated_in_data 14)) + (portRef (member B 16) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 16) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_2 "gated_in_data(2)") (joined + (portRef (member gated_in_data 13)) + (portRef (member B 15) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 15) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_3 "gated_in_data(3)") (joined + (portRef (member gated_in_data 12)) + (portRef (member B 14) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 14) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_4 "gated_in_data(4)") (joined + (portRef (member gated_in_data 11)) + (portRef (member B 13) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 13) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_5 "gated_in_data(5)") (joined + (portRef (member gated_in_data 10)) + (portRef (member B 12) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 12) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_6 "gated_in_data(6)") (joined + (portRef (member gated_in_data 9)) + (portRef (member B 11) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 11) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_7 "gated_in_data(7)") (joined + (portRef (member gated_in_data 8)) + (portRef (member B 10) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 10) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_8 "gated_in_data(8)") (joined + (portRef (member gated_in_data 7)) + (portRef (member B 9) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 9) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_9 "gated_in_data(9)") (joined + (portRef (member gated_in_data 6)) + (portRef (member B 8) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 8) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_10 "gated_in_data(10)") (joined + (portRef (member gated_in_data 5)) + (portRef (member B 7) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 7) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_11 "gated_in_data(11)") (joined + (portRef (member gated_in_data 4)) + (portRef (member B 6) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 6) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_12 "gated_in_data(12)") (joined + (portRef (member gated_in_data 3)) + (portRef (member B 5) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 5) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_13 "gated_in_data(13)") (joined + (portRef (member gated_in_data 2)) + (portRef (member B 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 4) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_14 "gated_in_data(14)") (joined + (portRef (member gated_in_data 1)) + (portRef (member B 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 3) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_15 "gated_in_data(15)") (joined + (portRef (member gated_in_data 0)) + (portRef (member B 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member B 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member B 2) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0 "B0_product_signed_0(0)") (joined + (portRef (member PCOUT 47) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 47) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_1 "B0_product_signed_0(1)") (joined + (portRef (member PCOUT 46) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 46) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_2 "B0_product_signed_0(2)") (joined + (portRef (member PCOUT 45) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 45) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_3 "B0_product_signed_0(3)") (joined + (portRef (member PCOUT 44) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 44) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_4 "B0_product_signed_0(4)") (joined + (portRef (member PCOUT 43) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 43) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_5 "B0_product_signed_0(5)") (joined + (portRef (member PCOUT 42) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 42) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_6 "B0_product_signed_0(6)") (joined + (portRef (member PCOUT 41) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 41) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_7 "B0_product_signed_0(7)") (joined + (portRef (member PCOUT 40) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 40) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_8 "B0_product_signed_0(8)") (joined + (portRef (member PCOUT 39) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 39) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_9 "B0_product_signed_0(9)") (joined + (portRef (member PCOUT 38) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 38) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_10 "B0_product_signed_0(10)") (joined + (portRef (member PCOUT 37) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 37) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_11 "B0_product_signed_0(11)") (joined + (portRef (member PCOUT 36) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 36) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_12 "B0_product_signed_0(12)") (joined + (portRef (member PCOUT 35) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 35) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_13 "B0_product_signed_0(13)") (joined + (portRef (member PCOUT 34) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 34) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_14 "B0_product_signed_0(14)") (joined + (portRef (member PCOUT 33) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 33) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_15 "B0_product_signed_0(15)") (joined + (portRef (member PCOUT 32) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 32) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_16 "B0_product_signed_0(16)") (joined + (portRef (member PCOUT 31) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 31) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_17 "B0_product_signed_0_0(17)") (joined + (portRef (member PCOUT 30) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 30) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_18 "B0_product_signed_0_0(18)") (joined + (portRef (member PCOUT 29) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 29) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_19 "B0_product_signed_0_0(19)") (joined + (portRef (member PCOUT 28) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 28) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_20 "B0_product_signed_0_0(20)") (joined + (portRef (member PCOUT 27) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 27) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_21 "B0_product_signed_0_0(21)") (joined + (portRef (member PCOUT 26) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 26) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_22 "B0_product_signed_0_0(22)") (joined + (portRef (member PCOUT 25) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 25) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_23 "B0_product_signed_0_0(23)") (joined + (portRef (member PCOUT 24) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 24) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_24 "B0_product_signed_0_0(24)") (joined + (portRef (member PCOUT 23) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 23) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_25 "B0_product_signed_0_0(25)") (joined + (portRef (member PCOUT 22) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 22) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_26 "B0_product_signed_0_0(26)") (joined + (portRef (member PCOUT 21) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 21) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_27 "B0_product_signed_0_0(27)") (joined + (portRef (member PCOUT 20) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 20) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_28 "B0_product_signed_0_0(28)") (joined + (portRef (member PCOUT 19) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 19) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_29 "B0_product_signed_0_0(29)") (joined + (portRef (member PCOUT 18) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 18) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_30 "B0_product_signed_0_0(30)") (joined + (portRef (member PCOUT 17) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 17) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_31 "B0_product_signed_0_0(31)") (joined + (portRef (member PCOUT 16) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 16) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_32 "B0_product_signed_0_0(32)") (joined + (portRef (member PCOUT 15) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 15) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_33 "B0_product_signed_0_0(33)") (joined + (portRef (member PCOUT 14) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 14) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_15_0_34 "P_uc_15_0(34)") (joined + (portRef (member PCOUT 13) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 13) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_21_0_35 "P_uc_21_0(35)") (joined + (portRef (member PCOUT 12) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 12) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_21_0_36 "P_uc_21_0(36)") (joined + (portRef (member PCOUT 11) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 11) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_21_0_37 "P_uc_21_0(37)") (joined + (portRef (member PCOUT 10) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 10) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_21_0_38 "P_uc_21_0(38)") (joined + (portRef (member PCOUT 9) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 9) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_21_0_39 "P_uc_21_0(39)") (joined + (portRef (member PCOUT 8) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 8) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_21_0_40 "P_uc_21_0(40)") (joined + (portRef (member PCOUT 7) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 7) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_21_0_41 "P_uc_21_0(41)") (joined + (portRef (member PCOUT 6) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 6) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_21_0_42 "P_uc_21_0(42)") (joined + (portRef (member PCOUT 5) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 5) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_27_0_43 "P_uc_27_0(43)") (joined + (portRef (member PCOUT 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 4) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_27_0_44 "P_uc_27_0(44)") (joined + (portRef (member PCOUT 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 3) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_33_0_45 "P_uc_33_0(45)") (joined + (portRef (member PCOUT 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 2) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_33_0_46 "P_uc_33_0(46)") (joined + (portRef (member PCOUT 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 1) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_33_0_47 "P_uc_33_0(47)") (joined + (portRef (member PCOUT 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 0) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename A1_product_signed_44 "A1_product_signed(44)") (joined + (portRef (member P 46) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_47)) + (portRef I0 (instanceRef psum1_signed_cry_44_thru)) + (portRef I0 (instanceRef psum1_signed_s_44_thru)) + )) + (net (rename A1_product_signed_45 "A1_product_signed(45)") (joined + (portRef (member P 45) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_47)) + (portRef I0 (instanceRef psum1_signed_cry_45_thru)) + (portRef I0 (instanceRef psum1_signed_s_45_thru)) + )) + (net (rename A1_product_signed_46 "A1_product_signed(46)") (joined + (portRef (member P 44) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_47)) + (portRef I0 (instanceRef psum1_signed_cry_46_thru)) + (portRef I0 (instanceRef psum1_signed_s_46_thru)) + )) + (net (rename A1_product_signed_47 "A1_product_signed(47)") (joined + (portRef (member P 43) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_47)) + (portRef I0 (instanceRef psum1_signed_cry_47_thru)) + (portRef I0 (instanceRef psum1_signed_s_47_thru)) + )) + (net (rename A1_product_signed_48 "A1_product_signed(48)") (joined + (portRef (member P 42) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_51)) + (portRef I0 (instanceRef psum1_signed_cry_48_thru)) + (portRef I0 (instanceRef psum1_signed_s_48_thru)) + )) + (net (rename A1_product_signed_49 "A1_product_signed(49)") (joined + (portRef (member P 41) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_51)) + (portRef I0 (instanceRef psum1_signed_cry_49_thru)) + (portRef I0 (instanceRef psum1_signed_s_49_thru)) + )) + (net (rename A1_product_signed_50 "A1_product_signed(50)") (joined + (portRef (member P 40) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_51)) + (portRef I0 (instanceRef psum1_signed_cry_50_thru)) + (portRef I0 (instanceRef psum1_signed_s_50_thru)) + )) + (net (rename A1_product_signed_51 "A1_product_signed(51)") (joined + (portRef (member P 39) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_51)) + (portRef I0 (instanceRef psum1_signed_cry_51_thru)) + (portRef I0 (instanceRef psum1_signed_s_51_thru)) + )) + (net (rename A1_product_signed_52 "A1_product_signed(52)") (joined + (portRef (member P 38) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_55)) + (portRef I0 (instanceRef psum1_signed_cry_52_thru)) + (portRef I0 (instanceRef psum1_signed_s_52_thru)) + )) + (net (rename A1_product_signed_53 "A1_product_signed(53)") (joined + (portRef (member P 37) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_55)) + (portRef I0 (instanceRef psum1_signed_cry_53_thru)) + (portRef I0 (instanceRef psum1_signed_s_53_thru)) + )) + (net (rename A1_product_signed_54 "A1_product_signed(54)") (joined + (portRef (member P 36) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_55)) + (portRef I0 (instanceRef psum1_signed_cry_54_thru)) + (portRef I0 (instanceRef psum1_signed_s_54_thru)) + )) + (net (rename A1_product_signed_55 "A1_product_signed(55)") (joined + (portRef (member P 35) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_55)) + (portRef I0 (instanceRef psum1_signed_cry_55_thru)) + (portRef I0 (instanceRef psum1_signed_s_55_thru)) + )) + (net (rename A1_product_signed_56 "A1_product_signed(56)") (joined + (portRef (member P 34) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_59)) + (portRef I0 (instanceRef psum1_signed_cry_56_thru)) + (portRef I0 (instanceRef psum1_signed_s_56_thru)) + )) + (net (rename A1_product_signed_57 "A1_product_signed(57)") (joined + (portRef (member P 33) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_59)) + (portRef I0 (instanceRef psum1_signed_cry_57_thru)) + (portRef I0 (instanceRef psum1_signed_s_57_thru)) + )) + (net (rename A1_product_signed_58 "A1_product_signed(58)") (joined + (portRef (member P 32) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_59)) + (portRef I0 (instanceRef psum1_signed_cry_58_thru)) + (portRef I0 (instanceRef psum1_signed_s_58_thru)) + )) + (net (rename A1_product_signed_59 "A1_product_signed(59)") (joined + (portRef (member P 31) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_59)) + (portRef I0 (instanceRef psum1_signed_cry_59_thru)) + (portRef I0 (instanceRef psum1_signed_s_59_thru)) + )) + (net (rename A1_product_signed_60 "A1_product_signed(60)") (joined + (portRef (member P 30) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_63)) + (portRef I0 (instanceRef psum1_signed_cry_60_thru)) + (portRef I0 (instanceRef psum1_signed_s_60_thru)) + )) + (net (rename A1_product_signed_61 "A1_product_signed(61)") (joined + (portRef (member P 29) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_63)) + (portRef I0 (instanceRef psum1_signed_cry_61_thru)) + (portRef I0 (instanceRef psum1_signed_s_61_thru)) + )) + (net (rename A1_product_signed_62 "A1_product_signed(62)") (joined + (portRef (member P 28) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_63)) + (portRef I0 (instanceRef psum1_signed_cry_62_thru)) + (portRef I0 (instanceRef psum1_signed_s_62_thru)) + )) + (net (rename A1_product_signed_63 "A1_product_signed(63)") (joined + (portRef (member P 27) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_63)) + (portRef I0 (instanceRef psum1_signed_cry_63_thru)) + (portRef I0 (instanceRef psum1_signed_s_63_thru)) + )) + (net (rename A1_product_signed_64 "A1_product_signed(64)") (joined + (portRef (member P 26) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_67)) + (portRef I0 (instanceRef psum1_signed_cry_64_thru)) + (portRef I0 (instanceRef psum1_signed_s_64_thru)) + )) + (net (rename A1_product_signed_65 "A1_product_signed(65)") (joined + (portRef (member P 25) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_67)) + (portRef I0 (instanceRef psum1_signed_cry_65_thru)) + (portRef I0 (instanceRef psum1_signed_s_65_thru)) + )) + (net (rename A1_product_signed_66 "A1_product_signed(66)") (joined + (portRef (member P 24) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_67)) + (portRef I0 (instanceRef psum1_signed_cry_66_thru)) + (portRef I0 (instanceRef psum1_signed_s_66_thru)) + )) + (net (rename A1_product_signed_67 "A1_product_signed(67)") (joined + (portRef (member P 23) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef I0 (instanceRef psum1_signed_cry_67_RNO)) + (portRef I0 (instanceRef psum1_signed_s_69_RNO)) + (portRef I0 (instanceRef psum1_signed_s_69_thru)) + )) + (net (rename A1_product_signed_0 "A1_product_signed(0)") (joined + (portRef (member P 47) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_3)) + (portRef I0 (instanceRef psum1_signed_cry_0_thru)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_0)) + )) + (net (rename feedback_data_0 "feedback_data(0)") (joined + (portRef feedback_data_0 (instanceRef gO_4_0_MUX12)) + (portRef (member A 29) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_48_i (joined + (portRef N_48_i (instanceRef gO_4_1_MUX12)) + (portRef (member A 28) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_2 "feedback_data(2)") (joined + (portRef feedback_data_0 (instanceRef gO_4_2_MUX12)) + (portRef (member feedback_data 26) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 27) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_3 "feedback_data(3)") (joined + (portRef feedback_data_0 (instanceRef gO_4_3_MUX12)) + (portRef (member feedback_data 25) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 26) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_4 "feedback_data(4)") (joined + (portRef feedback_data_0 (instanceRef gO_4_4_MUX12)) + (portRef (member feedback_data 24) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 25) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_44_i (joined + (portRef N_44_i (instanceRef gO_4_5_MUX12)) + (portRef (member A 24) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_40_i (joined + (portRef N_40_i (instanceRef gO_4_6_MUX12)) + (portRef (member A 23) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_7 "feedback_data(7)") (joined + (portRef feedback_data_0 (instanceRef gO_4_7_MUX12)) + (portRef (member feedback_data 21) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 22) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_8 "feedback_data(8)") (joined + (portRef feedback_data_0 (instanceRef gO_4_8_MUX12)) + (portRef (member feedback_data 20) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 21) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_9 "feedback_data(9)") (joined + (portRef feedback_data_0 (instanceRef gO_4_9_MUX12)) + (portRef (member feedback_data 19) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 20) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_10 "feedback_data(10)") (joined + (portRef feedback_data_0 (instanceRef gO_4_10_MUX12)) + (portRef (member feedback_data 18) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 19) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_41_i (joined + (portRef N_41_i (instanceRef gO_4_11_MUX12)) + (portRef (member A 18) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_12 "feedback_data(12)") (joined + (portRef feedback_data_0 (instanceRef gO_4_12_MUX12)) + (portRef (member feedback_data 16) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 17) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_13 "feedback_data(13)") (joined + (portRef feedback_data_0 (instanceRef gO_4_13_MUX12)) + (portRef (member feedback_data 15) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 16) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_14 "feedback_data(14)") (joined + (portRef feedback_data_0 (instanceRef gO_4_14_MUX12)) + (portRef (member feedback_data 14) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 15) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_15 "feedback_data(15)") (joined + (portRef feedback_data_0 (instanceRef gO_4_15_MUX12)) + (portRef (member feedback_data 13) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 14) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_36_i (joined + (portRef N_36_i (instanceRef gO_4_16_MUX12)) + (portRef (member A 13) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_32_i (joined + (portRef N_32_i (instanceRef gO_4_17_MUX12)) + (portRef (member A 12) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_28_i (joined + (portRef N_28_i (instanceRef gO_4_18_MUX12)) + (portRef (member A 11) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_19 "feedback_data(19)") (joined + (portRef feedback_data_0 (instanceRef gO_4_19_MUX12)) + (portRef (member feedback_data 9) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 10) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_24_i (joined + (portRef N_24_i (instanceRef gO_4_20_MUX12)) + (portRef (member A 9) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_20_i (joined + (portRef N_20_i (instanceRef gO_4_21_MUX12)) + (portRef (member A 8) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_22 "feedback_data(22)") (joined + (portRef feedback_data_0 (instanceRef gO_4_22_MUX12)) + (portRef (member feedback_data 6) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member A 7) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_37_i (joined + (portRef N_37_i (instanceRef gO_4_23_MUX12)) + (portRef (member A 6) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_33_i (joined + (portRef N_33_i (instanceRef gO_4_24_MUX12)) + (portRef (member A 5) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_29_i (joined + (portRef N_29_i (instanceRef gO_4_25_MUX12)) + (portRef (member A 4) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename B0_product_signed_0 "B0_product_signed(0)") (joined + (portRef (member P 47) (instanceRef B0_product_signed_0_33_0)) + (portRef B0_product_signed_0 (instanceRef gO_2_gO_2cN_AN8)) + (portRef I1 (instanceRef un1_psum0_signed_axb_20)) + )) + (net (rename B0_product_signed_1 "B0_product_signed(1)") (joined + (portRef (member P 46) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_21)) + )) + (net (rename B0_product_signed_2 "B0_product_signed(2)") (joined + (portRef (member P 45) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_22)) + )) + (net (rename B0_product_signed_3 "B0_product_signed(3)") (joined + (portRef (member P 44) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_23)) + )) + (net (rename B0_product_signed_4 "B0_product_signed(4)") (joined + (portRef (member P 43) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_24)) + )) + (net (rename B0_product_signed_5 "B0_product_signed(5)") (joined + (portRef (member P 42) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_25)) + )) + (net (rename B0_product_signed_6 "B0_product_signed(6)") (joined + (portRef (member P 41) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_26)) + )) + (net (rename B0_product_signed_7 "B0_product_signed(7)") (joined + (portRef (member P 40) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_27)) + )) + (net (rename B0_product_signed_8 "B0_product_signed(8)") (joined + (portRef (member P 39) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_28)) + )) + (net (rename B0_product_signed_9 "B0_product_signed(9)") (joined + (portRef (member P 38) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_29)) + )) + (net (rename B0_product_signed_10 "B0_product_signed(10)") (joined + (portRef (member P 37) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_30)) + )) + (net (rename B0_product_signed_11 "B0_product_signed(11)") (joined + (portRef (member P 36) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_31)) + )) + (net (rename B0_product_signed_12 "B0_product_signed(12)") (joined + (portRef (member P 35) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_32)) + )) + (net (rename B0_product_signed_13 "B0_product_signed(13)") (joined + (portRef (member P 34) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_33)) + )) + (net (rename B0_product_signed_14 "B0_product_signed(14)") (joined + (portRef (member P 33) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_34)) + )) + (net (rename B0_product_signed_15 "B0_product_signed(15)") (joined + (portRef (member P 32) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_35)) + )) + (net (rename B0_product_signed_16 "B0_product_signed(16)") (joined + (portRef (member P 31) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_36)) + )) + (net (rename a4_re_0 "a4_re(0)") (joined + (portRef (member a4_re 31)) + (portRef (member A 29) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a4_re_1 "a4_re(1)") (joined + (portRef (member a4_re 30)) + (portRef (member A 28) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a4_re_2 "a4_re(2)") (joined + (portRef (member a4_re 29)) + (portRef (member A 27) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a4_re_3 "a4_re(3)") (joined + (portRef (member a4_re 28)) + (portRef (member A 26) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a4_re_4 "a4_re(4)") (joined + (portRef (member a4_re 27)) + (portRef (member A 25) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a4_re_5 "a4_re(5)") (joined + (portRef (member a4_re 26)) + (portRef (member A 24) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a4_re_6 "a4_re(6)") (joined + (portRef (member a4_re 25)) + (portRef (member A 23) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a4_re_7 "a4_re(7)") (joined + (portRef (member a4_re 24)) + (portRef (member A 22) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a4_re_8 "a4_re(8)") (joined + (portRef (member a4_re 23)) + (portRef (member A 21) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a4_re_9 "a4_re(9)") (joined + (portRef (member a4_re 22)) + (portRef (member A 20) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a4_re_10 "a4_re(10)") (joined + (portRef (member a4_re 21)) + (portRef (member A 19) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a4_re_11 "a4_re(11)") (joined + (portRef (member a4_re 20)) + (portRef (member A 18) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a4_re_12 "a4_re(12)") (joined + (portRef (member a4_re 19)) + (portRef (member A 17) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a4_re_13 "a4_re(13)") (joined + (portRef (member a4_re 18)) + (portRef (member A 16) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a4_re_14 "a4_re(14)") (joined + (portRef (member a4_re 17)) + (portRef (member A 15) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a4_re_15 "a4_re(15)") (joined + (portRef (member a4_re 16)) + (portRef (member A 14) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a4_re_16 "a4_re(16)") (joined + (portRef (member a4_re 15)) + (portRef (member A 13) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename psum1_signed_70 "psum1_signed(70)") (joined + (portRef (member O 2) (instanceRef psum1_signed_s_69)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_69)) + )) + (net (rename psum1_saved_3_70 "psum1_saved_3(70)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_69)) + (portRef D (instanceRef psum1_saved_69)) + )) + (net (rename psum1_signed_68 "psum1_signed(68)") (joined + (portRef (member O 3) (instanceRef psum1_signed_s_69)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_68)) + )) + (net (rename psum1_saved_3_68 "psum1_saved_3(68)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_68)) + (portRef D (instanceRef psum1_saved_68)) + )) + (net (rename psum1_signed_67 "psum1_signed(67)") (joined + (portRef (member O 0) (instanceRef psum1_signed_cry_67)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_67)) + )) + (net psum1_saved_3_9_0 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_67)) + (portRef D (instanceRef psum1_saved_67)) + )) + (net (rename psum1_signed_66 "psum1_signed(66)") (joined + (portRef LO (instanceRef psum1_signed_s_66)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_66)) + )) + (net psum1_saved_3_8_0 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_66)) + (portRef D (instanceRef psum1_saved_66)) + )) + (net (rename psum1_signed_64 "psum1_signed(64)") (joined + (portRef LO (instanceRef psum1_signed_s_64)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_64)) + )) + (net psum1_saved_3_6_0 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_64)) + (portRef D (instanceRef psum1_saved_64)) + )) + (net (rename psum1_signed_63 "psum1_signed(63)") (joined + (portRef LO (instanceRef psum1_signed_s_63)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_63)) + )) + (net psum1_saved_3_5_0 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_63)) + (portRef D (instanceRef psum1_saved_63)) + )) + (net (rename psum1_signed_62 "psum1_signed(62)") (joined + (portRef LO (instanceRef psum1_signed_s_62)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_62)) + )) + (net psum1_saved_3_4_0 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_62)) + (portRef D (instanceRef psum1_saved_62)) + )) + (net (rename psum1_signed_61 "psum1_signed(61)") (joined + (portRef LO (instanceRef psum1_signed_s_61)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_61)) + )) + (net psum1_saved_3_3_0 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_61)) + (portRef D (instanceRef psum1_saved_61)) + )) + (net (rename psum1_signed_60 "psum1_signed(60)") (joined + (portRef LO (instanceRef psum1_signed_s_60)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_60)) + )) + (net psum1_saved_3_2_0 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_60)) + (portRef D (instanceRef psum1_saved_60)) + )) + (net (rename psum1_signed_59 "psum1_signed(59)") (joined + (portRef LO (instanceRef psum1_signed_s_59)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_59)) + )) + (net psum1_saved_3_1_0 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_59)) + (portRef D (instanceRef psum1_saved_59)) + )) + (net (rename psum1_signed_58 "psum1_signed(58)") (joined + (portRef LO (instanceRef psum1_signed_s_58)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_58)) + )) + (net psum1_saved_3_0_0 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_58)) + (portRef D (instanceRef psum1_saved_58)) + )) + (net (rename psum1_signed_57 "psum1_signed(57)") (joined + (portRef LO (instanceRef psum1_signed_s_57)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_57)) + )) + (net (rename psum1_saved_3Z0Z_70 "psum1_saved_3_70") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_57)) + (portRef D (instanceRef psum1_saved_57)) + )) + (net (rename psum1_signed_56 "psum1_signed(56)") (joined + (portRef LO (instanceRef psum1_signed_s_56)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_56)) + )) + (net (rename psum1_saved_3_56 "psum1_saved_3(56)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_56)) + (portRef D (instanceRef psum1_saved_56)) + )) + (net (rename psum1_signed_55 "psum1_signed(55)") (joined + (portRef LO (instanceRef psum1_signed_s_55)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_55)) + )) + (net (rename psum1_saved_3_55 "psum1_saved_3(55)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_55)) + (portRef D (instanceRef psum1_saved_55)) + )) + (net (rename psum1_signed_54 "psum1_signed(54)") (joined + (portRef LO (instanceRef psum1_signed_s_54)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_54)) + )) + (net (rename psum1_saved_3_54 "psum1_saved_3(54)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_54)) + (portRef D (instanceRef psum1_saved_54)) + )) + (net (rename psum1_signed_53 "psum1_signed(53)") (joined + (portRef LO (instanceRef psum1_signed_s_53)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_53)) + )) + (net (rename psum1_saved_3_53 "psum1_saved_3(53)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_53)) + (portRef D (instanceRef psum1_saved_53)) + )) + (net (rename psum1_signed_52 "psum1_signed(52)") (joined + (portRef LO (instanceRef psum1_signed_s_52)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_52)) + )) + (net (rename psum1_saved_3_52 "psum1_saved_3(52)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_52)) + (portRef D (instanceRef psum1_saved_52)) + )) + (net (rename psum1_signed_51 "psum1_signed(51)") (joined + (portRef LO (instanceRef psum1_signed_s_51)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_51)) + )) + (net (rename psum1_saved_3_51 "psum1_saved_3(51)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_51)) + (portRef D (instanceRef psum1_saved_51)) + )) + (net (rename psum1_signed_50 "psum1_signed(50)") (joined + (portRef LO (instanceRef psum1_signed_s_50)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_50)) + )) + (net (rename psum1_saved_3_50 "psum1_saved_3(50)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_50)) + (portRef D (instanceRef psum1_saved_50)) + )) + (net (rename psum1_signed_49 "psum1_signed(49)") (joined + (portRef LO (instanceRef psum1_signed_s_49)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_49)) + )) + (net (rename psum1_saved_3_49 "psum1_saved_3(49)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_49)) + (portRef D (instanceRef psum1_saved_49)) + )) + (net (rename psum1_signed_48 "psum1_signed(48)") (joined + (portRef LO (instanceRef psum1_signed_s_48)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_48)) + )) + (net (rename psum1_saved_3_48 "psum1_saved_3(48)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_48)) + (portRef D (instanceRef psum1_saved_48)) + )) + (net (rename psum1_signed_47 "psum1_signed(47)") (joined + (portRef LO (instanceRef psum1_signed_s_47)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_47)) + )) + (net (rename psum1_saved_3_47 "psum1_saved_3(47)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_47)) + (portRef D (instanceRef psum1_saved_47)) + )) + (net (rename psum1_signed_46 "psum1_signed(46)") (joined + (portRef LO (instanceRef psum1_signed_s_46)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_46)) + )) + (net (rename psum1_saved_3_46 "psum1_saved_3(46)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_46)) + (portRef D (instanceRef psum1_saved_46)) + )) + (net (rename psum1_signed_45 "psum1_signed(45)") (joined + (portRef LO (instanceRef psum1_signed_s_45)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_45)) + )) + (net (rename psum1_saved_3_45 "psum1_saved_3(45)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_45)) + (portRef D (instanceRef psum1_saved_45)) + )) + (net (rename psum1_signed_44 "psum1_signed(44)") (joined + (portRef LO (instanceRef psum1_signed_s_44)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_44)) + )) + (net (rename psum1_saved_3_44 "psum1_saved_3(44)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_44)) + (portRef D (instanceRef psum1_saved_44)) + )) + (net (rename psum1_saved_3_0 "psum1_saved_3(0)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_0)) + (portRef D (instanceRef psum1_saved_0)) + )) + (net en (joined + (portRef en) + (portRef I0 (instanceRef PSUM2AND1_REGS_un1_enable)) + )) + (net un1_enable (joined + (portRef O (instanceRef PSUM2AND1_REGS_un1_enable)) + (portRef CE (instanceRef data_out_final_35)) + (portRef CE (instanceRef data_out_final_34)) + (portRef CE (instanceRef data_out_final_33)) + (portRef CE (instanceRef data_out_final_32)) + (portRef CE (instanceRef data_out_final_31)) + (portRef CE (instanceRef data_out_final_30)) + (portRef CE (instanceRef data_out_final_29)) + (portRef CE (instanceRef data_out_final_28)) + (portRef CE (instanceRef data_out_final_27)) + (portRef CE (instanceRef data_out_final_26)) + (portRef CE (instanceRef data_out_final_25)) + (portRef CE (instanceRef data_out_final_24)) + (portRef CE (instanceRef data_out_final_23)) + (portRef CE (instanceRef data_out_final_22)) + (portRef CE (instanceRef data_out_final_21)) + (portRef CE (instanceRef data_out_final_20)) + (portRef CE (instanceRef data_out_final_19)) + (portRef CE (instanceRef data_out_final_18)) + (portRef CE (instanceRef data_out_final_17)) + (portRef CE (instanceRef data_out_final_16)) + (portRef CE (instanceRef data_out_final_15)) + (portRef CE (instanceRef data_out_final_14)) + (portRef CE (instanceRef data_out_final_13)) + (portRef CE (instanceRef data_out_final_12)) + (portRef CE (instanceRef data_out_final_11)) + (portRef CE (instanceRef data_out_final_10)) + (portRef CE (instanceRef data_out_final_9)) + (portRef CE (instanceRef data_out_final_8)) + (portRef CE (instanceRef data_out_final_7)) + (portRef CE (instanceRef data_out_final_6)) + (portRef CE (instanceRef data_out_final_5)) + (portRef CE (instanceRef data_out_final_4)) + (portRef CE (instanceRef data_out_final_3)) + (portRef CE (instanceRef data_out_final_2)) + (portRef CE (instanceRef data_out_final_1)) + (portRef CE (instanceRef data_out_final_0)) + (portRef CE (instanceRef saturation_final)) + (portRef CE (instanceRef psum1_saved_69)) + (portRef CE (instanceRef psum1_saved_68)) + (portRef CE (instanceRef psum1_saved_67)) + (portRef CE (instanceRef psum1_saved_66)) + (portRef CE (instanceRef psum1_saved_65)) + (portRef CE (instanceRef psum1_saved_64)) + (portRef CE (instanceRef psum1_saved_63)) + (portRef CE (instanceRef psum1_saved_62)) + (portRef CE (instanceRef psum1_saved_61)) + (portRef CE (instanceRef psum1_saved_60)) + (portRef CE (instanceRef psum1_saved_59)) + (portRef CE (instanceRef psum1_saved_58)) + (portRef CE (instanceRef psum1_saved_57)) + (portRef CE (instanceRef psum1_saved_56)) + (portRef CE (instanceRef psum1_saved_55)) + (portRef CE (instanceRef psum1_saved_54)) + (portRef CE (instanceRef psum1_saved_53)) + (portRef CE (instanceRef psum1_saved_52)) + (portRef CE (instanceRef psum1_saved_51)) + (portRef CE (instanceRef psum1_saved_50)) + (portRef CE (instanceRef psum1_saved_49)) + (portRef CE (instanceRef psum1_saved_48)) + (portRef CE (instanceRef psum1_saved_47)) + (portRef CE (instanceRef psum1_saved_46)) + (portRef CE (instanceRef psum1_saved_45)) + (portRef CE (instanceRef psum1_saved_44)) + (portRef CE (instanceRef psum1_saved_43)) + (portRef CE (instanceRef psum1_saved_42)) + (portRef CE (instanceRef psum1_saved_41)) + (portRef CE (instanceRef psum1_saved_40)) + (portRef CE (instanceRef psum1_saved_39)) + (portRef CE (instanceRef psum1_saved_38)) + (portRef CE (instanceRef psum1_saved_37)) + (portRef CE (instanceRef psum1_saved_36)) + (portRef CE (instanceRef psum1_saved_35)) + (portRef CE (instanceRef psum1_saved_34)) + (portRef CE (instanceRef psum1_saved_33)) + (portRef CE (instanceRef psum1_saved_32)) + (portRef CE (instanceRef psum1_saved_31)) + (portRef CE (instanceRef psum1_saved_30)) + (portRef CE (instanceRef psum1_saved_29)) + (portRef CE (instanceRef psum1_saved_28)) + (portRef CE (instanceRef psum1_saved_27)) + (portRef CE (instanceRef psum1_saved_26)) + (portRef CE (instanceRef psum1_saved_25)) + (portRef CE (instanceRef psum1_saved_24)) + (portRef CE (instanceRef psum1_saved_23)) + (portRef CE (instanceRef psum1_saved_22)) + (portRef CE (instanceRef psum1_saved_21)) + (portRef CE (instanceRef psum1_saved_20)) + (portRef CE (instanceRef psum1_saved_19)) + (portRef CE (instanceRef psum1_saved_18)) + (portRef CE (instanceRef psum1_saved_17)) + (portRef CE (instanceRef psum1_saved_16)) + (portRef CE (instanceRef psum1_saved_15)) + (portRef CE (instanceRef psum1_saved_14)) + (portRef CE (instanceRef psum1_saved_13)) + (portRef CE (instanceRef psum1_saved_12)) + (portRef CE (instanceRef psum1_saved_11)) + (portRef CE (instanceRef psum1_saved_10)) + (portRef CE (instanceRef psum1_saved_9)) + (portRef CE (instanceRef psum1_saved_8)) + (portRef CE (instanceRef psum1_saved_7)) + (portRef CE (instanceRef psum1_saved_6)) + (portRef CE (instanceRef psum1_saved_5)) + (portRef CE (instanceRef psum1_saved_4)) + (portRef CE (instanceRef psum1_saved_3)) + (portRef CE (instanceRef psum1_saved_2)) + (portRef CE (instanceRef psum1_saved_1)) + (portRef CE (instanceRef psum1_saved_0)) + )) + (net (rename psum1_saved_70 "psum1_saved(70)") (joined + (portRef Q (instanceRef psum1_saved_69)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_s_70)) + (portRef I0 (instanceRef un1_psum0_signed_axb_69)) + (portRef I0 (instanceRef un1_psum0_signed_axb_70)) + )) + (net (rename un1_psum0_signed_axbZ0Z_70 "un1_psum0_signed_axb_70") (joined + (portRef O (instanceRef un1_psum0_signed_axb_70)) + (portRef (member S 1) (instanceRef un1_psum0_signed_s_70)) + )) + (net (rename un1_psum0_signed_58 "un1_psum0_signed(58)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_59)) + (portRef (member un1_psum0_signed 6) (instanceRef gO_4_11_MUX12)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_27_MUX12)) + (portRef (member un1_psum0_signed 12) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 8) (instanceRef gO_2_gO_2d_INC2)) + (portRef I0 (instanceRef un1_psum0_signed_cry_59_RNIP9821)) + )) + (net (rename un1_psum0_signed_60 "un1_psum0_signed(60)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_63)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_29_MUX12)) + (portRef (member un1_psum0_signed 10) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 6) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 10) (instanceRef gO_2_gO_2cN_AN8)) + (portRef I1 (instanceRef un1_psum0_signed_cry_59_RNIP9821)) + )) + (net (rename un1_psum0_signed_62 "un1_psum0_signed(62)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_63)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_31_MUX12)) + (portRef (member un1_psum0_signed 8) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 4) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 8) (instanceRef gO_2_gO_2cN_AN8)) + (portRef I2 (instanceRef un1_psum0_signed_cry_59_RNIP9821)) + )) + (net (rename un1_psum0_signed_61 "un1_psum0_signed(61)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_63)) + (portRef (member un1_psum0_signed 3) (instanceRef gO_4_11_MUX12)) + (portRef (member un1_psum0_signed 9) (instanceRef gO_4_34_MUX12)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_30_MUX12)) + (portRef (member un1_psum0_signed 5) (instanceRef gO_2_gO_2d_INC2)) + (portRef I3 (instanceRef un1_psum0_signed_cry_59_RNIP9821)) + )) + (net g0_11 (joined + (portRef O (instanceRef un1_psum0_signed_cry_59_RNIP9821)) + (portRef g0_11 (instanceRef OR25)) + )) + (net (rename A1_product_signed_2_44 "A1_product_signed_2(44)") (joined + (portRef O (instanceRef psum1_signed_s_44_thru)) + (portRef I0 (instanceRef psum1_signed_s_44)) + )) + (net (rename A1_product_signed_1_45 "A1_product_signed_1(45)") (joined + (portRef O (instanceRef psum1_signed_s_45_thru)) + (portRef I0 (instanceRef psum1_signed_s_45)) + )) + (net (rename A1_product_signed_1_46 "A1_product_signed_1(46)") (joined + (portRef O (instanceRef psum1_signed_s_46_thru)) + (portRef I0 (instanceRef psum1_signed_s_46)) + )) + (net (rename A1_product_signed_1_47 "A1_product_signed_1(47)") (joined + (portRef O (instanceRef psum1_signed_s_47_thru)) + (portRef I0 (instanceRef psum1_signed_s_47)) + )) + (net (rename A1_product_signed_1_48 "A1_product_signed_1(48)") (joined + (portRef O (instanceRef psum1_signed_s_48_thru)) + (portRef I0 (instanceRef psum1_signed_s_48)) + )) + (net (rename A1_product_signed_1_49 "A1_product_signed_1(49)") (joined + (portRef O (instanceRef psum1_signed_s_49_thru)) + (portRef I0 (instanceRef psum1_signed_s_49)) + )) + (net (rename A1_product_signed_1_50 "A1_product_signed_1(50)") (joined + (portRef O (instanceRef psum1_signed_s_50_thru)) + (portRef I0 (instanceRef psum1_signed_s_50)) + )) + (net (rename A1_product_signed_1_51 "A1_product_signed_1(51)") (joined + (portRef O (instanceRef psum1_signed_s_51_thru)) + (portRef I0 (instanceRef psum1_signed_s_51)) + )) + (net (rename A1_product_signed_1_52 "A1_product_signed_1(52)") (joined + (portRef O (instanceRef psum1_signed_s_52_thru)) + (portRef I0 (instanceRef psum1_signed_s_52)) + )) + (net (rename A1_product_signed_1_53 "A1_product_signed_1(53)") (joined + (portRef O (instanceRef psum1_signed_s_53_thru)) + (portRef I0 (instanceRef psum1_signed_s_53)) + )) + (net (rename A1_product_signed_1_54 "A1_product_signed_1(54)") (joined + (portRef O (instanceRef psum1_signed_s_54_thru)) + (portRef I0 (instanceRef psum1_signed_s_54)) + )) + (net (rename A1_product_signed_1_55 "A1_product_signed_1(55)") (joined + (portRef O (instanceRef psum1_signed_s_55_thru)) + (portRef I0 (instanceRef psum1_signed_s_55)) + )) + (net (rename A1_product_signed_1_56 "A1_product_signed_1(56)") (joined + (portRef O (instanceRef psum1_signed_s_56_thru)) + (portRef I0 (instanceRef psum1_signed_s_56)) + )) + (net (rename A1_product_signed_1_57 "A1_product_signed_1(57)") (joined + (portRef O (instanceRef psum1_signed_s_57_thru)) + (portRef I0 (instanceRef psum1_signed_s_57)) + )) + (net (rename A1_product_signed_1_58 "A1_product_signed_1(58)") (joined + (portRef O (instanceRef psum1_signed_s_58_thru)) + (portRef I0 (instanceRef psum1_signed_s_58)) + )) + (net (rename A1_product_signed_1_59 "A1_product_signed_1(59)") (joined + (portRef O (instanceRef psum1_signed_s_59_thru)) + (portRef I0 (instanceRef psum1_signed_s_59)) + )) + (net (rename A1_product_signed_1_60 "A1_product_signed_1(60)") (joined + (portRef O (instanceRef psum1_signed_s_60_thru)) + (portRef I0 (instanceRef psum1_signed_s_60)) + )) + (net (rename A1_product_signed_1_61 "A1_product_signed_1(61)") (joined + (portRef O (instanceRef psum1_signed_s_61_thru)) + (portRef I0 (instanceRef psum1_signed_s_61)) + )) + (net (rename A1_product_signed_1_62 "A1_product_signed_1(62)") (joined + (portRef O (instanceRef psum1_signed_s_62_thru)) + (portRef I0 (instanceRef psum1_signed_s_62)) + )) + (net (rename A1_product_signed_1_63 "A1_product_signed_1(63)") (joined + (portRef O (instanceRef psum1_signed_s_63_thru)) + (portRef I0 (instanceRef psum1_signed_s_63)) + )) + (net (rename A1_product_signed_1_64 "A1_product_signed_1(64)") (joined + (portRef O (instanceRef psum1_signed_s_64_thru)) + (portRef I0 (instanceRef psum1_signed_s_64)) + )) + (net (rename A1_product_signed_1_66 "A1_product_signed_1(66)") (joined + (portRef O (instanceRef psum1_signed_s_66_thru)) + (portRef I0 (instanceRef psum1_signed_s_66)) + )) + (net (rename A1_product_signed_0_67 "A1_product_signed_0(67)") (joined + (portRef O (instanceRef psum1_signed_s_69_thru)) + (portRef (member S 2) (instanceRef psum1_signed_s_69)) + )) + (net (rename psum1_savedZ0Z_0 "psum1_saved(0)") (joined + (portRef Q (instanceRef psum1_saved_0)) + (portRef (member psum1_saved 20) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net clk (joined + (portRef clk) + (portRef C (instanceRef data_out_final_35)) + (portRef C (instanceRef data_out_final_34)) + (portRef C (instanceRef data_out_final_33)) + (portRef C (instanceRef data_out_final_32)) + (portRef C (instanceRef data_out_final_31)) + (portRef C (instanceRef data_out_final_30)) + (portRef C (instanceRef data_out_final_29)) + (portRef C (instanceRef data_out_final_28)) + (portRef C (instanceRef data_out_final_27)) + (portRef C (instanceRef data_out_final_26)) + (portRef C (instanceRef data_out_final_25)) + (portRef C (instanceRef data_out_final_24)) + (portRef C (instanceRef data_out_final_23)) + (portRef C (instanceRef data_out_final_22)) + (portRef C (instanceRef data_out_final_21)) + (portRef C (instanceRef data_out_final_20)) + (portRef C (instanceRef data_out_final_19)) + (portRef C (instanceRef data_out_final_18)) + (portRef C (instanceRef data_out_final_17)) + (portRef C (instanceRef data_out_final_16)) + (portRef C (instanceRef data_out_final_15)) + (portRef C (instanceRef data_out_final_14)) + (portRef C (instanceRef data_out_final_13)) + (portRef C (instanceRef data_out_final_12)) + (portRef C (instanceRef data_out_final_11)) + (portRef C (instanceRef data_out_final_10)) + (portRef C (instanceRef data_out_final_9)) + (portRef C (instanceRef data_out_final_8)) + (portRef C (instanceRef data_out_final_7)) + (portRef C (instanceRef data_out_final_6)) + (portRef C (instanceRef data_out_final_5)) + (portRef C (instanceRef data_out_final_4)) + (portRef C (instanceRef data_out_final_3)) + (portRef C (instanceRef data_out_final_2)) + (portRef C (instanceRef data_out_final_1)) + (portRef C (instanceRef data_out_final_0)) + (portRef C (instanceRef saturation_final)) + (portRef C (instanceRef psum1_saved_69)) + (portRef C (instanceRef psum1_saved_68)) + (portRef C (instanceRef psum1_saved_67)) + (portRef C (instanceRef psum1_saved_66)) + (portRef C (instanceRef psum1_saved_65)) + (portRef C (instanceRef psum1_saved_64)) + (portRef C (instanceRef psum1_saved_63)) + (portRef C (instanceRef psum1_saved_62)) + (portRef C (instanceRef psum1_saved_61)) + (portRef C (instanceRef psum1_saved_60)) + (portRef C (instanceRef psum1_saved_59)) + (portRef C (instanceRef psum1_saved_58)) + (portRef C (instanceRef psum1_saved_57)) + (portRef C (instanceRef psum1_saved_56)) + (portRef C (instanceRef psum1_saved_55)) + (portRef C (instanceRef psum1_saved_54)) + (portRef C (instanceRef psum1_saved_53)) + (portRef C (instanceRef psum1_saved_52)) + (portRef C (instanceRef psum1_saved_51)) + (portRef C (instanceRef psum1_saved_50)) + (portRef C (instanceRef psum1_saved_49)) + (portRef C (instanceRef psum1_saved_48)) + (portRef C (instanceRef psum1_saved_47)) + (portRef C (instanceRef psum1_saved_46)) + (portRef C (instanceRef psum1_saved_45)) + (portRef C (instanceRef psum1_saved_44)) + (portRef C (instanceRef psum1_saved_43)) + (portRef C (instanceRef psum1_saved_42)) + (portRef C (instanceRef psum1_saved_41)) + (portRef C (instanceRef psum1_saved_40)) + (portRef C (instanceRef psum1_saved_39)) + (portRef C (instanceRef psum1_saved_38)) + (portRef C (instanceRef psum1_saved_37)) + (portRef C (instanceRef psum1_saved_36)) + (portRef C (instanceRef psum1_saved_35)) + (portRef C (instanceRef psum1_saved_34)) + (portRef C (instanceRef psum1_saved_33)) + (portRef C (instanceRef psum1_saved_32)) + (portRef C (instanceRef psum1_saved_31)) + (portRef C (instanceRef psum1_saved_30)) + (portRef C (instanceRef psum1_saved_29)) + (portRef C (instanceRef psum1_saved_28)) + (portRef C (instanceRef psum1_saved_27)) + (portRef C (instanceRef psum1_saved_26)) + (portRef C (instanceRef psum1_saved_25)) + (portRef C (instanceRef psum1_saved_24)) + (portRef C (instanceRef psum1_saved_23)) + (portRef C (instanceRef psum1_saved_22)) + (portRef C (instanceRef psum1_saved_21)) + (portRef C (instanceRef psum1_saved_20)) + (portRef C (instanceRef psum1_saved_19)) + (portRef C (instanceRef psum1_saved_18)) + (portRef C (instanceRef psum1_saved_17)) + (portRef C (instanceRef psum1_saved_16)) + (portRef C (instanceRef psum1_saved_15)) + (portRef C (instanceRef psum1_saved_14)) + (portRef C (instanceRef psum1_saved_13)) + (portRef C (instanceRef psum1_saved_12)) + (portRef C (instanceRef psum1_saved_11)) + (portRef C (instanceRef psum1_saved_10)) + (portRef C (instanceRef psum1_saved_9)) + (portRef C (instanceRef psum1_saved_8)) + (portRef C (instanceRef psum1_saved_7)) + (portRef C (instanceRef psum1_saved_6)) + (portRef C (instanceRef psum1_saved_5)) + (portRef C (instanceRef psum1_saved_4)) + (portRef C (instanceRef psum1_saved_3)) + (portRef C (instanceRef psum1_saved_2)) + (portRef C (instanceRef psum1_saved_1)) + (portRef C (instanceRef psum1_saved_0)) + )) + (net rstn_i (joined + (portRef rstn_i) + (portRef CLR (instanceRef data_out_final_35)) + (portRef CLR (instanceRef data_out_final_34)) + (portRef CLR (instanceRef data_out_final_33)) + (portRef CLR (instanceRef data_out_final_32)) + (portRef CLR (instanceRef data_out_final_31)) + (portRef CLR (instanceRef data_out_final_30)) + (portRef CLR (instanceRef data_out_final_29)) + (portRef CLR (instanceRef data_out_final_28)) + (portRef CLR (instanceRef data_out_final_27)) + (portRef CLR (instanceRef data_out_final_26)) + (portRef CLR (instanceRef data_out_final_25)) + (portRef CLR (instanceRef data_out_final_24)) + (portRef CLR (instanceRef data_out_final_23)) + (portRef CLR (instanceRef data_out_final_22)) + (portRef CLR (instanceRef data_out_final_21)) + (portRef CLR (instanceRef data_out_final_20)) + (portRef CLR (instanceRef data_out_final_19)) + (portRef CLR (instanceRef data_out_final_18)) + (portRef CLR (instanceRef data_out_final_17)) + (portRef CLR (instanceRef data_out_final_16)) + (portRef CLR (instanceRef data_out_final_15)) + (portRef CLR (instanceRef data_out_final_14)) + (portRef CLR (instanceRef data_out_final_13)) + (portRef CLR (instanceRef data_out_final_12)) + (portRef CLR (instanceRef data_out_final_11)) + (portRef CLR (instanceRef data_out_final_10)) + (portRef CLR (instanceRef data_out_final_9)) + (portRef CLR (instanceRef data_out_final_8)) + (portRef CLR (instanceRef data_out_final_7)) + (portRef CLR (instanceRef data_out_final_6)) + (portRef CLR (instanceRef data_out_final_5)) + (portRef CLR (instanceRef data_out_final_4)) + (portRef CLR (instanceRef data_out_final_3)) + (portRef CLR (instanceRef data_out_final_2)) + (portRef CLR (instanceRef data_out_final_1)) + (portRef CLR (instanceRef data_out_final_0)) + (portRef CLR (instanceRef saturation_final)) + (portRef CLR (instanceRef psum1_saved_69)) + (portRef CLR (instanceRef psum1_saved_68)) + (portRef CLR (instanceRef psum1_saved_67)) + (portRef CLR (instanceRef psum1_saved_66)) + (portRef CLR (instanceRef psum1_saved_65)) + (portRef CLR (instanceRef psum1_saved_64)) + (portRef CLR (instanceRef psum1_saved_63)) + (portRef CLR (instanceRef psum1_saved_62)) + (portRef CLR (instanceRef psum1_saved_61)) + (portRef CLR (instanceRef psum1_saved_60)) + (portRef CLR (instanceRef psum1_saved_59)) + (portRef CLR (instanceRef psum1_saved_58)) + (portRef CLR (instanceRef psum1_saved_57)) + (portRef CLR (instanceRef psum1_saved_56)) + (portRef CLR (instanceRef psum1_saved_55)) + (portRef CLR (instanceRef psum1_saved_54)) + (portRef CLR (instanceRef psum1_saved_53)) + (portRef CLR (instanceRef psum1_saved_52)) + (portRef CLR (instanceRef psum1_saved_51)) + (portRef CLR (instanceRef psum1_saved_50)) + (portRef CLR (instanceRef psum1_saved_49)) + (portRef CLR (instanceRef psum1_saved_48)) + (portRef CLR (instanceRef psum1_saved_47)) + (portRef CLR (instanceRef psum1_saved_46)) + (portRef CLR (instanceRef psum1_saved_45)) + (portRef CLR (instanceRef psum1_saved_44)) + (portRef CLR (instanceRef psum1_saved_43)) + (portRef CLR (instanceRef psum1_saved_42)) + (portRef CLR (instanceRef psum1_saved_41)) + (portRef CLR (instanceRef psum1_saved_40)) + (portRef CLR (instanceRef psum1_saved_39)) + (portRef CLR (instanceRef psum1_saved_38)) + (portRef CLR (instanceRef psum1_saved_37)) + (portRef CLR (instanceRef psum1_saved_36)) + (portRef CLR (instanceRef psum1_saved_35)) + (portRef CLR (instanceRef psum1_saved_34)) + (portRef CLR (instanceRef psum1_saved_33)) + (portRef CLR (instanceRef psum1_saved_32)) + (portRef CLR (instanceRef psum1_saved_31)) + (portRef CLR (instanceRef psum1_saved_30)) + (portRef CLR (instanceRef psum1_saved_29)) + (portRef CLR (instanceRef psum1_saved_28)) + (portRef CLR (instanceRef psum1_saved_27)) + (portRef CLR (instanceRef psum1_saved_26)) + (portRef CLR (instanceRef psum1_saved_25)) + (portRef CLR (instanceRef psum1_saved_24)) + (portRef CLR (instanceRef psum1_saved_23)) + (portRef CLR (instanceRef psum1_saved_22)) + (portRef CLR (instanceRef psum1_saved_21)) + (portRef CLR (instanceRef psum1_saved_20)) + (portRef CLR (instanceRef psum1_saved_19)) + (portRef CLR (instanceRef psum1_saved_18)) + (portRef CLR (instanceRef psum1_saved_17)) + (portRef CLR (instanceRef psum1_saved_16)) + (portRef CLR (instanceRef psum1_saved_15)) + (portRef CLR (instanceRef psum1_saved_14)) + (portRef CLR (instanceRef psum1_saved_13)) + (portRef CLR (instanceRef psum1_saved_12)) + (portRef CLR (instanceRef psum1_saved_11)) + (portRef CLR (instanceRef psum1_saved_10)) + (portRef CLR (instanceRef psum1_saved_9)) + (portRef CLR (instanceRef psum1_saved_8)) + (portRef CLR (instanceRef psum1_saved_7)) + (portRef CLR (instanceRef psum1_saved_6)) + (portRef CLR (instanceRef psum1_saved_5)) + (portRef CLR (instanceRef psum1_saved_4)) + (portRef CLR (instanceRef psum1_saved_3)) + (portRef CLR (instanceRef psum1_saved_2)) + (portRef CLR (instanceRef psum1_saved_1)) + (portRef CLR (instanceRef psum1_saved_0)) + )) + (net (rename psum1_savedZ0Z_1 "psum1_saved(1)") (joined + (portRef Q (instanceRef psum1_saved_1)) + (portRef (member psum1_saved 19) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_2 "psum1_saved(2)") (joined + (portRef Q (instanceRef psum1_saved_2)) + (portRef (member psum1_saved 18) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_3 "psum1_saved(3)") (joined + (portRef Q (instanceRef psum1_saved_3)) + (portRef (member psum1_saved 17) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_4 "psum1_saved(4)") (joined + (portRef Q (instanceRef psum1_saved_4)) + (portRef (member psum1_saved 16) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_5 "psum1_saved(5)") (joined + (portRef Q (instanceRef psum1_saved_5)) + (portRef (member psum1_saved 15) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_6 "psum1_saved(6)") (joined + (portRef Q (instanceRef psum1_saved_6)) + (portRef (member psum1_saved 14) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_7 "psum1_saved(7)") (joined + (portRef Q (instanceRef psum1_saved_7)) + (portRef (member psum1_saved 13) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_8 "psum1_saved(8)") (joined + (portRef Q (instanceRef psum1_saved_8)) + (portRef (member psum1_saved 12) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_9 "psum1_saved(9)") (joined + (portRef Q (instanceRef psum1_saved_9)) + (portRef (member psum1_saved 11) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_10 "psum1_saved(10)") (joined + (portRef Q (instanceRef psum1_saved_10)) + (portRef (member psum1_saved 10) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_11 "psum1_saved(11)") (joined + (portRef Q (instanceRef psum1_saved_11)) + (portRef (member psum1_saved 9) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_12 "psum1_saved(12)") (joined + (portRef Q (instanceRef psum1_saved_12)) + (portRef (member psum1_saved 8) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_13 "psum1_saved(13)") (joined + (portRef Q (instanceRef psum1_saved_13)) + (portRef (member psum1_saved 7) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_14 "psum1_saved(14)") (joined + (portRef Q (instanceRef psum1_saved_14)) + (portRef (member psum1_saved 6) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_15 "psum1_saved(15)") (joined + (portRef Q (instanceRef psum1_saved_15)) + (portRef (member psum1_saved 5) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_16 "psum1_saved(16)") (joined + (portRef Q (instanceRef psum1_saved_16)) + (portRef (member psum1_saved 4) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_17 "psum1_saved(17)") (joined + (portRef Q (instanceRef psum1_saved_17)) + (portRef (member psum1_saved 3) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_18 "psum1_saved(18)") (joined + (portRef Q (instanceRef psum1_saved_18)) + (portRef (member psum1_saved 2) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_19 "psum1_saved(19)") (joined + (portRef Q (instanceRef psum1_saved_19)) + (portRef (member psum1_saved 1) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_20 "psum1_saved(20)") (joined + (portRef Q (instanceRef psum1_saved_20)) + (portRef (member psum1_saved 0) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_23)) + (portRef I0 (instanceRef un1_psum0_signed_axb_20)) + )) + (net (rename psum1_savedZ0Z_21 "psum1_saved(21)") (joined + (portRef Q (instanceRef psum1_saved_21)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_23)) + (portRef I0 (instanceRef un1_psum0_signed_axb_21)) + )) + (net (rename psum1_savedZ0Z_22 "psum1_saved(22)") (joined + (portRef Q (instanceRef psum1_saved_22)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_23)) + (portRef I0 (instanceRef un1_psum0_signed_axb_22)) + )) + (net (rename psum1_savedZ0Z_23 "psum1_saved(23)") (joined + (portRef Q (instanceRef psum1_saved_23)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_23)) + (portRef I0 (instanceRef un1_psum0_signed_axb_23)) + )) + (net (rename psum1_savedZ0Z_24 "psum1_saved(24)") (joined + (portRef Q (instanceRef psum1_saved_24)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_27)) + (portRef I0 (instanceRef un1_psum0_signed_axb_24)) + )) + (net (rename psum1_savedZ0Z_25 "psum1_saved(25)") (joined + (portRef Q (instanceRef psum1_saved_25)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_27)) + (portRef I0 (instanceRef un1_psum0_signed_axb_25)) + )) + (net (rename psum1_savedZ0Z_26 "psum1_saved(26)") (joined + (portRef Q (instanceRef psum1_saved_26)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_27)) + (portRef I0 (instanceRef un1_psum0_signed_axb_26)) + )) + (net (rename psum1_savedZ0Z_27 "psum1_saved(27)") (joined + (portRef Q (instanceRef psum1_saved_27)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_27)) + (portRef I0 (instanceRef un1_psum0_signed_axb_27)) + )) + (net (rename psum1_savedZ0Z_28 "psum1_saved(28)") (joined + (portRef Q (instanceRef psum1_saved_28)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_31)) + (portRef I0 (instanceRef un1_psum0_signed_axb_28)) + )) + (net (rename psum1_savedZ0Z_29 "psum1_saved(29)") (joined + (portRef Q (instanceRef psum1_saved_29)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_31)) + (portRef I0 (instanceRef un1_psum0_signed_axb_29)) + )) + (net (rename psum1_savedZ0Z_30 "psum1_saved(30)") (joined + (portRef Q (instanceRef psum1_saved_30)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_31)) + (portRef I0 (instanceRef un1_psum0_signed_axb_30)) + )) + (net (rename psum1_savedZ0Z_31 "psum1_saved(31)") (joined + (portRef Q (instanceRef psum1_saved_31)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_31)) + (portRef I0 (instanceRef un1_psum0_signed_axb_31)) + )) + (net (rename psum1_savedZ0Z_32 "psum1_saved(32)") (joined + (portRef Q (instanceRef psum1_saved_32)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_35)) + (portRef I0 (instanceRef un1_psum0_signed_axb_32)) + )) + (net (rename psum1_savedZ0Z_33 "psum1_saved(33)") (joined + (portRef Q (instanceRef psum1_saved_33)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_35)) + (portRef I0 (instanceRef un1_psum0_signed_axb_33)) + )) + (net (rename psum1_savedZ0Z_34 "psum1_saved(34)") (joined + (portRef Q (instanceRef psum1_saved_34)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_35)) + (portRef I0 (instanceRef un1_psum0_signed_axb_34)) + )) + (net (rename psum1_savedZ0Z_35 "psum1_saved(35)") (joined + (portRef Q (instanceRef psum1_saved_35)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_35)) + (portRef I0 (instanceRef un1_psum0_signed_axb_35)) + )) + (net (rename psum1_savedZ0Z_36 "psum1_saved(36)") (joined + (portRef Q (instanceRef psum1_saved_36)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_39)) + (portRef I0 (instanceRef un1_psum0_signed_axb_36)) + )) + (net (rename psum1_savedZ0Z_37 "psum1_saved(37)") (joined + (portRef Q (instanceRef psum1_saved_37)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_39)) + (portRef I0 (instanceRef un1_psum0_signed_axb_37)) + )) + (net (rename psum1_savedZ0Z_38 "psum1_saved(38)") (joined + (portRef Q (instanceRef psum1_saved_38)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_39)) + (portRef I0 (instanceRef un1_psum0_signed_axb_38)) + )) + (net (rename psum1_savedZ0Z_39 "psum1_saved(39)") (joined + (portRef Q (instanceRef psum1_saved_39)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_39)) + (portRef I0 (instanceRef un1_psum0_signed_axb_39)) + )) + (net (rename psum1_savedZ0Z_40 "psum1_saved(40)") (joined + (portRef Q (instanceRef psum1_saved_40)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_43)) + (portRef I0 (instanceRef un1_psum0_signed_axb_40)) + )) + (net (rename psum1_savedZ0Z_41 "psum1_saved(41)") (joined + (portRef Q (instanceRef psum1_saved_41)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_43)) + (portRef I0 (instanceRef un1_psum0_signed_axb_41)) + )) + (net (rename psum1_savedZ0Z_42 "psum1_saved(42)") (joined + (portRef Q (instanceRef psum1_saved_42)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_43)) + (portRef I0 (instanceRef un1_psum0_signed_axb_42)) + )) + (net (rename psum1_savedZ0Z_43 "psum1_saved(43)") (joined + (portRef Q (instanceRef psum1_saved_43)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_43)) + (portRef I0 (instanceRef un1_psum0_signed_axb_43)) + )) + (net (rename psum1_savedZ0Z_44 "psum1_saved(44)") (joined + (portRef Q (instanceRef psum1_saved_44)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_47)) + (portRef I0 (instanceRef un1_psum0_signed_axb_44)) + )) + (net (rename psum1_savedZ0Z_45 "psum1_saved(45)") (joined + (portRef Q (instanceRef psum1_saved_45)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_47)) + (portRef I0 (instanceRef un1_psum0_signed_axb_45)) + )) + (net (rename psum1_savedZ0Z_46 "psum1_saved(46)") (joined + (portRef Q (instanceRef psum1_saved_46)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_47)) + (portRef I0 (instanceRef un1_psum0_signed_axb_46)) + )) + (net (rename psum1_savedZ0Z_47 "psum1_saved(47)") (joined + (portRef Q (instanceRef psum1_saved_47)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_47)) + (portRef I0 (instanceRef un1_psum0_signed_axb_47)) + )) + (net (rename psum1_savedZ0Z_48 "psum1_saved(48)") (joined + (portRef Q (instanceRef psum1_saved_48)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_51)) + (portRef I0 (instanceRef un1_psum0_signed_axb_48)) + )) + (net (rename psum1_savedZ0Z_49 "psum1_saved(49)") (joined + (portRef Q (instanceRef psum1_saved_49)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_51)) + (portRef I0 (instanceRef un1_psum0_signed_axb_49)) + )) + (net (rename psum1_savedZ0Z_50 "psum1_saved(50)") (joined + (portRef Q (instanceRef psum1_saved_50)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_51)) + (portRef I0 (instanceRef un1_psum0_signed_axb_50)) + )) + (net (rename psum1_savedZ0Z_51 "psum1_saved(51)") (joined + (portRef Q (instanceRef psum1_saved_51)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_51)) + (portRef I0 (instanceRef un1_psum0_signed_axb_51)) + )) + (net (rename psum1_savedZ0Z_52 "psum1_saved(52)") (joined + (portRef Q (instanceRef psum1_saved_52)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_55)) + (portRef I0 (instanceRef un1_psum0_signed_axb_52)) + )) + (net (rename psum1_savedZ0Z_53 "psum1_saved(53)") (joined + (portRef Q (instanceRef psum1_saved_53)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_55)) + (portRef I0 (instanceRef un1_psum0_signed_axb_53)) + )) + (net (rename psum1_savedZ0Z_54 "psum1_saved(54)") (joined + (portRef Q (instanceRef psum1_saved_54)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_55)) + (portRef I0 (instanceRef un1_psum0_signed_axb_54)) + )) + (net (rename psum1_savedZ0Z_55 "psum1_saved(55)") (joined + (portRef Q (instanceRef psum1_saved_55)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_55)) + (portRef I0 (instanceRef un1_psum0_signed_axb_55)) + )) + (net (rename psum1_savedZ0Z_56 "psum1_saved(56)") (joined + (portRef Q (instanceRef psum1_saved_56)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_59)) + (portRef I0 (instanceRef un1_psum0_signed_axb_56)) + )) + (net (rename psum1_savedZ0Z_57 "psum1_saved(57)") (joined + (portRef Q (instanceRef psum1_saved_57)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_59)) + (portRef I0 (instanceRef un1_psum0_signed_axb_57)) + )) + (net (rename psum1_savedZ0Z_58 "psum1_saved(58)") (joined + (portRef Q (instanceRef psum1_saved_58)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_59)) + (portRef I0 (instanceRef un1_psum0_signed_axb_58)) + )) + (net (rename psum1_savedZ0Z_59 "psum1_saved(59)") (joined + (portRef Q (instanceRef psum1_saved_59)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_59)) + (portRef I0 (instanceRef un1_psum0_signed_axb_59)) + )) + (net (rename psum1_savedZ0Z_60 "psum1_saved(60)") (joined + (portRef Q (instanceRef psum1_saved_60)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_63)) + (portRef I0 (instanceRef un1_psum0_signed_axb_60)) + )) + (net (rename psum1_savedZ0Z_61 "psum1_saved(61)") (joined + (portRef Q (instanceRef psum1_saved_61)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_63)) + (portRef I0 (instanceRef un1_psum0_signed_axb_61)) + )) + (net (rename psum1_savedZ0Z_62 "psum1_saved(62)") (joined + (portRef Q (instanceRef psum1_saved_62)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_63)) + (portRef I0 (instanceRef un1_psum0_signed_axb_62)) + )) + (net (rename psum1_savedZ0Z_63 "psum1_saved(63)") (joined + (portRef Q (instanceRef psum1_saved_63)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_63)) + (portRef I0 (instanceRef un1_psum0_signed_axb_63)) + )) + (net (rename psum1_savedZ0Z_64 "psum1_saved(64)") (joined + (portRef Q (instanceRef psum1_saved_64)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_67)) + (portRef I0 (instanceRef un1_psum0_signed_axb_64)) + )) + (net (rename psum1_savedZ0Z_65 "psum1_saved(65)") (joined + (portRef Q (instanceRef psum1_saved_65)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_67)) + (portRef I0 (instanceRef un1_psum0_signed_axb_65)) + )) + (net (rename psum1_savedZ0Z_66 "psum1_saved(66)") (joined + (portRef Q (instanceRef psum1_saved_66)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_67)) + (portRef I0 (instanceRef un1_psum0_signed_axb_66)) + )) + (net (rename psum1_savedZ0Z_67 "psum1_saved(67)") (joined + (portRef Q (instanceRef psum1_saved_67)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_67)) + (portRef I0 (instanceRef un1_psum0_signed_axb_67)) + )) + (net (rename psum1_savedZ0Z_68 "psum1_saved(68)") (joined + (portRef Q (instanceRef psum1_saved_68)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_s_70)) + (portRef I0 (instanceRef un1_psum0_signed_axb_68)) + )) + (net saturation_4 (joined + (portRef Q (instanceRef saturation_final)) + (portRef saturation_4) + )) + (net N_68_i (joined + (portRef N_68_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef saturation_final)) + )) + (net (rename dout_4_0 "dout_4(0)") (joined + (portRef Q (instanceRef data_out_final_0)) + (portRef (member dout_4 35)) + )) + (net (rename data_out_final_3_0 "data_out_final_3(0)") (joined + (portRef (member data_out_final_3 22) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_0)) + )) + (net (rename dout_4_1 "dout_4(1)") (joined + (portRef Q (instanceRef data_out_final_1)) + (portRef (member dout_4 34)) + )) + (net N_46_i (joined + (portRef N_46_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_1)) + )) + (net (rename dout_4_2 "dout_4(2)") (joined + (portRef Q (instanceRef data_out_final_2)) + (portRef (member dout_4 33)) + )) + (net (rename data_out_final_3_2 "data_out_final_3(2)") (joined + (portRef (member data_out_final_3 20) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_2)) + )) + (net (rename dout_4_3 "dout_4(3)") (joined + (portRef Q (instanceRef data_out_final_3)) + (portRef (member dout_4 32)) + )) + (net (rename data_out_final_3_3 "data_out_final_3(3)") (joined + (portRef (member data_out_final_3 19) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_3)) + )) + (net (rename dout_4_4 "dout_4(4)") (joined + (portRef Q (instanceRef data_out_final_4)) + (portRef (member dout_4 31)) + )) + (net (rename data_out_final_3_4 "data_out_final_3(4)") (joined + (portRef (member data_out_final_3 18) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_4)) + )) + (net (rename dout_4_5 "dout_4(5)") (joined + (portRef Q (instanceRef data_out_final_5)) + (portRef (member dout_4 30)) + )) + (net N_42_i (joined + (portRef N_42_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_5)) + )) + (net (rename dout_4_6 "dout_4(6)") (joined + (portRef Q (instanceRef data_out_final_6)) + (portRef (member dout_4 29)) + )) + (net N_38_i (joined + (portRef N_38_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_6)) + )) + (net (rename dout_4_7 "dout_4(7)") (joined + (portRef Q (instanceRef data_out_final_7)) + (portRef (member dout_4 28)) + )) + (net (rename data_out_final_3_7 "data_out_final_3(7)") (joined + (portRef (member data_out_final_3 15) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_7)) + )) + (net (rename dout_4_8 "dout_4(8)") (joined + (portRef Q (instanceRef data_out_final_8)) + (portRef (member dout_4 27)) + )) + (net (rename data_out_final_3_8 "data_out_final_3(8)") (joined + (portRef (member data_out_final_3 14) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_8)) + )) + (net (rename dout_4_9 "dout_4(9)") (joined + (portRef Q (instanceRef data_out_final_9)) + (portRef (member dout_4 26)) + )) + (net (rename data_out_final_3_9 "data_out_final_3(9)") (joined + (portRef (member data_out_final_3 13) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_9)) + )) + (net (rename dout_4_10 "dout_4(10)") (joined + (portRef Q (instanceRef data_out_final_10)) + (portRef (member dout_4 25)) + )) + (net (rename data_out_final_3_10 "data_out_final_3(10)") (joined + (portRef (member data_out_final_3 12) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_10)) + )) + (net (rename dout_4_11 "dout_4(11)") (joined + (portRef Q (instanceRef data_out_final_11)) + (portRef (member dout_4 24)) + )) + (net N_45_i (joined + (portRef N_45_i (instanceRef gO_4_11_MUX12)) + (portRef D (instanceRef data_out_final_11)) + )) + (net (rename dout_4_12 "dout_4(12)") (joined + (portRef Q (instanceRef data_out_final_12)) + (portRef (member dout_4 23)) + )) + (net (rename data_out_final_3_12 "data_out_final_3(12)") (joined + (portRef (member data_out_final_3 10) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_12)) + )) + (net (rename dout_4_13 "dout_4(13)") (joined + (portRef Q (instanceRef data_out_final_13)) + (portRef (member dout_4 22)) + )) + (net (rename data_out_final_3_13 "data_out_final_3(13)") (joined + (portRef (member data_out_final_3 9) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_13)) + )) + (net (rename dout_4_14 "dout_4(14)") (joined + (portRef Q (instanceRef data_out_final_14)) + (portRef (member dout_4 21)) + )) + (net (rename data_out_final_3_14 "data_out_final_3(14)") (joined + (portRef (member data_out_final_3 8) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_14)) + )) + (net (rename dout_4_15 "dout_4(15)") (joined + (portRef Q (instanceRef data_out_final_15)) + (portRef (member dout_4 20)) + )) + (net (rename data_out_final_3_15 "data_out_final_3(15)") (joined + (portRef (member data_out_final_3 7) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_15)) + )) + (net (rename dout_4_16 "dout_4(16)") (joined + (portRef Q (instanceRef data_out_final_16)) + (portRef (member dout_4 19)) + )) + (net N_34_i (joined + (portRef N_34_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_16)) + )) + (net (rename dout_4_17 "dout_4(17)") (joined + (portRef Q (instanceRef data_out_final_17)) + (portRef (member dout_4 18)) + )) + (net N_30_i (joined + (portRef N_30_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_17)) + )) + (net (rename dout_4_18 "dout_4(18)") (joined + (portRef Q (instanceRef data_out_final_18)) + (portRef (member dout_4 17)) + )) + (net N_26_i (joined + (portRef N_26_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_18)) + )) + (net (rename dout_4_19 "dout_4(19)") (joined + (portRef Q (instanceRef data_out_final_19)) + (portRef (member dout_4 16)) + )) + (net (rename data_out_final_3_19 "data_out_final_3(19)") (joined + (portRef (member data_out_final_3 3) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_19)) + )) + (net (rename dout_4_20 "dout_4(20)") (joined + (portRef Q (instanceRef data_out_final_20)) + (portRef (member dout_4 15)) + )) + (net N_22_i (joined + (portRef N_22_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_20)) + )) + (net (rename dout_4_21 "dout_4(21)") (joined + (portRef Q (instanceRef data_out_final_21)) + (portRef (member dout_4 14)) + )) + (net N_18_i (joined + (portRef N_18_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_21)) + )) + (net (rename dout_4_22 "dout_4(22)") (joined + (portRef Q (instanceRef data_out_final_22)) + (portRef (member dout_4 13)) + )) + (net (rename data_out_final_3_22 "data_out_final_3(22)") (joined + (portRef (member data_out_final_3 0) (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_22)) + )) + (net (rename dout_4_23 "dout_4(23)") (joined + (portRef Q (instanceRef data_out_final_23)) + (portRef (member dout_4 12)) + )) + (net N_49_i (joined + (portRef N_49_i (instanceRef gO_4_11_MUX12)) + (portRef D (instanceRef data_out_final_23)) + )) + (net (rename dout_4_24 "dout_4(24)") (joined + (portRef Q (instanceRef data_out_final_24)) + (portRef (member dout_4 11)) + )) + (net N_53_i (joined + (portRef N_53_i (instanceRef gO_4_11_MUX12)) + (portRef D (instanceRef data_out_final_24)) + )) + (net (rename dout_4_25 "dout_4(25)") (joined + (portRef Q (instanceRef data_out_final_25)) + (portRef (member dout_4 10)) + )) + (net N_57_i (joined + (portRef N_57_i (instanceRef gO_4_11_MUX12)) + (portRef D (instanceRef data_out_final_25)) + )) + (net (rename dout_4_26 "dout_4(26)") (joined + (portRef Q (instanceRef data_out_final_26)) + (portRef (member dout_4 9)) + )) + (net N_61_i (joined + (portRef N_61_i (instanceRef gO_4_11_MUX12)) + (portRef D (instanceRef data_out_final_26)) + )) + (net (rename dout_4_27 "dout_4(27)") (joined + (portRef Q (instanceRef data_out_final_27)) + (portRef (member dout_4 8)) + )) + (net N_65_i (joined + (portRef N_65_i (instanceRef gO_4_11_MUX12)) + (portRef D (instanceRef data_out_final_27)) + )) + (net (rename dout_4_28 "dout_4(28)") (joined + (portRef Q (instanceRef data_out_final_28)) + (portRef (member dout_4 7)) + )) + (net data_out_final_3_6_0 (joined + (portRef data_out_final_3_6_0 (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_28)) + )) + (net (rename dout_4_29 "dout_4(29)") (joined + (portRef Q (instanceRef data_out_final_29)) + (portRef (member dout_4 6)) + )) + (net N_14_i (joined + (portRef N_14_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_29)) + )) + (net (rename dout_4_30 "dout_4(30)") (joined + (portRef Q (instanceRef data_out_final_30)) + (portRef (member dout_4 5)) + )) + (net N_75_i (joined + (portRef N_75_i (instanceRef gO_4_11_MUX12)) + (portRef D (instanceRef data_out_final_30)) + )) + (net (rename dout_4_31 "dout_4(31)") (joined + (portRef Q (instanceRef data_out_final_31)) + (portRef (member dout_4 4)) + )) + (net N_10_i (joined + (portRef N_10_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_31)) + )) + (net (rename dout_4_32 "dout_4(32)") (joined + (portRef Q (instanceRef data_out_final_32)) + (portRef (member dout_4 3)) + )) + (net N_77_i (joined + (portRef N_77_i (instanceRef gO_4_11_MUX12)) + (portRef D (instanceRef data_out_final_32)) + )) + (net (rename dout_4_33 "dout_4(33)") (joined + (portRef Q (instanceRef data_out_final_33)) + (portRef (member dout_4 2)) + )) + (net N_153_i (joined + (portRef N_153_i (instanceRef gO_4_11_MUX12)) + (portRef D (instanceRef data_out_final_33)) + )) + (net (rename dout_4_34 "dout_4(34)") (joined + (portRef Q (instanceRef data_out_final_34)) + (portRef (member dout_4 1)) + )) + (net N_6_i (joined + (portRef N_6_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_34)) + )) + (net (rename dout_4_35 "dout_4(35)") (joined + (portRef Q (instanceRef data_out_final_35)) + (portRef (member dout_4 0)) + )) + (net data_out_final_3_35 (joined + (portRef data_out_final_3_35 (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_35)) + )) + (net psum1_signed_cry_65 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_67)) + (portRef I1 (instanceRef psum1_signed_s_66)) + )) + (net (rename psum1_signed_cryZ0Z_63 "psum1_signed_cry_63") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_63)) + (portRef CI (instanceRef psum1_signed_cry_67)) + (portRef I1 (instanceRef psum1_signed_s_64)) + )) + (net psum1_signed_cry_62 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_63)) + (portRef I1 (instanceRef psum1_signed_s_63)) + )) + (net psum1_signed_cry_61 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_63)) + (portRef I1 (instanceRef psum1_signed_s_62)) + )) + (net psum1_signed_cry_60 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_63)) + (portRef I1 (instanceRef psum1_signed_s_61)) + )) + (net (rename psum1_signed_cryZ0Z_59 "psum1_signed_cry_59") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_59)) + (portRef CI (instanceRef psum1_signed_cry_63)) + (portRef I1 (instanceRef psum1_signed_s_60)) + )) + (net psum1_signed_cry_58 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_59)) + (portRef I1 (instanceRef psum1_signed_s_59)) + )) + (net psum1_signed_cry_57 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_59)) + (portRef I1 (instanceRef psum1_signed_s_58)) + )) + (net psum1_signed_cry_56 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_59)) + (portRef I1 (instanceRef psum1_signed_s_57)) + )) + (net (rename psum1_signed_cryZ0Z_55 "psum1_signed_cry_55") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_55)) + (portRef CI (instanceRef psum1_signed_cry_59)) + (portRef I1 (instanceRef psum1_signed_s_56)) + )) + (net psum1_signed_cry_54 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_55)) + (portRef I1 (instanceRef psum1_signed_s_55)) + )) + (net psum1_signed_cry_53 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_55)) + (portRef I1 (instanceRef psum1_signed_s_54)) + )) + (net psum1_signed_cry_52 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_55)) + (portRef I1 (instanceRef psum1_signed_s_53)) + )) + (net (rename psum1_signed_cryZ0Z_51 "psum1_signed_cry_51") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_51)) + (portRef CI (instanceRef psum1_signed_cry_55)) + (portRef I1 (instanceRef psum1_signed_s_52)) + )) + (net psum1_signed_cry_50 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_51)) + (portRef I1 (instanceRef psum1_signed_s_51)) + )) + (net psum1_signed_cry_49 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_51)) + (portRef I1 (instanceRef psum1_signed_s_50)) + )) + (net psum1_signed_cry_48 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_51)) + (portRef I1 (instanceRef psum1_signed_s_49)) + )) + (net (rename psum1_signed_cryZ0Z_47 "psum1_signed_cry_47") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_47)) + (portRef CI (instanceRef psum1_signed_cry_51)) + (portRef I1 (instanceRef psum1_signed_s_48)) + )) + (net psum1_signed_cry_46 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_47)) + (portRef I1 (instanceRef psum1_signed_s_47)) + )) + (net psum1_signed_cry_45 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_47)) + (portRef I1 (instanceRef psum1_signed_s_46)) + )) + (net psum1_signed_cry_44 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_47)) + (portRef I1 (instanceRef psum1_signed_s_45)) + )) + (net (rename psum1_signed_cryZ0Z_43 "psum1_signed_cry_43") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_43)) + (portRef CI (instanceRef psum1_signed_cry_47)) + (portRef I1 (instanceRef psum1_signed_s_44)) + )) + (net (rename un1_psum0_signed_axbZ0Z_69 "un1_psum0_signed_axb_69") (joined + (portRef O (instanceRef un1_psum0_signed_axb_69)) + (portRef (member S 2) (instanceRef un1_psum0_signed_s_70)) + )) + (net (rename un1_psum0_signed_axbZ0Z_68 "un1_psum0_signed_axb_68") (joined + (portRef O (instanceRef un1_psum0_signed_axb_68)) + (portRef (member S 3) (instanceRef un1_psum0_signed_s_70)) + )) + (net (rename un1_psum0_signed_axbZ0Z_67 "un1_psum0_signed_axb_67") (joined + (portRef O (instanceRef un1_psum0_signed_axb_67)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_67)) + )) + (net (rename un1_psum0_signed_axbZ0Z_66 "un1_psum0_signed_axb_66") (joined + (portRef O (instanceRef un1_psum0_signed_axb_66)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_67)) + )) + (net (rename un1_psum0_signed_axbZ0Z_65 "un1_psum0_signed_axb_65") (joined + (portRef O (instanceRef un1_psum0_signed_axb_65)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_67)) + )) + (net (rename un1_psum0_signed_axbZ0Z_64 "un1_psum0_signed_axb_64") (joined + (portRef O (instanceRef un1_psum0_signed_axb_64)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_67)) + )) + (net (rename un1_psum0_signed_axbZ0Z_63 "un1_psum0_signed_axb_63") (joined + (portRef O (instanceRef un1_psum0_signed_axb_63)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_63)) + )) + (net (rename un1_psum0_signed_axbZ0Z_62 "un1_psum0_signed_axb_62") (joined + (portRef O (instanceRef un1_psum0_signed_axb_62)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_63)) + )) + (net (rename un1_psum0_signed_axbZ0Z_61 "un1_psum0_signed_axb_61") (joined + (portRef O (instanceRef un1_psum0_signed_axb_61)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_63)) + )) + (net (rename un1_psum0_signed_axbZ0Z_60 "un1_psum0_signed_axb_60") (joined + (portRef O (instanceRef un1_psum0_signed_axb_60)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_63)) + )) + (net (rename un1_psum0_signed_axbZ0Z_59 "un1_psum0_signed_axb_59") (joined + (portRef O (instanceRef un1_psum0_signed_axb_59)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_59)) + )) + (net (rename un1_psum0_signed_axbZ0Z_58 "un1_psum0_signed_axb_58") (joined + (portRef O (instanceRef un1_psum0_signed_axb_58)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_59)) + )) + (net (rename un1_psum0_signed_axbZ0Z_57 "un1_psum0_signed_axb_57") (joined + (portRef O (instanceRef un1_psum0_signed_axb_57)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_59)) + )) + (net (rename un1_psum0_signed_axbZ0Z_56 "un1_psum0_signed_axb_56") (joined + (portRef O (instanceRef un1_psum0_signed_axb_56)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_59)) + )) + (net (rename un1_psum0_signed_axbZ0Z_55 "un1_psum0_signed_axb_55") (joined + (portRef O (instanceRef un1_psum0_signed_axb_55)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_55)) + )) + (net (rename un1_psum0_signed_axbZ0Z_54 "un1_psum0_signed_axb_54") (joined + (portRef O (instanceRef un1_psum0_signed_axb_54)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_55)) + )) + (net (rename un1_psum0_signed_axbZ0Z_53 "un1_psum0_signed_axb_53") (joined + (portRef O (instanceRef un1_psum0_signed_axb_53)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_55)) + )) + (net (rename un1_psum0_signed_axbZ0Z_52 "un1_psum0_signed_axb_52") (joined + (portRef O (instanceRef un1_psum0_signed_axb_52)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_55)) + )) + (net (rename un1_psum0_signed_axbZ0Z_51 "un1_psum0_signed_axb_51") (joined + (portRef O (instanceRef un1_psum0_signed_axb_51)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_51)) + )) + (net (rename un1_psum0_signed_axbZ0Z_50 "un1_psum0_signed_axb_50") (joined + (portRef O (instanceRef un1_psum0_signed_axb_50)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_51)) + )) + (net (rename un1_psum0_signed_axbZ0Z_49 "un1_psum0_signed_axb_49") (joined + (portRef O (instanceRef un1_psum0_signed_axb_49)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_51)) + )) + (net (rename un1_psum0_signed_axbZ0Z_48 "un1_psum0_signed_axb_48") (joined + (portRef O (instanceRef un1_psum0_signed_axb_48)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_51)) + )) + (net (rename un1_psum0_signed_axbZ0Z_47 "un1_psum0_signed_axb_47") (joined + (portRef O (instanceRef un1_psum0_signed_axb_47)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_47)) + )) + (net (rename un1_psum0_signed_axbZ0Z_46 "un1_psum0_signed_axb_46") (joined + (portRef O (instanceRef un1_psum0_signed_axb_46)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_47)) + )) + (net (rename un1_psum0_signed_axbZ0Z_45 "un1_psum0_signed_axb_45") (joined + (portRef O (instanceRef un1_psum0_signed_axb_45)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_47)) + )) + (net (rename un1_psum0_signed_axbZ0Z_44 "un1_psum0_signed_axb_44") (joined + (portRef O (instanceRef un1_psum0_signed_axb_44)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_47)) + )) + (net (rename un1_psum0_signed_axbZ0Z_43 "un1_psum0_signed_axb_43") (joined + (portRef O (instanceRef un1_psum0_signed_axb_43)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_43)) + )) + (net (rename un1_psum0_signed_axbZ0Z_42 "un1_psum0_signed_axb_42") (joined + (portRef O (instanceRef un1_psum0_signed_axb_42)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_43)) + )) + (net (rename un1_psum0_signed_axbZ0Z_41 "un1_psum0_signed_axb_41") (joined + (portRef O (instanceRef un1_psum0_signed_axb_41)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_43)) + )) + (net (rename un1_psum0_signed_axbZ0Z_40 "un1_psum0_signed_axb_40") (joined + (portRef O (instanceRef un1_psum0_signed_axb_40)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_43)) + )) + (net (rename un1_psum0_signed_axbZ0Z_39 "un1_psum0_signed_axb_39") (joined + (portRef O (instanceRef un1_psum0_signed_axb_39)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_39)) + )) + (net (rename un1_psum0_signed_axbZ0Z_38 "un1_psum0_signed_axb_38") (joined + (portRef O (instanceRef un1_psum0_signed_axb_38)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_39)) + )) + (net (rename un1_psum0_signed_axbZ0Z_37 "un1_psum0_signed_axb_37") (joined + (portRef O (instanceRef un1_psum0_signed_axb_37)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_39)) + )) + (net (rename un1_psum0_signed_axbZ0Z_36 "un1_psum0_signed_axb_36") (joined + (portRef O (instanceRef un1_psum0_signed_axb_36)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_39)) + )) + (net (rename un1_psum0_signed_axbZ0Z_35 "un1_psum0_signed_axb_35") (joined + (portRef O (instanceRef un1_psum0_signed_axb_35)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_35)) + )) + (net (rename un1_psum0_signed_axbZ0Z_34 "un1_psum0_signed_axb_34") (joined + (portRef O (instanceRef un1_psum0_signed_axb_34)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_35)) + )) + (net (rename un1_psum0_signed_axbZ0Z_33 "un1_psum0_signed_axb_33") (joined + (portRef O (instanceRef un1_psum0_signed_axb_33)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_35)) + )) + (net (rename un1_psum0_signed_axbZ0Z_32 "un1_psum0_signed_axb_32") (joined + (portRef O (instanceRef un1_psum0_signed_axb_32)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_35)) + )) + (net (rename un1_psum0_signed_axbZ0Z_31 "un1_psum0_signed_axb_31") (joined + (portRef O (instanceRef un1_psum0_signed_axb_31)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_31)) + )) + (net (rename un1_psum0_signed_axbZ0Z_30 "un1_psum0_signed_axb_30") (joined + (portRef O (instanceRef un1_psum0_signed_axb_30)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_31)) + )) + (net (rename un1_psum0_signed_axbZ0Z_29 "un1_psum0_signed_axb_29") (joined + (portRef O (instanceRef un1_psum0_signed_axb_29)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_31)) + )) + (net (rename un1_psum0_signed_axbZ0Z_28 "un1_psum0_signed_axb_28") (joined + (portRef O (instanceRef un1_psum0_signed_axb_28)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_31)) + )) + (net (rename un1_psum0_signed_axbZ0Z_27 "un1_psum0_signed_axb_27") (joined + (portRef O (instanceRef un1_psum0_signed_axb_27)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_27)) + )) + (net (rename un1_psum0_signed_axbZ0Z_26 "un1_psum0_signed_axb_26") (joined + (portRef O (instanceRef un1_psum0_signed_axb_26)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_27)) + )) + (net (rename un1_psum0_signed_axbZ0Z_25 "un1_psum0_signed_axb_25") (joined + (portRef O (instanceRef un1_psum0_signed_axb_25)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_27)) + )) + (net (rename un1_psum0_signed_axbZ0Z_24 "un1_psum0_signed_axb_24") (joined + (portRef O (instanceRef un1_psum0_signed_axb_24)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_27)) + )) + (net (rename un1_psum0_signed_axbZ0Z_23 "un1_psum0_signed_axb_23") (joined + (portRef O (instanceRef un1_psum0_signed_axb_23)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_23)) + )) + (net (rename un1_psum0_signed_axbZ0Z_22 "un1_psum0_signed_axb_22") (joined + (portRef O (instanceRef un1_psum0_signed_axb_22)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_23)) + )) + (net (rename un1_psum0_signed_axbZ0Z_21 "un1_psum0_signed_axb_21") (joined + (portRef O (instanceRef un1_psum0_signed_axb_21)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_23)) + )) + (net (rename un1_psum0_signed_20 "un1_psum0_signed(20)") (joined + (portRef O (instanceRef un1_psum0_signed_axb_20)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_23)) + )) + (net psum1_signed_cry_68_sf (joined + (portRef O (instanceRef psum1_signed_s_69_RNO)) + (portRef (member S 3) (instanceRef psum1_signed_s_69)) + )) + (net psum1_signed_cry_67_sf (joined + (portRef O (instanceRef psum1_signed_cry_67_RNO)) + (portRef (member S 0) (instanceRef psum1_signed_cry_67)) + )) + (net (rename A1_product_signed_0_66 "A1_product_signed_0(66)") (joined + (portRef O (instanceRef psum1_signed_cry_66_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_67)) + )) + (net (rename A1_product_signed_0_65 "A1_product_signed_0(65)") (joined + (portRef O (instanceRef psum1_signed_cry_65_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_67)) + )) + (net (rename A1_product_signed_0_64 "A1_product_signed_0(64)") (joined + (portRef O (instanceRef psum1_signed_cry_64_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_67)) + )) + (net (rename A1_product_signed_0_63 "A1_product_signed_0(63)") (joined + (portRef O (instanceRef psum1_signed_cry_63_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_63)) + )) + (net (rename A1_product_signed_0_62 "A1_product_signed_0(62)") (joined + (portRef O (instanceRef psum1_signed_cry_62_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_63)) + )) + (net (rename A1_product_signed_0_61 "A1_product_signed_0(61)") (joined + (portRef O (instanceRef psum1_signed_cry_61_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_63)) + )) + (net (rename A1_product_signed_0_60 "A1_product_signed_0(60)") (joined + (portRef O (instanceRef psum1_signed_cry_60_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_63)) + )) + (net (rename A1_product_signed_0_59 "A1_product_signed_0(59)") (joined + (portRef O (instanceRef psum1_signed_cry_59_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_59)) + )) + (net (rename A1_product_signed_0_58 "A1_product_signed_0(58)") (joined + (portRef O (instanceRef psum1_signed_cry_58_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_59)) + )) + (net (rename A1_product_signed_0_57 "A1_product_signed_0(57)") (joined + (portRef O (instanceRef psum1_signed_cry_57_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_59)) + )) + (net (rename A1_product_signed_0_56 "A1_product_signed_0(56)") (joined + (portRef O (instanceRef psum1_signed_cry_56_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_59)) + )) + (net (rename A1_product_signed_0_55 "A1_product_signed_0(55)") (joined + (portRef O (instanceRef psum1_signed_cry_55_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_55)) + )) + (net (rename A1_product_signed_0_54 "A1_product_signed_0(54)") (joined + (portRef O (instanceRef psum1_signed_cry_54_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_55)) + )) + (net (rename A1_product_signed_0_53 "A1_product_signed_0(53)") (joined + (portRef O (instanceRef psum1_signed_cry_53_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_55)) + )) + (net (rename A1_product_signed_0_52 "A1_product_signed_0(52)") (joined + (portRef O (instanceRef psum1_signed_cry_52_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_55)) + )) + (net (rename A1_product_signed_0_51 "A1_product_signed_0(51)") (joined + (portRef O (instanceRef psum1_signed_cry_51_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_51)) + )) + (net (rename A1_product_signed_0_50 "A1_product_signed_0(50)") (joined + (portRef O (instanceRef psum1_signed_cry_50_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_51)) + )) + (net (rename A1_product_signed_0_49 "A1_product_signed_0(49)") (joined + (portRef O (instanceRef psum1_signed_cry_49_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_51)) + )) + (net (rename A1_product_signed_0_48 "A1_product_signed_0(48)") (joined + (portRef O (instanceRef psum1_signed_cry_48_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_51)) + )) + (net (rename A1_product_signed_0_47 "A1_product_signed_0(47)") (joined + (portRef O (instanceRef psum1_signed_cry_47_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_47)) + )) + (net (rename A1_product_signed_0_46 "A1_product_signed_0(46)") (joined + (portRef O (instanceRef psum1_signed_cry_46_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_47)) + )) + (net (rename A1_product_signed_0_45 "A1_product_signed_0(45)") (joined + (portRef O (instanceRef psum1_signed_cry_45_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_47)) + )) + (net (rename A1_product_signed_1_44 "A1_product_signed_1(44)") (joined + (portRef O (instanceRef psum1_signed_cry_44_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_47)) + )) + (net (rename A1_product_signed_1_43 "A1_product_signed_1(43)") (joined + (portRef O (instanceRef psum1_signed_cry_43_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_43)) + )) + (net (rename A1_product_signed_2_42 "A1_product_signed_2(42)") (joined + (portRef O (instanceRef psum1_signed_cry_42_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_43)) + )) + (net (rename A1_product_signed_2_41 "A1_product_signed_2(41)") (joined + (portRef O (instanceRef psum1_signed_cry_41_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_43)) + )) + (net (rename A1_product_signed_2_40 "A1_product_signed_2(40)") (joined + (portRef O (instanceRef psum1_signed_cry_40_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_43)) + )) + (net (rename A1_product_signed_2_39 "A1_product_signed_2(39)") (joined + (portRef O (instanceRef psum1_signed_cry_39_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_39)) + )) + (net (rename A1_product_signed_2_38 "A1_product_signed_2(38)") (joined + (portRef O (instanceRef psum1_signed_cry_38_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_39)) + )) + (net (rename A1_product_signed_2_37 "A1_product_signed_2(37)") (joined + (portRef O (instanceRef psum1_signed_cry_37_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_39)) + )) + (net (rename A1_product_signed_2_36 "A1_product_signed_2(36)") (joined + (portRef O (instanceRef psum1_signed_cry_36_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_39)) + )) + (net (rename A1_product_signed_2_35 "A1_product_signed_2(35)") (joined + (portRef O (instanceRef psum1_signed_cry_35_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_35)) + )) + (net (rename A1_product_signed_2_34 "A1_product_signed_2(34)") (joined + (portRef O (instanceRef psum1_signed_cry_34_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_35)) + )) + (net (rename A1_product_signed_2_33 "A1_product_signed_2(33)") (joined + (portRef O (instanceRef psum1_signed_cry_33_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_35)) + )) + (net (rename A1_product_signed_2_32 "A1_product_signed_2(32)") (joined + (portRef O (instanceRef psum1_signed_cry_32_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_35)) + )) + (net (rename A1_product_signed_2_31 "A1_product_signed_2(31)") (joined + (portRef O (instanceRef psum1_signed_cry_31_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_31)) + )) + (net (rename A1_product_signed_2_30 "A1_product_signed_2(30)") (joined + (portRef O (instanceRef psum1_signed_cry_30_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_31)) + )) + (net (rename A1_product_signed_2_29 "A1_product_signed_2(29)") (joined + (portRef O (instanceRef psum1_signed_cry_29_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_31)) + )) + (net (rename A1_product_signed_2_28 "A1_product_signed_2(28)") (joined + (portRef O (instanceRef psum1_signed_cry_28_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_31)) + )) + (net (rename A1_product_signed_2_27 "A1_product_signed_2(27)") (joined + (portRef O (instanceRef psum1_signed_cry_27_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_27)) + )) + (net (rename A1_product_signed_2_26 "A1_product_signed_2(26)") (joined + (portRef O (instanceRef psum1_signed_cry_26_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_27)) + )) + (net (rename A1_product_signed_1_25 "A1_product_signed_1(25)") (joined + (portRef O (instanceRef psum1_signed_cry_25_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_27)) + )) + (net (rename A1_product_signed_1_24 "A1_product_signed_1(24)") (joined + (portRef O (instanceRef psum1_signed_cry_24_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_27)) + )) + (net (rename A1_product_signed_1_23 "A1_product_signed_1(23)") (joined + (portRef O (instanceRef psum1_signed_cry_23_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_23)) + )) + (net (rename A1_product_signed_1_22 "A1_product_signed_1(22)") (joined + (portRef O (instanceRef psum1_signed_cry_22_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_23)) + )) + (net (rename A1_product_signed_1_21 "A1_product_signed_1(21)") (joined + (portRef O (instanceRef psum1_signed_cry_21_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_23)) + )) + (net (rename A1_product_signed_1_20 "A1_product_signed_1(20)") (joined + (portRef O (instanceRef psum1_signed_cry_20_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_23)) + )) + (net (rename A1_product_signed_1_19 "A1_product_signed_1(19)") (joined + (portRef O (instanceRef psum1_signed_cry_19_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_19)) + )) + (net (rename A1_product_signed_1_18 "A1_product_signed_1(18)") (joined + (portRef O (instanceRef psum1_signed_cry_18_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_19)) + )) + (net (rename A1_product_signed_1_17 "A1_product_signed_1(17)") (joined + (portRef O (instanceRef psum1_signed_cry_17_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_19)) + )) + (net (rename A1_product_signed_1_16 "A1_product_signed_1(16)") (joined + (portRef O (instanceRef psum1_signed_cry_16_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_19)) + )) + (net (rename A1_product_signed_1_15 "A1_product_signed_1(15)") (joined + (portRef O (instanceRef psum1_signed_cry_15_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_15)) + )) + (net (rename A1_product_signed_1_14 "A1_product_signed_1(14)") (joined + (portRef O (instanceRef psum1_signed_cry_14_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_15)) + )) + (net (rename A1_product_signed_1_13 "A1_product_signed_1(13)") (joined + (portRef O (instanceRef psum1_signed_cry_13_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_15)) + )) + (net (rename A1_product_signed_1_12 "A1_product_signed_1(12)") (joined + (portRef O (instanceRef psum1_signed_cry_12_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_15)) + )) + (net (rename A1_product_signed_1_11 "A1_product_signed_1(11)") (joined + (portRef O (instanceRef psum1_signed_cry_11_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_11)) + )) + (net (rename A1_product_signed_1_10 "A1_product_signed_1(10)") (joined + (portRef O (instanceRef psum1_signed_cry_10_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_11)) + )) + (net (rename A1_product_signed_1_9 "A1_product_signed_1(9)") (joined + (portRef O (instanceRef psum1_signed_cry_9_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_11)) + )) + (net (rename A1_product_signed_1_8 "A1_product_signed_1(8)") (joined + (portRef O (instanceRef psum1_signed_cry_8_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_11)) + )) + (net (rename A1_product_signed_1_7 "A1_product_signed_1(7)") (joined + (portRef O (instanceRef psum1_signed_cry_7_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_7)) + )) + (net (rename A1_product_signed_1_6 "A1_product_signed_1(6)") (joined + (portRef O (instanceRef psum1_signed_cry_6_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_7)) + )) + (net (rename A1_product_signed_1_5 "A1_product_signed_1(5)") (joined + (portRef O (instanceRef psum1_signed_cry_5_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_7)) + )) + (net (rename A1_product_signed_1_4 "A1_product_signed_1(4)") (joined + (portRef O (instanceRef psum1_signed_cry_4_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_7)) + )) + (net (rename A1_product_signed_1_3 "A1_product_signed_1(3)") (joined + (portRef O (instanceRef psum1_signed_cry_3_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_3)) + )) + (net (rename A1_product_signed_1_2 "A1_product_signed_1(2)") (joined + (portRef O (instanceRef psum1_signed_cry_2_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_3)) + )) + (net (rename A1_product_signed_1_1 "A1_product_signed_1(1)") (joined + (portRef O (instanceRef psum1_signed_cry_1_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_3)) + )) + (net (rename A1_product_signed_1_0 "A1_product_signed_1(0)") (joined + (portRef O (instanceRef psum1_signed_cry_0_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_3)) + )) + (net (rename un1_psum0_signed_cryZ0Z_23 "un1_psum0_signed_cry_23") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_23)) + (portRef CI (instanceRef un1_psum0_signed_cry_27)) + )) + (net (rename un1_psum0_signed_21 "un1_psum0_signed(21)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_23)) + (portRef (member un1_psum0_signed 49) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_22 "un1_psum0_signed(22)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_23)) + (portRef (member un1_psum0_signed 48) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_23 "un1_psum0_signed(23)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_23)) + (portRef (member un1_psum0_signed 47) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_cryZ0Z_27 "un1_psum0_signed_cry_27") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_27)) + (portRef CI (instanceRef un1_psum0_signed_cry_31)) + )) + (net (rename un1_psum0_signed_24 "un1_psum0_signed(24)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_27)) + (portRef (member un1_psum0_signed 46) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_25 "un1_psum0_signed(25)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_27)) + (portRef (member un1_psum0_signed 45) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_26 "un1_psum0_signed(26)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_27)) + (portRef (member un1_psum0_signed 44) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_27 "un1_psum0_signed(27)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_27)) + (portRef (member un1_psum0_signed 43) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_cryZ0Z_31 "un1_psum0_signed_cry_31") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_31)) + (portRef CI (instanceRef un1_psum0_signed_cry_35)) + )) + (net (rename un1_psum0_signed_28 "un1_psum0_signed(28)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_31)) + (portRef (member un1_psum0_signed 42) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_29 "un1_psum0_signed(29)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_31)) + (portRef (member un1_psum0_signed 41) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_31)) + (portRef (member un1_psum0_signed 40) (instanceRef OR25)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_0_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_7_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_8_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_2_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_3_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_4_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_10_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_22_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_12_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_19_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_13_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_14_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_15_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_9_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_28_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_35_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_31 "un1_psum0_signed(31)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_31)) + (portRef (member un1_psum0_signed 39) (instanceRef OR25)) + (portRef (member un1_psum0_signed 39) (instanceRef gO_4_0_MUX12)) + (portRef (member un1_psum0_signed 39) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 35) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 39) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_cryZ0Z_35 "un1_psum0_signed_cry_35") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_35)) + (portRef CI (instanceRef un1_psum0_signed_cry_39)) + )) + (net (rename un1_psum0_signed_32 "un1_psum0_signed(32)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_35)) + (portRef (member un1_psum0_signed 38) (instanceRef OR25)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_1_MUX12)) + (portRef (member un1_psum0_signed 38) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 34) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 38) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_33 "un1_psum0_signed(33)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_35)) + (portRef (member un1_psum0_signed 37) (instanceRef OR25)) + (portRef (member un1_psum0_signed 37) (instanceRef gO_4_2_MUX12)) + (portRef (member un1_psum0_signed 37) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 33) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_34 "un1_psum0_signed(34)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_35)) + (portRef (member un1_psum0_signed 36) (instanceRef OR25)) + (portRef (member un1_psum0_signed 36) (instanceRef gO_4_3_MUX12)) + (portRef (member un1_psum0_signed 36) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 32) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_35 "un1_psum0_signed(35)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_35)) + (portRef (member un1_psum0_signed 35) (instanceRef OR25)) + (portRef (member un1_psum0_signed 35) (instanceRef gO_4_4_MUX12)) + (portRef (member un1_psum0_signed 35) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 31) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_cryZ0Z_39 "un1_psum0_signed_cry_39") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_39)) + (portRef CI (instanceRef un1_psum0_signed_cry_43)) + )) + (net (rename un1_psum0_signed_36 "un1_psum0_signed(36)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_39)) + (portRef (member un1_psum0_signed 34) (instanceRef OR25)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_5_MUX12)) + (portRef (member un1_psum0_signed 34) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 30) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 34) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_37 "un1_psum0_signed(37)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_39)) + (portRef (member un1_psum0_signed 33) (instanceRef OR25)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_6_MUX12)) + (portRef (member un1_psum0_signed 33) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 29) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 33) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_38 "un1_psum0_signed(38)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_39)) + (portRef (member un1_psum0_signed 32) (instanceRef OR25)) + (portRef (member un1_psum0_signed 32) (instanceRef gO_4_7_MUX12)) + (portRef (member un1_psum0_signed 32) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 28) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_39 "un1_psum0_signed(39)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_39)) + (portRef (member un1_psum0_signed 31) (instanceRef gO_4_8_MUX12)) + (portRef (member un1_psum0_signed 26) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 27) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_cryZ0Z_43 "un1_psum0_signed_cry_43") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_43)) + (portRef CI (instanceRef un1_psum0_signed_cry_47)) + )) + (net (rename un1_psum0_signed_40 "un1_psum0_signed(40)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_43)) + (portRef (member un1_psum0_signed 30) (instanceRef gO_4_9_MUX12)) + (portRef (member un1_psum0_signed 25) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 26) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_41 "un1_psum0_signed(41)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_43)) + (portRef (member un1_psum0_signed 29) (instanceRef gO_4_10_MUX12)) + (portRef (member un1_psum0_signed 24) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 25) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_42 "un1_psum0_signed(42)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_43)) + (portRef (member un1_psum0_signed 22) (instanceRef gO_4_11_MUX12)) + (portRef (member un1_psum0_signed 23) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 24) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_43 "un1_psum0_signed(43)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_43)) + (portRef (member un1_psum0_signed 27) (instanceRef gO_4_12_MUX12)) + (portRef (member un1_psum0_signed 22) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 23) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_cryZ0Z_47 "un1_psum0_signed_cry_47") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_47)) + (portRef CI (instanceRef un1_psum0_signed_cry_51)) + )) + (net (rename un1_psum0_signed_44 "un1_psum0_signed(44)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_47)) + (portRef (member un1_psum0_signed 26) (instanceRef gO_4_13_MUX12)) + (portRef (member un1_psum0_signed 21) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 22) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_45 "un1_psum0_signed(45)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_47)) + (portRef (member un1_psum0_signed 25) (instanceRef gO_4_14_MUX12)) + (portRef (member un1_psum0_signed 20) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 21) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_46 "un1_psum0_signed(46)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_47)) + (portRef (member un1_psum0_signed 24) (instanceRef gO_4_15_MUX12)) + (portRef (member un1_psum0_signed 19) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 20) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_47 "un1_psum0_signed(47)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_47)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_16_MUX12)) + (portRef (member un1_psum0_signed 18) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 19) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 23) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_cryZ0Z_51 "un1_psum0_signed_cry_51") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_51)) + (portRef CI (instanceRef un1_psum0_signed_cry_55)) + )) + (net (rename un1_psum0_signed_48 "un1_psum0_signed(48)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_51)) + (portRef (member un1_psum0_signed 22) (instanceRef OR25)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_17_MUX12)) + (portRef (member un1_psum0_signed 17) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 18) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 22) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_49 "un1_psum0_signed(49)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_51)) + (portRef (member un1_psum0_signed 21) (instanceRef OR25)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_18_MUX12)) + (portRef (member un1_psum0_signed 21) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 17) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 21) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_50 "un1_psum0_signed(50)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_51)) + (portRef (member un1_psum0_signed 20) (instanceRef OR25)) + (portRef (member un1_psum0_signed 20) (instanceRef gO_4_19_MUX12)) + (portRef (member un1_psum0_signed 20) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 16) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_51 "un1_psum0_signed(51)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_51)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_20_MUX12)) + (portRef (member un1_psum0_signed 19) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 14) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 15) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 19) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_cryZ0Z_55 "un1_psum0_signed_cry_55") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_55)) + (portRef CI (instanceRef un1_psum0_signed_cry_59)) + )) + (net (rename un1_psum0_signed_52 "un1_psum0_signed(52)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_55)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_21_MUX12)) + (portRef (member un1_psum0_signed 18) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 13) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 14) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 18) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_53 "un1_psum0_signed(53)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_55)) + (portRef (member un1_psum0_signed 17) (instanceRef gO_4_22_MUX12)) + (portRef (member un1_psum0_signed 17) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 12) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 13) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_54 "un1_psum0_signed(54)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_55)) + (portRef (member un1_psum0_signed 10) (instanceRef gO_4_11_MUX12)) + (portRef (member un1_psum0_signed 16) (instanceRef gO_4_34_MUX12)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_23_MUX12)) + (portRef (member un1_psum0_signed 11) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 12) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_55 "un1_psum0_signed(55)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_55)) + (portRef (member un1_psum0_signed 9) (instanceRef gO_4_11_MUX12)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_24_MUX12)) + (portRef (member un1_psum0_signed 15) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 10) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 11) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_cryZ0Z_59 "un1_psum0_signed_cry_59") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_59)) + (portRef CI (instanceRef un1_psum0_signed_cry_63)) + )) + (net (rename un1_psum0_signed_56 "un1_psum0_signed(56)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_59)) + (portRef (member un1_psum0_signed 8) (instanceRef gO_4_11_MUX12)) + (portRef (member un1_psum0_signed 14) (instanceRef gO_4_34_MUX12)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_25_MUX12)) + (portRef (member un1_psum0_signed 9) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 10) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_57 "un1_psum0_signed(57)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_59)) + (portRef (member un1_psum0_signed 13) (instanceRef OR25)) + (portRef (member un1_psum0_signed 7) (instanceRef gO_4_11_MUX12)) + (portRef (member un1_psum0_signed 13) (instanceRef gO_4_34_MUX12)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_26_MUX12)) + (portRef (member un1_psum0_signed 9) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_59 "un1_psum0_signed(59)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_59)) + (portRef (member un1_psum0_signed 11) (instanceRef OR25)) + (portRef (member un1_psum0_signed 11) (instanceRef gO_4_28_MUX12)) + (portRef (member un1_psum0_signed 11) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 7) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_cryZ0Z_63 "un1_psum0_signed_cry_63") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_63)) + (portRef CI (instanceRef un1_psum0_signed_cry_67)) + )) + (net (rename un1_psum0_signed_63 "un1_psum0_signed(63)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_63)) + (portRef (member un1_psum0_signed 7) (instanceRef OR25)) + (portRef (member un1_psum0_signed 1) (instanceRef gO_4_11_MUX12)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_32_MUX12)) + (portRef (member un1_psum0_signed 2) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 3) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_cryZ0Z_67 "un1_psum0_signed_cry_67") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_67)) + (portRef CI (instanceRef un1_psum0_signed_s_70)) + )) + (net (rename un1_psum0_signed_64 "un1_psum0_signed(64)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_67)) + (portRef (member un1_psum0_signed 6) (instanceRef OR25)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_11_MUX12)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_33_MUX12)) + (portRef (member un1_psum0_signed 1) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 2) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_65 "un1_psum0_signed(65)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_67)) + (portRef (member un1_psum0_signed 5) (instanceRef OR25)) + (portRef (member un1_psum0_signed 5) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 1) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 5) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_66 "un1_psum0_signed(66)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_67)) + (portRef (member un1_psum0_signed 4) (instanceRef OR25)) + (portRef (member un1_psum0_signed 4) (instanceRef AN24)) + (portRef (member un1_psum0_signed 4) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_67 "un1_psum0_signed(67)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_67)) + (portRef (member un1_psum0_signed 3) (instanceRef OR25)) + (portRef (member un1_psum0_signed 3) (instanceRef AN24)) + (portRef (member un1_psum0_signed 3) (instanceRef gO_4_34_MUX12)) + )) + (net (rename un1_psum0_signed_68 "un1_psum0_signed(68)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_s_70)) + (portRef (member un1_psum0_signed 2) (instanceRef OR25)) + (portRef (member un1_psum0_signed 2) (instanceRef AN24)) + (portRef (member un1_psum0_signed 2) (instanceRef gO_4_34_MUX12)) + )) + (net (rename un1_psum0_signed_69 "un1_psum0_signed(69)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_s_70)) + (portRef (member un1_psum0_signed 1) (instanceRef OR25)) + (portRef (member un1_psum0_signed 1) (instanceRef AN24)) + (portRef (member un1_psum0_signed 1) (instanceRef gO_4_34_MUX12)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_s_70)) + (portRef (member un1_psum0_signed 0) (instanceRef OR25)) + (portRef (member un1_psum0_signed 0) (instanceRef AN24)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_0_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_7_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_8_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_2_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_3_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_4_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_10_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_22_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_12_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_19_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_13_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_14_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_15_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_9_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_28_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_35_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_signed_cryZ0Z_67 "psum1_signed_cry_67") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_67)) + (portRef CI (instanceRef psum1_signed_s_69)) + )) + (net (rename feedback_inc_data_1 "feedback_inc_data(1)") (joined + (portRef (member feedback_inc_data 34) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_1_MUX12)) + (portRef (member feedback_inc_data 34) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_5 "feedback_inc_data(5)") (joined + (portRef (member feedback_inc_data 30) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_5_MUX12)) + (portRef (member feedback_inc_data 30) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_6 "feedback_inc_data(6)") (joined + (portRef (member feedback_inc_data 29) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_6_MUX12)) + (portRef (member feedback_inc_data 29) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_16 "feedback_inc_data(16)") (joined + (portRef (member feedback_inc_data 19) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_16_MUX12)) + (portRef (member feedback_inc_data 19) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_17 "feedback_inc_data(17)") (joined + (portRef (member feedback_inc_data 18) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_17_MUX12)) + (portRef (member feedback_inc_data 18) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_18 "feedback_inc_data(18)") (joined + (portRef (member feedback_inc_data 17) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_18_MUX12)) + (portRef (member feedback_inc_data 17) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_20 "feedback_inc_data(20)") (joined + (portRef (member feedback_inc_data 15) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_20_MUX12)) + (portRef (member feedback_inc_data 15) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_21 "feedback_inc_data(21)") (joined + (portRef (member feedback_inc_data 14) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_21_MUX12)) + (portRef (member feedback_inc_data 14) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_29 "feedback_inc_data(29)") (joined + (portRef (member feedback_inc_data 6) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_29_MUX12)) + (portRef (member feedback_inc_data 6) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_31 "feedback_inc_data(31)") (joined + (portRef (member feedback_inc_data 4) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_31_MUX12)) + (portRef (member feedback_inc_data 4) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_34 "feedback_inc_data(34)") (joined + (portRef (member feedback_inc_data 1) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_34_MUX12)) + (portRef (member feedback_inc_data 1) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_35 "feedback_inc_data(35)") (joined + (portRef (member feedback_inc_data 0) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_35_MUX12)) + (portRef (member feedback_inc_data 0) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net N_213 (joined + (portRef N_213 (instanceRef AN24)) + (portRef N_213 (instanceRef gO_4_11_MUX12)) + (portRef N_213 (instanceRef gO_4_18_MUX12)) + (portRef N_213 (instanceRef gO_4_24_MUX12)) + (portRef N_213 (instanceRef gO_4_31_MUX12)) + (portRef N_213 (instanceRef gO_4_5_MUX12)) + (portRef N_213 (instanceRef gO_4_6_MUX12)) + (portRef N_213 (instanceRef gO_4_1_MUX12)) + (portRef N_213 (instanceRef gO_4_17_MUX12)) + (portRef N_213 (instanceRef gO_4_29_MUX12)) + (portRef N_213 (instanceRef gO_4_20_MUX12)) + (portRef N_213 (instanceRef gO_4_21_MUX12)) + (portRef N_213 (instanceRef gO_4_16_MUX12)) + (portRef N_213 (instanceRef gO_4_27_MUX12)) + (portRef N_213 (instanceRef gO_4_34_MUX12)) + (portRef N_213 (instanceRef gO_4_26_MUX12)) + (portRef N_213 (instanceRef gO_4_33_MUX12)) + (portRef N_213 (instanceRef gO_4_25_MUX12)) + (portRef N_213 (instanceRef gO_4_32_MUX12)) + (portRef N_213 (instanceRef gO_4_23_MUX12)) + (portRef N_213 (instanceRef gO_4_30_MUX12)) + (portRef N_213 (instanceRef gO_2_gO_2cN_AN8)) + )) + (net N_186 (joined + (portRef N_186 (instanceRef gO_4_34_MUX12)) + (portRef N_186 (instanceRef gO_4_11_MUX12)) + (portRef N_186 (instanceRef gO_4_18_MUX12)) + (portRef N_186 (instanceRef gO_4_24_MUX12)) + (portRef N_186 (instanceRef gO_4_31_MUX12)) + (portRef N_186 (instanceRef gO_4_5_MUX12)) + (portRef N_186 (instanceRef gO_4_6_MUX12)) + (portRef N_186 (instanceRef gO_4_1_MUX12)) + (portRef N_186 (instanceRef gO_4_17_MUX12)) + (portRef N_186 (instanceRef gO_4_29_MUX12)) + (portRef N_186 (instanceRef gO_4_20_MUX12)) + (portRef N_186 (instanceRef gO_4_21_MUX12)) + (portRef N_186 (instanceRef gO_4_16_MUX12)) + (portRef N_186 (instanceRef gO_4_27_MUX12)) + (portRef N_186 (instanceRef gO_4_26_MUX12)) + (portRef N_186 (instanceRef gO_4_33_MUX12)) + (portRef N_186 (instanceRef gO_4_25_MUX12)) + (portRef N_186 (instanceRef gO_4_32_MUX12)) + (portRef N_186 (instanceRef gO_4_23_MUX12)) + (portRef N_186 (instanceRef gO_4_30_MUX12)) + (portRef N_186 (instanceRef gO_2_gO_2cN_AN8)) + )) + (net N_218 (joined + (portRef N_218 (instanceRef gO_4_34_MUX12)) + (portRef N_218 (instanceRef gO_4_11_MUX12)) + (portRef N_218 (instanceRef gO_4_18_MUX12)) + (portRef N_218 (instanceRef gO_4_24_MUX12)) + (portRef N_218 (instanceRef gO_4_31_MUX12)) + (portRef N_218 (instanceRef gO_4_5_MUX12)) + (portRef N_218 (instanceRef gO_4_6_MUX12)) + (portRef N_218 (instanceRef gO_4_1_MUX12)) + (portRef N_218 (instanceRef gO_4_17_MUX12)) + (portRef N_218 (instanceRef gO_4_29_MUX12)) + (portRef N_218 (instanceRef gO_4_20_MUX12)) + (portRef N_218 (instanceRef gO_4_21_MUX12)) + (portRef N_218 (instanceRef gO_4_16_MUX12)) + (portRef N_218 (instanceRef gO_4_27_MUX12)) + (portRef N_218 (instanceRef gO_4_26_MUX12)) + (portRef N_218 (instanceRef gO_4_33_MUX12)) + (portRef N_218 (instanceRef gO_4_25_MUX12)) + (portRef N_218 (instanceRef gO_4_32_MUX12)) + (portRef N_218 (instanceRef gO_4_23_MUX12)) + (portRef N_218 (instanceRef gO_4_30_MUX12)) + (portRef N_218 (instanceRef gO_2_gO_2cN_AN8)) + )) + (net Z_i_0_o3_28 (joined + (portRef Z_i_0_o3_28 (instanceRef gO_2_gO_2cN_AN8)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_0_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_7_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_8_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_2_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_3_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_4_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_10_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_22_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_12_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_19_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_13_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_14_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_15_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_9_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_28_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_35_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_34_MUX12)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big (instanceRef OR25)) + (portRef feedback_to_big (instanceRef gO_4_0_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_7_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_8_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_2_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_3_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_4_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_10_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_22_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_12_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_19_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_13_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_14_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_15_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_9_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_28_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_35_MUX12)) + (portRef feedback_to_big (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_2 "feedback_inc_data(2)") (joined + (portRef (member feedback_inc_data 33) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_2_MUX12)) + )) + (net (rename feedback_inc_data_3 "feedback_inc_data(3)") (joined + (portRef (member feedback_inc_data 32) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_3_MUX12)) + )) + (net (rename feedback_inc_data_4 "feedback_inc_data(4)") (joined + (portRef (member feedback_inc_data 31) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_4_MUX12)) + )) + (net (rename feedback_inc_data_7 "feedback_inc_data(7)") (joined + (portRef (member feedback_inc_data 28) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_7_MUX12)) + )) + (net (rename feedback_inc_data_8 "feedback_inc_data(8)") (joined + (portRef (member feedback_inc_data 27) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_8_MUX12)) + )) + (net (rename feedback_inc_data_9 "feedback_inc_data(9)") (joined + (portRef (member feedback_inc_data 26) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_9_MUX12)) + )) + (net (rename feedback_inc_data_10 "feedback_inc_data(10)") (joined + (portRef (member feedback_inc_data 25) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_10_MUX12)) + )) + (net (rename feedback_inc_data_11 "feedback_inc_data(11)") (joined + (portRef (member feedback_inc_data 24) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member feedback_inc_data 22) (instanceRef gO_4_11_MUX12)) + )) + (net (rename feedback_inc_data_12 "feedback_inc_data(12)") (joined + (portRef (member feedback_inc_data 23) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_12_MUX12)) + )) + (net (rename feedback_inc_data_13 "feedback_inc_data(13)") (joined + (portRef (member feedback_inc_data 22) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_13_MUX12)) + )) + (net (rename feedback_inc_data_14 "feedback_inc_data(14)") (joined + (portRef (member feedback_inc_data 21) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_14_MUX12)) + )) + (net (rename feedback_inc_data_15 "feedback_inc_data(15)") (joined + (portRef (member feedback_inc_data 20) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_15_MUX12)) + )) + (net (rename feedback_inc_data_19 "feedback_inc_data(19)") (joined + (portRef (member feedback_inc_data 16) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_19_MUX12)) + )) + (net (rename feedback_inc_data_22 "feedback_inc_data(22)") (joined + (portRef (member feedback_inc_data 13) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_22_MUX12)) + )) + (net (rename feedback_inc_data_23 "feedback_inc_data(23)") (joined + (portRef (member feedback_inc_data 12) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member feedback_inc_data 10) (instanceRef gO_4_11_MUX12)) + (portRef feedback_inc_data_0 (instanceRef gO_4_23_MUX12)) + )) + (net (rename feedback_inc_data_24 "feedback_inc_data(24)") (joined + (portRef (member feedback_inc_data 11) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member feedback_inc_data 9) (instanceRef gO_4_11_MUX12)) + (portRef feedback_inc_data_0 (instanceRef gO_4_24_MUX12)) + )) + (net (rename feedback_inc_data_25 "feedback_inc_data(25)") (joined + (portRef (member feedback_inc_data 10) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member feedback_inc_data 8) (instanceRef gO_4_11_MUX12)) + (portRef feedback_inc_data_0 (instanceRef gO_4_25_MUX12)) + )) + (net (rename feedback_inc_data_26 "feedback_inc_data(26)") (joined + (portRef (member feedback_inc_data 9) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member feedback_inc_data 7) (instanceRef gO_4_11_MUX12)) + (portRef feedback_inc_data_0 (instanceRef gO_4_26_MUX12)) + )) + (net (rename feedback_inc_data_27 "feedback_inc_data(27)") (joined + (portRef (member feedback_inc_data 8) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member feedback_inc_data 6) (instanceRef gO_4_11_MUX12)) + (portRef feedback_inc_data_0 (instanceRef gO_4_27_MUX12)) + )) + (net (rename feedback_inc_data_28 "feedback_inc_data(28)") (joined + (portRef (member feedback_inc_data 7) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_28_MUX12)) + )) + (net (rename feedback_inc_data_30 "feedback_inc_data(30)") (joined + (portRef (member feedback_inc_data 5) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member feedback_inc_data 3) (instanceRef gO_4_11_MUX12)) + (portRef feedback_inc_data_0 (instanceRef gO_4_30_MUX12)) + )) + (net (rename feedback_inc_data_32 "feedback_inc_data(32)") (joined + (portRef (member feedback_inc_data 3) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member feedback_inc_data 1) (instanceRef gO_4_11_MUX12)) + (portRef feedback_inc_data_0 (instanceRef gO_4_32_MUX12)) + )) + (net (rename feedback_inc_data_33 "feedback_inc_data(33)") (joined + (portRef (member feedback_inc_data 2) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member feedback_inc_data 0) (instanceRef gO_4_11_MUX12)) + (portRef feedback_inc_data_0 (instanceRef gO_4_33_MUX12)) + )) + (net Z_32_0 (joined + (portRef Z_32_0 (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef Z_32_0 (instanceRef OR25)) + )) + (net Z_33_2 (joined + (portRef Z_33_2 (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef Z_33_2 (instanceRef OR25)) + )) + (net Z_32_1 (joined + (portRef Z_32_1 (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef Z_32_1 (instanceRef OR25)) + )) + (net Z_32 (joined + (portRef Z_32 (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef Z_32 (instanceRef gO_4_34_MUX12)) + )) + ) + (property langParams (string "data_in_width data_out_width frac_data_out_width feedback_width max_coef_width frac_coef_width saturation_mode out_reg")) + (property orig_inst_of (string "DW_iir_dc")) + (property out_reg (integer 1)) + (property saturation_mode (integer 0)) + (property frac_coef_width (integer 31)) + (property max_coef_width (integer 32)) + (property feedback_width (integer 36)) + (property frac_data_out_width (integer 20)) + (property data_out_width (integer 36)) + (property data_in_width (integer 16)) + ) + ) + (cell (rename dw03_dw_iir_dc_mult_16_36_20_32_31_0_1_1_data_ict0oqaef_widthfrac_coef_widthsaturation_modeout_reg_5 "dw03_dw_iir_dc_mult_16_36_20_32_31_0_1_1_data_in_widthdata_out_widthfrac_data_out_widthfeedback_widthmax_coef_widthfrac_coef_widthsaturation_modeout_reg_5") (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename b5_re "b5_re(31:0)") 32) (direction INPUT)) + (port (array (rename gated_in_data "gated_in_data(15:0)") 16) (direction INPUT)) + (port (array (rename a5_re "a5_re(31:0)") 32) (direction INPUT)) + (port (array (rename dout_5 "dout_5(35:0)") 36) (direction OUTPUT)) + (port rstn (direction INPUT)) + (port rstn_i_fo (direction OUTPUT)) + (port en (direction INPUT)) + (port clk (direction INPUT)) + (port rstn_i (direction INPUT)) + (port saturation_5 (direction OUTPUT)) + ) + (contents + (instance rstn_i (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h1")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_43 "PSUM2AND1_REGS.psum1_saved_3_lut[43]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_41 "PSUM2AND1_REGS.psum1_saved_3_lut[41]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_42 "PSUM2AND1_REGS.psum1_saved_3_lut[42]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_38 "PSUM2AND1_REGS.psum1_saved_3_lut[38]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_39 "PSUM2AND1_REGS.psum1_saved_3_lut[39]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_40 "PSUM2AND1_REGS.psum1_saved_3_lut[40]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_37 "PSUM2AND1_REGS.psum1_saved_3_lut[37]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_36 "PSUM2AND1_REGS.psum1_saved_3_lut[36]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_34 "PSUM2AND1_REGS.psum1_saved_3_lut[34]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_35 "PSUM2AND1_REGS.psum1_saved_3_lut[35]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_33 "PSUM2AND1_REGS.psum1_saved_3_lut[33]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_32 "PSUM2AND1_REGS.psum1_saved_3_lut[32]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_31 "PSUM2AND1_REGS.psum1_saved_3_lut[31]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_29 "PSUM2AND1_REGS.psum1_saved_3_lut[29]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_30 "PSUM2AND1_REGS.psum1_saved_3_lut[30]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_25 "PSUM2AND1_REGS.psum1_saved_3_lut[25]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_26 "PSUM2AND1_REGS.psum1_saved_3_lut[26]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_27 "PSUM2AND1_REGS.psum1_saved_3_lut[27]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_28 "PSUM2AND1_REGS.psum1_saved_3_lut[28]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_21 "PSUM2AND1_REGS.psum1_saved_3_lut[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_22 "PSUM2AND1_REGS.psum1_saved_3_lut[22]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_23 "PSUM2AND1_REGS.psum1_saved_3_lut[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_24 "PSUM2AND1_REGS.psum1_saved_3_lut[24]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_20 "PSUM2AND1_REGS.psum1_saved_3_lut[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_19 "PSUM2AND1_REGS.psum1_saved_3_lut[19]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_18 "PSUM2AND1_REGS.psum1_saved_3_lut[18]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_17 "PSUM2AND1_REGS.psum1_saved_3_lut[17]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_14 "PSUM2AND1_REGS.psum1_saved_3_lut[14]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_15 "PSUM2AND1_REGS.psum1_saved_3_lut[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_16 "PSUM2AND1_REGS.psum1_saved_3_lut[16]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_13 "PSUM2AND1_REGS.psum1_saved_3_lut[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_11 "PSUM2AND1_REGS.psum1_saved_3_lut[11]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_12 "PSUM2AND1_REGS.psum1_saved_3_lut[12]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_10 "PSUM2AND1_REGS.psum1_saved_3_lut[10]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_9 "PSUM2AND1_REGS.psum1_saved_3_lut[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_7 "PSUM2AND1_REGS.psum1_saved_3_lut[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_6 "PSUM2AND1_REGS.psum1_saved_3_lut[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_8 "PSUM2AND1_REGS.psum1_saved_3_lut[8]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_5 "PSUM2AND1_REGS.psum1_saved_3_lut[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_4 "PSUM2AND1_REGS.psum1_saved_3_lut[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_3 "PSUM2AND1_REGS.psum1_saved_3_lut[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_2 "PSUM2AND1_REGS.psum1_saved_3_lut[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_1 "PSUM2AND1_REGS.psum1_saved_3_lut[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_66 "PSUM2AND1_REGS.psum1_saved_3[66]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'h28")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_61 "PSUM2AND1_REGS.psum1_saved_3[61]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'h28")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_65 "PSUM2AND1_REGS.psum1_saved_3[65]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'h28")) + ) + (instance (rename A1_product_signedAdd_0_42_0 "A1_product_signedAdd_0[42:0]") (viewRef PRIM (cellRef DSP48E2 (libraryRef VIRTEXR))) + (property ADREG (integer 0)) + (property BMULTSEL (string "B")) + (property PREADDINSEL (string "A")) + (property AMULTSEL (string "A")) + (property INMODEREG (integer 0)) + (property DREG (integer 0)) + (property AUTORESET_PATDET (string "NO_RESET")) + (property USE_SIMD (string "ONE48")) + (property A_INPUT (string "CASCADE")) + (property B_INPUT (string "DIRECT")) + (property ALUMODEREG (integer 0)) + (property CARRYINREG (integer 0)) + (property CARRYINSELREG (integer 0)) + (property OPMODEREG (integer 0)) + (property MREG (integer 0)) + (property PREG (integer 0)) + (property CREG (integer 0)) + (property BCASCREG (integer 0)) + (property BREG (integer 0)) + (property ACASCREG (integer 0)) + (property AREG (integer 0)) + (property USE_MULT (string "MULTIPLY")) + ) + (instance (rename A1_product_signedAdd_1_34_0 "A1_product_signedAdd_1[34:0]") (viewRef PRIM (cellRef DSP48E2 (libraryRef VIRTEXR))) + (property ADREG (integer 0)) + (property BMULTSEL (string "B")) + (property PREADDINSEL (string "A")) + (property AMULTSEL (string "A")) + (property INMODEREG (integer 0)) + (property DREG (integer 0)) + (property AUTORESET_PATDET (string "NO_RESET")) + (property USE_SIMD (string "ONE48")) + (property A_INPUT (string "DIRECT")) + (property B_INPUT (string "DIRECT")) + (property ALUMODEREG (integer 0)) + (property CARRYINREG (integer 0)) + (property CARRYINSELREG (integer 0)) + (property OPMODEREG (integer 0)) + (property MREG (integer 0)) + (property PREG (integer 0)) + (property CREG (integer 0)) + (property BCASCREG (integer 0)) + (property BREG (integer 0)) + (property ACASCREG (integer 0)) + (property AREG (integer 0)) + (property USE_MULT (string "MULTIPLY")) + ) + (instance (rename B0_product_signedAdd_0_30_0 "B0_product_signedAdd_0[30:0]") (viewRef PRIM (cellRef DSP48E2 (libraryRef VIRTEXR))) + (property ADREG (integer 0)) + (property BMULTSEL (string "B")) + (property PREADDINSEL (string "A")) + (property AMULTSEL (string "A")) + (property INMODEREG (integer 0)) + (property DREG (integer 0)) + (property AUTORESET_PATDET (string "NO_RESET")) + (property USE_SIMD (string "ONE48")) + (property A_INPUT (string "DIRECT")) + (property B_INPUT (string "DIRECT")) + (property ALUMODEREG (integer 0)) + (property CARRYINREG (integer 0)) + (property CARRYINSELREG (integer 0)) + (property OPMODEREG (integer 0)) + (property MREG (integer 0)) + (property PREG (integer 0)) + (property CREG (integer 0)) + (property BCASCREG (integer 0)) + (property BREG (integer 0)) + (property ACASCREG (integer 0)) + (property AREG (integer 0)) + (property USE_MULT (string "MULTIPLY")) + ) + (instance (rename A1_product_signedAdd_2_24_0 "A1_product_signedAdd_2[24:0]") (viewRef PRIM (cellRef DSP48E2 (libraryRef VIRTEXR))) + (property ADREG (integer 0)) + (property BMULTSEL (string "B")) + (property PREADDINSEL (string "A")) + (property AMULTSEL (string "A")) + (property INMODEREG (integer 0)) + (property DREG (integer 0)) + (property AUTORESET_PATDET (string "NO_RESET")) + (property USE_SIMD (string "ONE48")) + (property A_INPUT (string "DIRECT")) + (property B_INPUT (string "DIRECT")) + (property ALUMODEREG (integer 0)) + (property CARRYINREG (integer 0)) + (property CARRYINSELREG (integer 0)) + (property OPMODEREG (integer 0)) + (property MREG (integer 0)) + (property PREG (integer 0)) + (property CREG (integer 0)) + (property BCASCREG (integer 0)) + (property BREG (integer 0)) + (property ACASCREG (integer 0)) + (property AREG (integer 0)) + (property USE_MULT (string "MULTIPLY")) + ) + (instance (rename A1_product_signed_0_44_0 "A1_product_signed_0[44:0]") (viewRef PRIM (cellRef DSP48E2 (libraryRef VIRTEXR))) + (property ADREG (integer 0)) + (property BMULTSEL (string "B")) + (property PREADDINSEL (string "A")) + (property AMULTSEL (string "A")) + (property INMODEREG (integer 0)) + (property DREG (integer 0)) + (property AUTORESET_PATDET (string "NO_RESET")) + (property USE_SIMD (string "ONE48")) + (property A_INPUT (string "DIRECT")) + (property B_INPUT (string "DIRECT")) + (property ALUMODEREG (integer 0)) + (property CARRYINREG (integer 0)) + (property CARRYINSELREG (integer 0)) + (property OPMODEREG (integer 0)) + (property MREG (integer 0)) + (property PREG (integer 0)) + (property CREG (integer 0)) + (property BCASCREG (integer 0)) + (property BREG (integer 0)) + (property ACASCREG (integer 0)) + (property AREG (integer 0)) + (property USE_MULT (string "MULTIPLY")) + ) + (instance (rename B0_product_signed_0_33_0 "B0_product_signed_0[33:0]") (viewRef PRIM (cellRef DSP48E2 (libraryRef VIRTEXR))) + (property ADREG (integer 0)) + (property BMULTSEL (string "B")) + (property PREADDINSEL (string "A")) + (property AMULTSEL (string "A")) + (property INMODEREG (integer 0)) + (property DREG (integer 0)) + (property AUTORESET_PATDET (string "NO_RESET")) + (property USE_SIMD (string "ONE48")) + (property A_INPUT (string "DIRECT")) + (property B_INPUT (string "DIRECT")) + (property ALUMODEREG (integer 0)) + (property CARRYINREG (integer 0)) + (property CARRYINSELREG (integer 0)) + (property OPMODEREG (integer 0)) + (property MREG (integer 0)) + (property PREG (integer 0)) + (property CREG (integer 0)) + (property BCASCREG (integer 0)) + (property BREG (integer 0)) + (property ACASCREG (integer 0)) + (property AREG (integer 0)) + (property USE_MULT (string "MULTIPLY")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_69 "PSUM2AND1_REGS.psum1_saved_3[69]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_68 "PSUM2AND1_REGS.psum1_saved_3[68]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_67 "PSUM2AND1_REGS.psum1_saved_3[67]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_64 "PSUM2AND1_REGS.psum1_saved_3[64]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_63 "PSUM2AND1_REGS.psum1_saved_3[63]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_62 "PSUM2AND1_REGS.psum1_saved_3[62]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_60 "PSUM2AND1_REGS.psum1_saved_3[60]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_59 "PSUM2AND1_REGS.psum1_saved_3[59]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_58 "PSUM2AND1_REGS.psum1_saved_3[58]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_57 "PSUM2AND1_REGS.psum1_saved_3[57]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_56 "PSUM2AND1_REGS.psum1_saved_3[56]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_55 "PSUM2AND1_REGS.psum1_saved_3[55]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_54 "PSUM2AND1_REGS.psum1_saved_3[54]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_53 "PSUM2AND1_REGS.psum1_saved_3[53]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_52 "PSUM2AND1_REGS.psum1_saved_3[52]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_51 "PSUM2AND1_REGS.psum1_saved_3[51]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_50 "PSUM2AND1_REGS.psum1_saved_3[50]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_49 "PSUM2AND1_REGS.psum1_saved_3[49]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_48 "PSUM2AND1_REGS.psum1_saved_3[48]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_47 "PSUM2AND1_REGS.psum1_saved_3[47]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_46 "PSUM2AND1_REGS.psum1_saved_3[46]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_45 "PSUM2AND1_REGS.psum1_saved_3[45]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_44 "PSUM2AND1_REGS.psum1_saved_3[44]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_0 "PSUM2AND1_REGS.psum1_saved_3[0]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_un1_enable "PSUM2AND1_REGS.un1_enable") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'hB")) + ) + (instance un1_psum0_signed_axb_20 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_70 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_44_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_45_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_46_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_47_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_48_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_49_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_50_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_51_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_52_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_53_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_54_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_55_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_56_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_57_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_58_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_59_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_60_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_61_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_62_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_63_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_64_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_65_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_66_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_69_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance (rename psum1_saved_0 "psum1_saved[0]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_1 "psum1_saved[1]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_2 "psum1_saved[2]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_3 "psum1_saved[3]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_4 "psum1_saved[4]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_5 "psum1_saved[5]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_6 "psum1_saved[6]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_7 "psum1_saved[7]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_8 "psum1_saved[8]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_9 "psum1_saved[9]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_10 "psum1_saved[10]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_11 "psum1_saved[11]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_12 "psum1_saved[12]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_13 "psum1_saved[13]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_14 "psum1_saved[14]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_15 "psum1_saved[15]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_16 "psum1_saved[16]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_17 "psum1_saved[17]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_18 "psum1_saved[18]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_19 "psum1_saved[19]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_20 "psum1_saved[20]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_21 "psum1_saved[21]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_22 "psum1_saved[22]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_23 "psum1_saved[23]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_24 "psum1_saved[24]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_25 "psum1_saved[25]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_26 "psum1_saved[26]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_27 "psum1_saved[27]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_28 "psum1_saved[28]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_29 "psum1_saved[29]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_30 "psum1_saved[30]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_31 "psum1_saved[31]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_32 "psum1_saved[32]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_33 "psum1_saved[33]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_34 "psum1_saved[34]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_35 "psum1_saved[35]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_36 "psum1_saved[36]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_37 "psum1_saved[37]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_38 "psum1_saved[38]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_39 "psum1_saved[39]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_40 "psum1_saved[40]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_41 "psum1_saved[41]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_42 "psum1_saved[42]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_43 "psum1_saved[43]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_44 "psum1_saved[44]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_45 "psum1_saved[45]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_46 "psum1_saved[46]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_47 "psum1_saved[47]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_48 "psum1_saved[48]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_49 "psum1_saved[49]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_50 "psum1_saved[50]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_51 "psum1_saved[51]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_52 "psum1_saved[52]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_53 "psum1_saved[53]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_54 "psum1_saved[54]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_55 "psum1_saved[55]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_56 "psum1_saved[56]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_57 "psum1_saved[57]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_58 "psum1_saved[58]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_59 "psum1_saved[59]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_60 "psum1_saved[60]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_61 "psum1_saved[61]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_62 "psum1_saved[62]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_63 "psum1_saved[63]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_64 "psum1_saved[64]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_65 "psum1_saved[65]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_66 "psum1_saved[66]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_67 "psum1_saved[67]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_68 "psum1_saved[68]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename psum1_saved_69 "psum1_saved[69]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance saturation_final (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_0 "data_out_final[0]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_1 "data_out_final[1]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_2 "data_out_final[2]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_3 "data_out_final[3]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_4 "data_out_final[4]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_5 "data_out_final[5]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_6 "data_out_final[6]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_7 "data_out_final[7]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_8 "data_out_final[8]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_9 "data_out_final[9]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_10 "data_out_final[10]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_11 "data_out_final[11]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_12 "data_out_final[12]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_13 "data_out_final[13]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_14 "data_out_final[14]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_15 "data_out_final[15]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_16 "data_out_final[16]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_17 "data_out_final[17]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_18 "data_out_final[18]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_19 "data_out_final[19]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_20 "data_out_final[20]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_21 "data_out_final[21]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_22 "data_out_final[22]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_23 "data_out_final[23]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_24 "data_out_final[24]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_25 "data_out_final[25]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_26 "data_out_final[26]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_27 "data_out_final[27]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_28 "data_out_final[28]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_29 "data_out_final[29]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_30 "data_out_final[30]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_31 "data_out_final[31]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_32 "data_out_final[32]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_33 "data_out_final[33]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_34 "data_out_final[34]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename data_out_final_35 "data_out_final[35]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance psum1_signed_s_64 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_63 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_62 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_60 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_59 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_58 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_57 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_56 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_55 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_54 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_53 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_52 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_51 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_50 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_49 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_48 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_47 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_46 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_45 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_44 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_69 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_68 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_67 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_66 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_65 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_64 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_63 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_62 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_61 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_60 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_59 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_58 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_57 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_56 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_55 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_54 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_53 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_52 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_51 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_50 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_49 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_48 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_47 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_46 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_45 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_44 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_43 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_42 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_41 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_40 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_39 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_38 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_37 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_36 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_35 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_34 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_33 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_32 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_31 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_30 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_29 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_28 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_27 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_26 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_25 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_24 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_23 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_22 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_axb_21 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_psum0_signed_cry_23_RNO (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_69_RNO (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_67_RNO (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_66_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_65_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_64_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_63_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_62_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_61_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_60_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_59_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_58_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_57_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_56_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_55_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_54_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_53_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_52_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_51_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_50_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_49_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_48_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_47_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_46_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_45_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_44_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_43_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_42_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_41_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_40_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_39_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_38_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_37_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_36_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_35_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_34_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_33_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_32_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_31_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_30_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_29_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_28_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_27_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_26_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_25_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_24_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_23_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_22_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_21_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_20_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_19_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_18_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_17_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_16_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_15_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_14_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_13_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_12_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_11_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_10_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_9_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_8_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_7_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_6_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_5_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_4_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_3_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_2_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_1_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_cry_0_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_psum0_signed_cry_23 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + (property xcn_c4chain_base (integer 1)) + ) + (instance un1_psum0_signed_cry_27 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_31 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_35 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_39 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_43 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_47 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_51 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_55 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_59 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_63 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_cry_67 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_psum0_signed_s_70 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_3 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + (property xcn_c4chain_base (integer 1)) + ) + (instance psum1_signed_cry_7 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_11 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_15 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_19 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_23 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_27 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_31 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_35 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_39 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_43 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_47 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_51 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_55 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_59 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_63 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_cry_67 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance psum1_signed_s_69 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance (rename gO_1_66_gO_1a_AN5 "gO_1.66.gO_1a.AN5") (viewRef netlist (cellRef GTECH_AND2_17 (libraryRef gtech))) + ) + (instance (rename gO_2_gO_2cN_AN8 "gO_2.gO_2cN.AN8") (viewRef netlist (cellRef GTECH_AND2_19 (libraryRef gtech))) + ) + (instance (rename gO_2_gO_2d_INC2 "gO_2.gO_2d.INC2") (viewRef netlist (cellRef DW01_inc_36 (libraryRef dw01))) + ) + (instance (rename gO_2_gO_2d_gO_2d1_0_AN11 "gO_2.gO_2d.gO_2d1.0.AN11") (viewRef netlist (cellRef GTECH_AND2_51 (libraryRef gtech))) + ) + (instance (rename gO_4_30_MUX12 "gO_4.30.MUX12") (viewRef netlist (cellRef GTECH_MUX2_35 (libraryRef gtech))) + ) + (instance (rename gO_4_23_MUX12 "gO_4.23.MUX12") (viewRef netlist (cellRef GTECH_MUX2_36 (libraryRef gtech))) + ) + (instance (rename gO_4_32_MUX12 "gO_4.32.MUX12") (viewRef netlist (cellRef GTECH_MUX2_37 (libraryRef gtech))) + ) + (instance (rename gO_4_25_MUX12 "gO_4.25.MUX12") (viewRef netlist (cellRef GTECH_MUX2_38 (libraryRef gtech))) + ) + (instance (rename gO_4_33_MUX12 "gO_4.33.MUX12") (viewRef netlist (cellRef GTECH_MUX2_39 (libraryRef gtech))) + ) + (instance (rename gO_4_26_MUX12 "gO_4.26.MUX12") (viewRef netlist (cellRef GTECH_MUX2_40 (libraryRef gtech))) + ) + (instance (rename gO_4_34_MUX12 "gO_4.34.MUX12") (viewRef netlist (cellRef GTECH_MUX2_41 (libraryRef gtech))) + ) + (instance (rename gO_4_27_MUX12 "gO_4.27.MUX12") (viewRef netlist (cellRef GTECH_MUX2_42 (libraryRef gtech))) + ) + (instance (rename gO_4_28_MUX12 "gO_4.28.MUX12") (viewRef netlist (cellRef GTECH_MUX2_44 (libraryRef gtech))) + ) + (instance (rename gO_4_16_MUX12 "gO_4.16.MUX12") (viewRef netlist (cellRef GTECH_MUX2_45 (libraryRef gtech))) + ) + (instance (rename gO_4_9_MUX12 "gO_4.9.MUX12") (viewRef netlist (cellRef GTECH_MUX2_46 (libraryRef gtech))) + ) + (instance (rename gO_4_15_MUX12 "gO_4.15.MUX12") (viewRef netlist (cellRef GTECH_MUX2_47 (libraryRef gtech))) + ) + (instance (rename gO_4_21_MUX12 "gO_4.21.MUX12") (viewRef netlist (cellRef GTECH_MUX2_48 (libraryRef gtech))) + ) + (instance (rename gO_4_14_MUX12 "gO_4.14.MUX12") (viewRef netlist (cellRef GTECH_MUX2_49 (libraryRef gtech))) + ) + (instance (rename gO_4_20_MUX12 "gO_4.20.MUX12") (viewRef netlist (cellRef GTECH_MUX2_50 (libraryRef gtech))) + ) + (instance (rename gO_4_13_MUX12 "gO_4.13.MUX12") (viewRef netlist (cellRef GTECH_MUX2_51 (libraryRef gtech))) + ) + (instance (rename gO_4_19_MUX12 "gO_4.19.MUX12") (viewRef netlist (cellRef GTECH_MUX2_52 (libraryRef gtech))) + ) + (instance (rename gO_4_12_MUX12 "gO_4.12.MUX12") (viewRef netlist (cellRef GTECH_MUX2_53 (libraryRef gtech))) + ) + (instance (rename gO_4_29_MUX12 "gO_4.29.MUX12") (viewRef netlist (cellRef GTECH_MUX2_54 (libraryRef gtech))) + ) + (instance (rename gO_4_22_MUX12 "gO_4.22.MUX12") (viewRef netlist (cellRef GTECH_MUX2_55 (libraryRef gtech))) + ) + (instance (rename gO_4_17_MUX12 "gO_4.17.MUX12") (viewRef netlist (cellRef GTECH_MUX2_56 (libraryRef gtech))) + ) + (instance (rename gO_4_10_MUX12 "gO_4.10.MUX12") (viewRef netlist (cellRef GTECH_MUX2_57 (libraryRef gtech))) + ) + (instance (rename gO_4_4_MUX12 "gO_4.4.MUX12") (viewRef netlist (cellRef GTECH_MUX2_58 (libraryRef gtech))) + ) + (instance (rename gO_4_3_MUX12 "gO_4.3.MUX12") (viewRef netlist (cellRef GTECH_MUX2_59 (libraryRef gtech))) + ) + (instance (rename gO_4_2_MUX12 "gO_4.2.MUX12") (viewRef netlist (cellRef GTECH_MUX2_60 (libraryRef gtech))) + ) + (instance (rename gO_4_8_MUX12 "gO_4.8.MUX12") (viewRef netlist (cellRef GTECH_MUX2_61 (libraryRef gtech))) + ) + (instance (rename gO_4_1_MUX12 "gO_4.1.MUX12") (viewRef netlist (cellRef GTECH_MUX2_62 (libraryRef gtech))) + ) + (instance (rename gO_4_7_MUX12 "gO_4.7.MUX12") (viewRef netlist (cellRef GTECH_MUX2_63 (libraryRef gtech))) + ) + (instance (rename gO_4_0_MUX12 "gO_4.0.MUX12") (viewRef netlist (cellRef GTECH_MUX2_64 (libraryRef gtech))) + ) + (instance (rename gO_4_6_MUX12 "gO_4.6.MUX12") (viewRef netlist (cellRef GTECH_MUX2_65 (libraryRef gtech))) + ) + (instance (rename gO_4_5_MUX12 "gO_4.5.MUX12") (viewRef netlist (cellRef GTECH_MUX2_66 (libraryRef gtech))) + ) + (instance (rename gO_4_31_MUX12 "gO_4.31.MUX12") (viewRef netlist (cellRef GTECH_MUX2_67 (libraryRef gtech))) + ) + (instance (rename gO_4_24_MUX12 "gO_4.24.MUX12") (viewRef netlist (cellRef GTECH_MUX2_68 (libraryRef gtech))) + ) + (instance (rename gO_4_18_MUX12 "gO_4.18.MUX12") (viewRef netlist (cellRef GTECH_MUX2_69 (libraryRef gtech))) + ) + (instance (rename gO_4_11_MUX12 "gO_4.11.MUX12") (viewRef netlist (cellRef GTECH_MUX2_70 (libraryRef gtech))) + ) + (instance AN24 (viewRef netlist (cellRef GTECH_AND2_55 (libraryRef gtech))) + ) + (instance OR25 (viewRef netlist (cellRef GTECH_OR2_33 (libraryRef gtech))) + ) + (instance GND (viewRef PRIM (cellRef GND (libraryRef UNILIB))) ) + (instance VCC (viewRef PRIM (cellRef VCC (libraryRef UNILIB))) ) + (net rstn (joined + (portRef rstn) + (portRef rstn (instanceRef gO_4_11_MUX12)) + (portRef rstn (instanceRef gO_2_gO_2cN_AN8)) + (portRef I1 (instanceRef PSUM2AND1_REGS_un1_enable)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_0)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_44)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_45)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_46)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_47)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_48)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_49)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_50)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_51)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_52)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_53)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_54)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_55)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_56)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_57)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_58)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_59)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_60)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_62)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_63)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_64)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_67)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_68)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_69)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_65)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_61)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_66)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_1)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_2)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_3)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_8)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_10)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_12)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_11)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_13)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_16)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_15)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_14)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_17)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_18)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_19)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_20)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_24)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_23)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_28)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_27)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_26)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_25)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_30)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_29)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_31)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_32)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_33)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_35)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_34)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_36)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_37)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_40)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_39)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_38)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_42)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_41)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_43)) + (portRef I0 (instanceRef rstn_i)) + )) + (net rstn_i_fo (joined + (portRef O (instanceRef rstn_i)) + (portRef rstn_i_fo) + )) + (net (rename A1_product_signed_43 "A1_product_signed(43)") (joined + (portRef (member P 47) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_43)) + (portRef I0 (instanceRef psum1_signed_cry_43_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_43)) + )) + (net psum1_signed_cry_42 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_43)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_43)) + )) + (net psum1_saved_3_14 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_43)) + (portRef D (instanceRef psum1_saved_43)) + )) + (net (rename A1_product_signed_41 "A1_product_signed(41)") (joined + (portRef (member P 32) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_43)) + (portRef I0 (instanceRef psum1_signed_cry_41_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_41)) + )) + (net psum1_signed_cry_40 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_43)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_41)) + )) + (net psum1_saved_3_42 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_41)) + (portRef D (instanceRef psum1_saved_41)) + )) + (net (rename A1_product_signed_42 "A1_product_signed(42)") (joined + (portRef (member P 31) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_43)) + (portRef I0 (instanceRef psum1_signed_cry_42_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_42)) + )) + (net psum1_signed_cry_41 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_43)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_42)) + )) + (net psum1_saved_3_13 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_42)) + (portRef D (instanceRef psum1_saved_42)) + )) + (net (rename A1_product_signed_38 "A1_product_signed(38)") (joined + (portRef (member P 35) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_39)) + (portRef I0 (instanceRef psum1_signed_cry_38_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_38)) + )) + (net psum1_signed_cry_37 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_39)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_38)) + )) + (net psum1_saved_3_39 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_38)) + (portRef D (instanceRef psum1_saved_38)) + )) + (net (rename A1_product_signed_39 "A1_product_signed(39)") (joined + (portRef (member P 34) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_39)) + (portRef I0 (instanceRef psum1_signed_cry_39_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_39)) + )) + (net psum1_signed_cry_38 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_39)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_39)) + )) + (net psum1_saved_3_40 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_39)) + (portRef D (instanceRef psum1_saved_39)) + )) + (net (rename A1_product_signed_40 "A1_product_signed(40)") (joined + (portRef (member P 33) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_43)) + (portRef I0 (instanceRef psum1_signed_cry_40_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_40)) + )) + (net (rename psum1_signed_cryZ0Z_39 "psum1_signed_cry_39") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_39)) + (portRef CI (instanceRef psum1_signed_cry_43)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_40)) + )) + (net psum1_saved_3_41 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_40)) + (portRef D (instanceRef psum1_saved_40)) + )) + (net (rename A1_product_signed_37 "A1_product_signed(37)") (joined + (portRef (member P 36) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_39)) + (portRef I0 (instanceRef psum1_signed_cry_37_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_37)) + )) + (net psum1_signed_cry_36 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_39)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_37)) + )) + (net psum1_saved_3_38 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_37)) + (portRef D (instanceRef psum1_saved_37)) + )) + (net (rename A1_product_signed_36 "A1_product_signed(36)") (joined + (portRef (member P 37) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_39)) + (portRef I0 (instanceRef psum1_signed_cry_36_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_36)) + )) + (net (rename psum1_signed_cryZ0Z_35 "psum1_signed_cry_35") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_35)) + (portRef CI (instanceRef psum1_signed_cry_39)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_36)) + )) + (net psum1_saved_3_37 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_36)) + (portRef D (instanceRef psum1_saved_36)) + )) + (net (rename A1_product_signed_34 "A1_product_signed(34)") (joined + (portRef (member P 39) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_35)) + (portRef I0 (instanceRef psum1_signed_cry_34_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_34)) + )) + (net psum1_signed_cry_33 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_35)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_34)) + )) + (net psum1_saved_3_35 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_34)) + (portRef D (instanceRef psum1_saved_34)) + )) + (net (rename A1_product_signed_35 "A1_product_signed(35)") (joined + (portRef (member P 38) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_35)) + (portRef I0 (instanceRef psum1_signed_cry_35_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_35)) + )) + (net psum1_signed_cry_34 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_35)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_35)) + )) + (net psum1_saved_3_36 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_35)) + (portRef D (instanceRef psum1_saved_35)) + )) + (net (rename A1_product_signed_33 "A1_product_signed(33)") (joined + (portRef (member P 40) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_35)) + (portRef I0 (instanceRef psum1_signed_cry_33_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_33)) + )) + (net psum1_signed_cry_32 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_35)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_33)) + )) + (net psum1_saved_3_34 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_33)) + (portRef D (instanceRef psum1_saved_33)) + )) + (net (rename A1_product_signed_32 "A1_product_signed(32)") (joined + (portRef (member P 41) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_35)) + (portRef I0 (instanceRef psum1_signed_cry_32_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_32)) + )) + (net (rename psum1_signed_cryZ0Z_31 "psum1_signed_cry_31") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_31)) + (portRef CI (instanceRef psum1_signed_cry_35)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_32)) + )) + (net psum1_saved_3_33 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_32)) + (portRef D (instanceRef psum1_saved_32)) + )) + (net (rename A1_product_signed_31 "A1_product_signed(31)") (joined + (portRef (member P 42) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_31)) + (portRef I0 (instanceRef psum1_signed_cry_31_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_31)) + )) + (net psum1_signed_cry_30 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_31)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_31)) + )) + (net psum1_saved_3_32 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_31)) + (portRef D (instanceRef psum1_saved_31)) + )) + (net (rename A1_product_signed_29 "A1_product_signed(29)") (joined + (portRef (member P 44) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_31)) + (portRef I0 (instanceRef psum1_signed_cry_29_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_29)) + )) + (net psum1_signed_cry_28 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_31)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_29)) + )) + (net psum1_saved_3_30 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_29)) + (portRef D (instanceRef psum1_saved_29)) + )) + (net (rename A1_product_signed_30 "A1_product_signed(30)") (joined + (portRef (member P 43) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_31)) + (portRef I0 (instanceRef psum1_signed_cry_30_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_30)) + )) + (net psum1_signed_cry_29 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_31)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_30)) + )) + (net psum1_saved_3_31 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_30)) + (portRef D (instanceRef psum1_saved_30)) + )) + (net (rename A1_product_signed_25 "A1_product_signed(25)") (joined + (portRef (member P 39) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_27)) + (portRef I0 (instanceRef psum1_signed_cry_25_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_25)) + )) + (net psum1_signed_cry_24 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_27)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_25)) + )) + (net psum1_saved_3_56 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_25)) + (portRef D (instanceRef psum1_saved_25)) + )) + (net (rename A1_product_signed_26 "A1_product_signed(26)") (joined + (portRef (member P 47) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_27)) + (portRef I0 (instanceRef psum1_signed_cry_26_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_26)) + )) + (net psum1_signed_cry_25 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_27)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_26)) + )) + (net psum1_saved_3_57 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_26)) + (portRef D (instanceRef psum1_saved_26)) + )) + (net (rename A1_product_signed_27 "A1_product_signed(27)") (joined + (portRef (member P 46) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_27)) + (portRef I0 (instanceRef psum1_signed_cry_27_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_27)) + )) + (net psum1_signed_cry_26 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_27)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_27)) + )) + (net psum1_saved_3_28 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_27)) + (portRef D (instanceRef psum1_saved_27)) + )) + (net (rename A1_product_signed_28 "A1_product_signed(28)") (joined + (portRef (member P 45) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_31)) + (portRef I0 (instanceRef psum1_signed_cry_28_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_28)) + )) + (net (rename psum1_signed_cryZ0Z_27 "psum1_signed_cry_27") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_27)) + (portRef CI (instanceRef psum1_signed_cry_31)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_28)) + )) + (net psum1_saved_3_29 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_28)) + (portRef D (instanceRef psum1_saved_28)) + )) + (net (rename A1_product_signed_21 "A1_product_signed(21)") (joined + (portRef (member P 43) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_23)) + (portRef I0 (instanceRef psum1_signed_cry_21_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) + )) + (net psum1_signed_cry_20 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_23)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) + )) + (net psum1_saved_3_52 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) + (portRef D (instanceRef psum1_saved_21)) + )) + (net (rename A1_product_signed_22 "A1_product_signed(22)") (joined + (portRef (member P 42) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_23)) + (portRef I0 (instanceRef psum1_signed_cry_22_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) + )) + (net psum1_signed_cry_21 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_23)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) + )) + (net psum1_saved_3_53 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) + (portRef D (instanceRef psum1_saved_22)) + )) + (net (rename A1_product_signed_23 "A1_product_signed(23)") (joined + (portRef (member P 41) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_23)) + (portRef I0 (instanceRef psum1_signed_cry_23_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_23)) + )) + (net psum1_signed_cry_22 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_23)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_23)) + )) + (net psum1_saved_3_54 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_23)) + (portRef D (instanceRef psum1_saved_23)) + )) + (net (rename A1_product_signed_24 "A1_product_signed(24)") (joined + (portRef (member P 40) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_27)) + (portRef I0 (instanceRef psum1_signed_cry_24_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_24)) + )) + (net (rename psum1_signed_cryZ0Z_23 "psum1_signed_cry_23") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_23)) + (portRef CI (instanceRef psum1_signed_cry_27)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_24)) + )) + (net psum1_saved_3_55 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_24)) + (portRef D (instanceRef psum1_saved_24)) + )) + (net (rename A1_product_signed_20 "A1_product_signed(20)") (joined + (portRef (member P 44) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_23)) + (portRef I0 (instanceRef psum1_signed_cry_20_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_20)) + )) + (net (rename psum1_signed_cryZ0Z_19 "psum1_signed_cry_19") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_19)) + (portRef CI (instanceRef psum1_signed_cry_23)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_20)) + )) + (net psum1_saved_3_51 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_20)) + (portRef D (instanceRef psum1_saved_20)) + )) + (net (rename A1_product_signed_19 "A1_product_signed(19)") (joined + (portRef (member P 45) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_19)) + (portRef I0 (instanceRef psum1_signed_cry_19_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_19)) + )) + (net psum1_signed_cry_18 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_19)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_19)) + )) + (net psum1_saved_3_50 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_19)) + (portRef D (instanceRef psum1_saved_19)) + )) + (net (rename A1_product_signed_18 "A1_product_signed(18)") (joined + (portRef (member P 46) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_19)) + (portRef I0 (instanceRef psum1_signed_cry_18_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_18)) + )) + (net psum1_signed_cry_17 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_19)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_18)) + )) + (net psum1_saved_3_49 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_18)) + (portRef D (instanceRef psum1_saved_18)) + )) + (net (rename A1_product_signed_17 "A1_product_signed(17)") (joined + (portRef (member P 47) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_19)) + (portRef I0 (instanceRef psum1_signed_cry_17_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_17)) + )) + (net psum1_signed_cry_16 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_19)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_17)) + )) + (net psum1_saved_3_48 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_17)) + (portRef D (instanceRef psum1_saved_17)) + )) + (net (rename A1_product_signed_14 "A1_product_signed(14)") (joined + (portRef (member P 33) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_15)) + (portRef I0 (instanceRef psum1_signed_cry_14_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_14)) + )) + (net psum1_signed_cry_13 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_15)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_14)) + )) + (net psum1_saved_3_45 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_14)) + (portRef D (instanceRef psum1_saved_14)) + )) + (net (rename A1_product_signed_15 "A1_product_signed(15)") (joined + (portRef (member P 32) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_15)) + (portRef I0 (instanceRef psum1_signed_cry_15_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_15)) + )) + (net psum1_signed_cry_14 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_15)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_15)) + )) + (net psum1_saved_3_46 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_15)) + (portRef D (instanceRef psum1_saved_15)) + )) + (net (rename A1_product_signed_16 "A1_product_signed(16)") (joined + (portRef (member P 31) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_19)) + (portRef I0 (instanceRef psum1_signed_cry_16_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_16)) + )) + (net (rename psum1_signed_cryZ0Z_15 "psum1_signed_cry_15") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_15)) + (portRef CI (instanceRef psum1_signed_cry_19)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_16)) + )) + (net psum1_saved_3_47 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_16)) + (portRef D (instanceRef psum1_saved_16)) + )) + (net (rename A1_product_signed_13 "A1_product_signed(13)") (joined + (portRef (member P 34) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_15)) + (portRef I0 (instanceRef psum1_signed_cry_13_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_13)) + )) + (net psum1_signed_cry_12 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_15)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_13)) + )) + (net psum1_saved_3_44 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_13)) + (portRef D (instanceRef psum1_saved_13)) + )) + (net (rename A1_product_signed_11 "A1_product_signed(11)") (joined + (portRef (member P 36) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_11)) + (portRef I0 (instanceRef psum1_signed_cry_11_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_11)) + )) + (net psum1_signed_cry_10 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_11)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_11)) + )) + (net psum1_saved_3_69 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_11)) + (portRef D (instanceRef psum1_saved_11)) + )) + (net (rename A1_product_signed_12 "A1_product_signed(12)") (joined + (portRef (member P 35) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_15)) + (portRef I0 (instanceRef psum1_signed_cry_12_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_12)) + )) + (net (rename psum1_signed_cryZ0Z_11 "psum1_signed_cry_11") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_11)) + (portRef CI (instanceRef psum1_signed_cry_15)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_12)) + )) + (net psum1_saved_3_43 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_12)) + (portRef D (instanceRef psum1_saved_12)) + )) + (net (rename A1_product_signed_10 "A1_product_signed(10)") (joined + (portRef (member P 37) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_11)) + (portRef I0 (instanceRef psum1_signed_cry_10_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_10)) + )) + (net psum1_signed_cry_9 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_11)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_10)) + )) + (net psum1_saved_3_68 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_10)) + (portRef D (instanceRef psum1_saved_10)) + )) + (net (rename A1_product_signed_9 "A1_product_signed(9)") (joined + (portRef (member P 38) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_11)) + (portRef I0 (instanceRef psum1_signed_cry_9_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) + )) + (net psum1_signed_cry_8 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_11)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) + )) + (net psum1_saved_3_67 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) + (portRef D (instanceRef psum1_saved_9)) + )) + (net (rename A1_product_signed_7 "A1_product_signed(7)") (joined + (portRef (member P 40) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_7)) + (portRef I0 (instanceRef psum1_signed_cry_7_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) + )) + (net psum1_signed_cry_6 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_7)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) + )) + (net psum1_saved_3_65 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) + (portRef D (instanceRef psum1_saved_7)) + )) + (net (rename A1_product_signed_6 "A1_product_signed(6)") (joined + (portRef (member P 41) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_7)) + (portRef I0 (instanceRef psum1_signed_cry_6_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) + )) + (net psum1_signed_cry_5 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_7)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) + )) + (net psum1_saved_3_64 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) + (portRef D (instanceRef psum1_saved_6)) + )) + (net (rename A1_product_signed_8 "A1_product_signed(8)") (joined + (portRef (member P 39) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_11)) + (portRef I0 (instanceRef psum1_signed_cry_8_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_8)) + )) + (net (rename psum1_signed_cryZ0Z_7 "psum1_signed_cry_7") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_7)) + (portRef CI (instanceRef psum1_signed_cry_11)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_8)) + )) + (net psum1_saved_3_66 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_8)) + (portRef D (instanceRef psum1_saved_8)) + )) + (net (rename A1_product_signed_5 "A1_product_signed(5)") (joined + (portRef (member P 42) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_7)) + (portRef I0 (instanceRef psum1_signed_cry_5_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) + )) + (net psum1_signed_cry_4 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_7)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) + )) + (net psum1_saved_3_63 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) + (portRef D (instanceRef psum1_saved_5)) + )) + (net (rename A1_product_signed_4 "A1_product_signed(4)") (joined + (portRef (member P 43) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_7)) + (portRef I0 (instanceRef psum1_signed_cry_4_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) + )) + (net (rename psum1_signed_cryZ0Z_3 "psum1_signed_cry_3") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_3)) + (portRef CI (instanceRef psum1_signed_cry_7)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) + )) + (net psum1_saved_3_62 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) + (portRef D (instanceRef psum1_saved_4)) + )) + (net (rename A1_product_signed_3 "A1_product_signed(3)") (joined + (portRef (member P 44) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_3)) + (portRef I0 (instanceRef psum1_signed_cry_3_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_3)) + )) + (net psum1_signed_cry_2 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_3)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_3)) + )) + (net psum1_saved_3_61 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_3)) + (portRef D (instanceRef psum1_saved_3)) + )) + (net (rename A1_product_signed_2 "A1_product_signed(2)") (joined + (portRef (member P 45) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_3)) + (portRef I0 (instanceRef psum1_signed_cry_2_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_2)) + )) + (net psum1_signed_cry_1 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_3)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_2)) + )) + (net psum1_saved_3_60 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_2)) + (portRef D (instanceRef psum1_saved_2)) + )) + (net (rename A1_product_signed_1 "A1_product_signed(1)") (joined + (portRef (member P 46) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_3)) + (portRef I0 (instanceRef psum1_signed_cry_1_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_1)) + )) + (net psum1_signed_cry_0 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_3)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_1)) + )) + (net psum1_saved_3_59 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_1)) + (portRef D (instanceRef psum1_saved_1)) + )) + (net (rename A1_product_signed_1_66 "A1_product_signed_1(66)") (joined + (portRef O (instanceRef psum1_signed_s_66_thru)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_66)) + )) + (net psum1_signed_cry_65 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_67)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_66)) + )) + (net psum1_saved_3_8 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_66)) + (portRef D (instanceRef psum1_saved_66)) + )) + (net (rename A1_product_signed_1_61 "A1_product_signed_1(61)") (joined + (portRef O (instanceRef psum1_signed_s_61_thru)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_61)) + )) + (net psum1_signed_cry_60 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_63)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_61)) + )) + (net psum1_saved_3_3 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_61)) + (portRef D (instanceRef psum1_saved_61)) + )) + (net (rename A1_product_signed_1_65 "A1_product_signed_1(65)") (joined + (portRef O (instanceRef psum1_signed_s_65_thru)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_65)) + )) + (net psum1_signed_cry_64 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_67)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_65)) + )) + (net psum1_saved_3_7 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_65)) + (portRef D (instanceRef psum1_saved_65)) + )) + (net (rename A1_product_signedAdd_0_9 "A1_product_signedAdd_0(9)") (joined + (portRef (member P 38) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 47) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_10 "A1_product_signedAdd_0(10)") (joined + (portRef (member P 37) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 46) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_11 "A1_product_signedAdd_0(11)") (joined + (portRef (member P 36) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 45) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_12 "A1_product_signedAdd_0(12)") (joined + (portRef (member P 35) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 44) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_13 "A1_product_signedAdd_0(13)") (joined + (portRef (member P 34) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 43) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_14 "A1_product_signedAdd_0(14)") (joined + (portRef (member P 33) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 42) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_15 "A1_product_signedAdd_0(15)") (joined + (portRef (member P 32) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 41) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_16 "A1_product_signedAdd_0(16)") (joined + (portRef (member P 31) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 40) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_17 "A1_product_signedAdd_0(17)") (joined + (portRef (member P 30) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 39) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_18 "A1_product_signedAdd_0(18)") (joined + (portRef (member P 29) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 38) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_19 "A1_product_signedAdd_0(19)") (joined + (portRef (member P 28) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 37) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_20 "A1_product_signedAdd_0(20)") (joined + (portRef (member P 27) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 36) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_21 "A1_product_signedAdd_0(21)") (joined + (portRef (member P 26) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 35) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_22 "A1_product_signedAdd_0(22)") (joined + (portRef (member P 25) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 34) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_23 "A1_product_signedAdd_0(23)") (joined + (portRef (member P 24) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 33) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_24 "A1_product_signedAdd_0(24)") (joined + (portRef (member P 23) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 32) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_25 "A1_product_signedAdd_0(25)") (joined + (portRef (member P 22) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 31) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_26 "A1_product_signedAdd_0(26)") (joined + (portRef (member P 21) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 30) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_27 "A1_product_signedAdd_0(27)") (joined + (portRef (member P 20) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 29) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_28 "A1_product_signedAdd_0(28)") (joined + (portRef (member P 19) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 28) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_29 "A1_product_signedAdd_0(29)") (joined + (portRef (member P 18) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 27) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_30 "A1_product_signedAdd_0(30)") (joined + (portRef (member P 17) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 26) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_31 "A1_product_signedAdd_0(31)") (joined + (portRef (member P 16) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 25) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_32 "A1_product_signedAdd_0(32)") (joined + (portRef (member P 15) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 24) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_33 "A1_product_signedAdd_0(33)") (joined + (portRef (member P 14) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 23) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_34 "A1_product_signedAdd_0(34)") (joined + (portRef (member P 13) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 22) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_35 "A1_product_signedAdd_0(35)") (joined + (portRef (member P 12) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 21) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_36 "A1_product_signedAdd_0(36)") (joined + (portRef (member P 11) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 20) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_37 "A1_product_signedAdd_0(37)") (joined + (portRef (member P 10) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 19) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_38 "A1_product_signedAdd_0(38)") (joined + (portRef (member P 9) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 18) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_39 "A1_product_signedAdd_0(39)") (joined + (portRef (member P 8) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 17) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_40 "A1_product_signedAdd_0(40)") (joined + (portRef (member P 7) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 16) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_41 "A1_product_signedAdd_0(41)") (joined + (portRef (member P 6) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 15) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename A1_product_signedAdd_0_42 "A1_product_signedAdd_0(42)") (joined + (portRef (member P 5) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 5) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 6) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 7) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 8) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 9) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 10) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 11) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 12) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 13) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member C 14) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename GNDZ0 "GND") (joined + (portRef G (instanceRef GND)) + (portRef (member S 0) (instanceRef psum1_signed_s_69)) + (portRef (member S 1) (instanceRef psum1_signed_s_69)) + (portRef (member DI 0) (instanceRef psum1_signed_s_69)) + (portRef (member DI 1) (instanceRef psum1_signed_s_69)) + (portRef (member DI 2) (instanceRef psum1_signed_s_69)) + (portRef (member DI 3) (instanceRef psum1_signed_s_69)) + (portRef CYINIT (instanceRef psum1_signed_s_69)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_67)) + (portRef CYINIT (instanceRef psum1_signed_cry_67)) + (portRef CYINIT (instanceRef psum1_signed_cry_63)) + (portRef CYINIT (instanceRef psum1_signed_cry_59)) + (portRef CYINIT (instanceRef psum1_signed_cry_55)) + (portRef CYINIT (instanceRef psum1_signed_cry_51)) + (portRef CYINIT (instanceRef psum1_signed_cry_47)) + (portRef CYINIT (instanceRef psum1_signed_cry_43)) + (portRef CYINIT (instanceRef psum1_signed_cry_39)) + (portRef CYINIT (instanceRef psum1_signed_cry_35)) + (portRef CYINIT (instanceRef psum1_signed_cry_31)) + (portRef CYINIT (instanceRef psum1_signed_cry_27)) + (portRef CYINIT (instanceRef psum1_signed_cry_23)) + (portRef CYINIT (instanceRef psum1_signed_cry_19)) + (portRef CYINIT (instanceRef psum1_signed_cry_15)) + (portRef CYINIT (instanceRef psum1_signed_cry_11)) + (portRef CYINIT (instanceRef psum1_signed_cry_7)) + (portRef CYINIT (instanceRef psum1_signed_cry_3)) + (portRef CI (instanceRef psum1_signed_cry_3)) + (portRef (member S 0) (instanceRef un1_psum0_signed_s_70)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_s_70)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_s_70)) + (portRef CYINIT (instanceRef un1_psum0_signed_s_70)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_67)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_63)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_59)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_55)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_51)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_47)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_43)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_39)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_35)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_31)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_27)) + (portRef CYINIT (instanceRef un1_psum0_signed_cry_23)) + (portRef CI (instanceRef un1_psum0_signed_cry_23)) + (portRef RSTP (instanceRef B0_product_signed_0_33_0)) + (portRef RSTM (instanceRef B0_product_signed_0_33_0)) + (portRef RSTINMODE (instanceRef B0_product_signed_0_33_0)) + (portRef RSTD (instanceRef B0_product_signed_0_33_0)) + (portRef RSTCTRL (instanceRef B0_product_signed_0_33_0)) + (portRef RSTC (instanceRef B0_product_signed_0_33_0)) + (portRef RSTB (instanceRef B0_product_signed_0_33_0)) + (portRef RSTALUMODE (instanceRef B0_product_signed_0_33_0)) + (portRef RSTALLCARRYIN (instanceRef B0_product_signed_0_33_0)) + (portRef RSTA (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 5) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 6) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 7) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 8) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 9) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 10) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 11) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 12) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 13) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 14) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 15) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 16) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 17) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 18) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 19) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 20) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 21) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 22) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 23) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 24) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 25) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 26) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 27) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 28) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 29) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 30) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 31) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 32) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 33) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 34) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 35) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 36) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 37) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 38) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 39) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 40) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 41) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 42) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 43) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 44) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 45) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 46) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 47) (instanceRef B0_product_signed_0_33_0)) + (portRef (member OPMODE 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member OPMODE 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member OPMODE 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member OPMODE 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member OPMODE 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member OPMODE 5) (instanceRef B0_product_signed_0_33_0)) + (portRef (member OPMODE 7) (instanceRef B0_product_signed_0_33_0)) + (portRef MULTSIGNIN (instanceRef B0_product_signed_0_33_0)) + (portRef (member INMODE 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member INMODE 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member INMODE 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member INMODE 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member INMODE 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 5) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 6) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 7) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 8) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 9) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 10) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 11) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 12) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 13) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 14) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 15) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 16) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 17) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 18) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 19) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 20) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 21) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 22) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 23) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 24) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 25) (instanceRef B0_product_signed_0_33_0)) + (portRef (member D 26) (instanceRef B0_product_signed_0_33_0)) + (portRef CLK (instanceRef B0_product_signed_0_33_0)) + (portRef CEP (instanceRef B0_product_signed_0_33_0)) + (portRef CEM (instanceRef B0_product_signed_0_33_0)) + (portRef CEINMODE (instanceRef B0_product_signed_0_33_0)) + (portRef CED (instanceRef B0_product_signed_0_33_0)) + (portRef CECTRL (instanceRef B0_product_signed_0_33_0)) + (portRef CECARRYIN (instanceRef B0_product_signed_0_33_0)) + (portRef CEC (instanceRef B0_product_signed_0_33_0)) + (portRef CEB2 (instanceRef B0_product_signed_0_33_0)) + (portRef CEB1 (instanceRef B0_product_signed_0_33_0)) + (portRef CEALUMODE (instanceRef B0_product_signed_0_33_0)) + (portRef CEAD (instanceRef B0_product_signed_0_33_0)) + (portRef CEA2 (instanceRef B0_product_signed_0_33_0)) + (portRef CEA1 (instanceRef B0_product_signed_0_33_0)) + (portRef (member CARRYINSEL 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member CARRYINSEL 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member CARRYINSEL 2) (instanceRef B0_product_signed_0_33_0)) + (portRef CARRYIN (instanceRef B0_product_signed_0_33_0)) + (portRef CARRYCASCIN (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 5) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 6) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 7) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 8) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 9) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 10) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 11) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 12) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 13) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 14) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 15) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 16) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 17) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 18) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 19) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 20) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 21) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 22) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 23) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 24) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 25) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 26) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 27) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 28) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 29) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 30) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 31) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 32) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 33) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 34) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 35) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 36) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 37) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 38) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 39) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 40) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 41) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 42) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 43) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 44) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 45) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 46) (instanceRef B0_product_signed_0_33_0)) + (portRef (member C 47) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 5) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 6) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 7) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 8) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 9) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 10) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 11) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 12) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 13) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 14) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 15) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 16) (instanceRef B0_product_signed_0_33_0)) + (portRef (member BCIN 17) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ALUMODE 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ALUMODE 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ALUMODE 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ALUMODE 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 5) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 6) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 7) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 8) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 9) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 10) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 11) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 12) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 13) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 14) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 15) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 16) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 17) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 18) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 19) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 20) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 21) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 22) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 23) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 24) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 25) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 26) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 27) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 28) (instanceRef B0_product_signed_0_33_0)) + (portRef (member ACIN 29) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 5) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 6) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 7) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 8) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 9) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 10) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 11) (instanceRef B0_product_signed_0_33_0)) + (portRef (member A 12) (instanceRef B0_product_signed_0_33_0)) + (portRef RSTP (instanceRef A1_product_signed_0_44_0)) + (portRef RSTM (instanceRef A1_product_signed_0_44_0)) + (portRef RSTINMODE (instanceRef A1_product_signed_0_44_0)) + (portRef RSTD (instanceRef A1_product_signed_0_44_0)) + (portRef RSTCTRL (instanceRef A1_product_signed_0_44_0)) + (portRef RSTC (instanceRef A1_product_signed_0_44_0)) + (portRef RSTB (instanceRef A1_product_signed_0_44_0)) + (portRef RSTALUMODE (instanceRef A1_product_signed_0_44_0)) + (portRef RSTALLCARRYIN (instanceRef A1_product_signed_0_44_0)) + (portRef RSTA (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 5) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 6) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 7) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 8) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 9) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 10) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 11) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 12) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 13) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 14) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 15) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 16) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 17) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 18) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 19) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 20) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 21) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 22) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 23) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 24) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 25) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 26) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 27) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 28) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 29) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 30) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 31) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 32) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 33) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 34) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 35) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 36) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 37) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 38) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 39) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 40) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 41) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 42) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 43) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 44) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 45) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 46) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 47) (instanceRef A1_product_signed_0_44_0)) + (portRef (member OPMODE 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member OPMODE 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member OPMODE 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member OPMODE 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member OPMODE 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member OPMODE 5) (instanceRef A1_product_signed_0_44_0)) + (portRef (member OPMODE 7) (instanceRef A1_product_signed_0_44_0)) + (portRef MULTSIGNIN (instanceRef A1_product_signed_0_44_0)) + (portRef (member INMODE 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member INMODE 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member INMODE 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member INMODE 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member INMODE 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 5) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 6) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 7) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 8) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 9) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 10) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 11) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 12) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 13) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 14) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 15) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 16) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 17) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 18) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 19) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 20) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 21) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 22) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 23) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 24) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 25) (instanceRef A1_product_signed_0_44_0)) + (portRef (member D 26) (instanceRef A1_product_signed_0_44_0)) + (portRef CLK (instanceRef A1_product_signed_0_44_0)) + (portRef CEP (instanceRef A1_product_signed_0_44_0)) + (portRef CEM (instanceRef A1_product_signed_0_44_0)) + (portRef CEINMODE (instanceRef A1_product_signed_0_44_0)) + (portRef CED (instanceRef A1_product_signed_0_44_0)) + (portRef CECTRL (instanceRef A1_product_signed_0_44_0)) + (portRef CECARRYIN (instanceRef A1_product_signed_0_44_0)) + (portRef CEC (instanceRef A1_product_signed_0_44_0)) + (portRef CEB2 (instanceRef A1_product_signed_0_44_0)) + (portRef CEB1 (instanceRef A1_product_signed_0_44_0)) + (portRef CEALUMODE (instanceRef A1_product_signed_0_44_0)) + (portRef CEAD (instanceRef A1_product_signed_0_44_0)) + (portRef CEA2 (instanceRef A1_product_signed_0_44_0)) + (portRef CEA1 (instanceRef A1_product_signed_0_44_0)) + (portRef (member CARRYINSEL 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member CARRYINSEL 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member CARRYINSEL 2) (instanceRef A1_product_signed_0_44_0)) + (portRef CARRYIN (instanceRef A1_product_signed_0_44_0)) + (portRef CARRYCASCIN (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 5) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 6) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 7) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 8) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 9) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 10) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 11) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 12) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 13) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 14) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 15) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 16) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 17) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 18) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 19) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 20) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 21) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 22) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 23) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 24) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 25) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 26) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 27) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 28) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 29) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 30) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 31) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 32) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 33) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 34) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 35) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 36) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 37) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 38) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 39) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 40) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 41) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 42) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 43) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 44) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 45) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 46) (instanceRef A1_product_signed_0_44_0)) + (portRef (member C 47) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 5) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 6) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 7) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 8) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 9) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 10) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 11) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 12) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 13) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 14) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 15) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 16) (instanceRef A1_product_signed_0_44_0)) + (portRef (member BCIN 17) (instanceRef A1_product_signed_0_44_0)) + (portRef (member B 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ALUMODE 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ALUMODE 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ALUMODE 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ALUMODE 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 5) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 6) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 7) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 8) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 9) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 10) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 11) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 12) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 13) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 14) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 15) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 16) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 17) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 18) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 19) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 20) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 21) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 22) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 23) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 24) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 25) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 26) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 27) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 28) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 29) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 3) (instanceRef A1_product_signed_0_44_0)) + (portRef RSTP (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTM (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTINMODE (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTD (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTCTRL (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTC (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTB (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTALUMODE (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTALLCARRYIN (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTA (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member OPMODE 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member OPMODE 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member OPMODE 3) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member OPMODE 5) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member OPMODE 7) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef MULTSIGNIN (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member INMODE 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member INMODE 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member INMODE 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member INMODE 3) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member INMODE 4) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 3) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 4) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 5) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 6) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 7) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 8) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 9) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 10) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 11) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 12) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 13) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 14) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 15) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 16) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 17) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 18) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 19) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 20) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 21) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 22) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 23) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 24) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 25) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member D 26) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CLK (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEP (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEM (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEINMODE (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CED (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CECTRL (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CECARRYIN (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEC (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEB2 (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEB1 (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEALUMODE (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEAD (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEA2 (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CEA1 (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member CARRYINSEL 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member CARRYINSEL 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member CARRYINSEL 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CARRYIN (instanceRef A1_product_signedAdd_2_24_0)) + (portRef CARRYCASCIN (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 3) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 4) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 5) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 6) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 7) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 8) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 9) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 10) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 11) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 12) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 13) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 14) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 15) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 16) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 17) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 18) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 19) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 20) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 21) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 22) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 23) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 24) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 25) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 26) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 27) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 28) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 29) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 30) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 31) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 32) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 33) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 34) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 35) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 36) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 37) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 38) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 39) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 40) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 41) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 42) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 43) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 44) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 45) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 46) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member C 47) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 3) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 4) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 5) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 6) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 7) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 8) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 9) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 10) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 11) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 12) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 13) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 14) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 15) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 16) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member BCIN 17) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ALUMODE 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ALUMODE 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ALUMODE 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ALUMODE 3) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 3) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 4) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 5) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 6) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 7) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 8) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 9) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 10) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 11) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 12) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 13) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 14) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 15) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 16) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 17) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 18) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 19) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 20) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 21) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 22) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 23) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 24) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 25) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 26) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 27) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 28) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member ACIN 29) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef RSTP (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTM (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTINMODE (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTD (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTCTRL (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTC (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTB (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTALUMODE (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTALLCARRYIN (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTA (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member OPMODE 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member OPMODE 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member OPMODE 3) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member OPMODE 5) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member OPMODE 7) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef MULTSIGNIN (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member INMODE 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member INMODE 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member INMODE 2) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member INMODE 3) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member INMODE 4) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 2) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 3) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 4) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 5) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 6) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 7) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 8) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 9) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 10) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 11) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 12) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 13) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 14) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 15) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 16) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 17) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 18) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 19) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 20) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 21) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 22) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 23) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 24) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 25) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member D 26) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CLK (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEP (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEM (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEINMODE (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CED (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CECTRL (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CECARRYIN (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEC (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEB2 (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEB1 (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEALUMODE (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEAD (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEA2 (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CEA1 (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member CARRYINSEL 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member CARRYINSEL 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member CARRYINSEL 2) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CARRYIN (instanceRef B0_product_signedAdd_0_30_0)) + (portRef CARRYCASCIN (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 2) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 3) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 4) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 5) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 6) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 7) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 8) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 9) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 10) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 11) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 12) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 13) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 14) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 15) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 16) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 17) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 18) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 19) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 20) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 21) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 22) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 23) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 24) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 25) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 26) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 27) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 28) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 29) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 30) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 31) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 32) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 33) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 34) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 35) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 36) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 37) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 38) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 39) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 40) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 41) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 42) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 43) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 44) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 45) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 46) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member C 47) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 2) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 3) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 4) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 5) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 6) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 7) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 8) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 9) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 10) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 11) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 12) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 13) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 14) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 15) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 16) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member BCIN 17) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ALUMODE 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ALUMODE 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ALUMODE 2) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ALUMODE 3) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 2) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 3) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 4) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 5) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 6) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 7) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 8) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 9) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 10) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 11) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 12) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 13) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 14) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 15) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 16) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 17) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 18) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 19) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 20) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 21) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 22) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 23) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 24) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 25) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 26) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 27) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 28) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member ACIN 29) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef RSTP (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTM (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTINMODE (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTD (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTCTRL (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTC (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTB (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTALUMODE (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTALLCARRYIN (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTA (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 5) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 6) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 7) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 8) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 9) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 10) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 11) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 12) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 13) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 14) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 15) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 16) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 17) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 18) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 19) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 20) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 21) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 22) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 23) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 24) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 25) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 26) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 27) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 28) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 29) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 30) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 31) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 32) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 33) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 34) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 35) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 36) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 37) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 38) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 39) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 40) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 41) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 42) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 43) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 44) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 45) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 46) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 47) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member OPMODE 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member OPMODE 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member OPMODE 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member OPMODE 5) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member OPMODE 7) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef MULTSIGNIN (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member INMODE 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member INMODE 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member INMODE 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member INMODE 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member INMODE 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 5) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 6) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 7) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 8) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 9) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 10) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 11) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 12) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 13) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 14) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 15) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 16) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 17) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 18) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 19) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 20) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 21) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 22) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 23) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 24) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 25) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member D 26) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CLK (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEP (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEM (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEINMODE (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CED (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CECTRL (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CECARRYIN (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEC (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEB2 (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEB1 (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEALUMODE (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEAD (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEA2 (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CEA1 (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member CARRYINSEL 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member CARRYINSEL 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member CARRYINSEL 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CARRYIN (instanceRef A1_product_signedAdd_1_34_0)) + (portRef CARRYCASCIN (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 5) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 6) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 7) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 8) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 9) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 10) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 11) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 12) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 13) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 14) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 15) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 16) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member BCIN 17) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ALUMODE 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ALUMODE 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ALUMODE 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ALUMODE 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 5) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 6) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 7) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 8) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 9) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 10) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 11) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 12) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 13) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 14) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 15) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 16) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 17) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 18) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 19) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 20) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 21) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 22) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 23) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 24) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 25) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 26) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 27) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 28) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member ACIN 29) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 5) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 6) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 7) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 8) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 9) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 10) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 11) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member A 12) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef RSTP (instanceRef A1_product_signedAdd_0_42_0)) + (portRef RSTM (instanceRef A1_product_signedAdd_0_42_0)) + (portRef RSTINMODE (instanceRef A1_product_signedAdd_0_42_0)) + (portRef RSTD (instanceRef A1_product_signedAdd_0_42_0)) + (portRef RSTCTRL (instanceRef A1_product_signedAdd_0_42_0)) + (portRef RSTC (instanceRef A1_product_signedAdd_0_42_0)) + (portRef RSTB (instanceRef A1_product_signedAdd_0_42_0)) + (portRef RSTALUMODE (instanceRef A1_product_signedAdd_0_42_0)) + (portRef RSTALLCARRYIN (instanceRef A1_product_signedAdd_0_42_0)) + (portRef RSTA (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member OPMODE 0) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member OPMODE 1) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member OPMODE 3) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member OPMODE 5) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member OPMODE 7) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef MULTSIGNIN (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member INMODE 0) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member INMODE 1) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member INMODE 2) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member INMODE 3) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member INMODE 4) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 0) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 1) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 2) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 3) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 4) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 5) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 6) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 7) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 8) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 9) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 10) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 11) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 12) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 13) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 14) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 15) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 16) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 17) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 18) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 19) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 20) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 21) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 22) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 23) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 24) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 25) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member D 26) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CLK (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEP (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEM (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEINMODE (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CED (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CECTRL (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CECARRYIN (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEC (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEB2 (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEB1 (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEALUMODE (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEAD (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEA2 (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CEA1 (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member CARRYINSEL 0) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member CARRYINSEL 1) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member CARRYINSEL 2) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CARRYIN (instanceRef A1_product_signedAdd_0_42_0)) + (portRef CARRYCASCIN (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 0) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 1) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 2) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 3) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 4) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 5) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 6) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 7) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 8) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 9) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 10) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 11) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 12) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 13) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 14) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 15) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 16) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 17) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 18) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 19) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 20) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 21) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 22) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 23) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 24) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 25) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 26) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 27) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 28) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 29) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 30) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 31) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 32) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 33) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 34) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 35) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 36) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 37) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 38) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 39) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 40) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 41) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 42) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 43) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 44) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 45) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 46) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member C 47) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 0) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 1) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 2) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 3) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 4) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 5) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 6) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 7) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 8) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 9) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 10) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 11) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 12) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 13) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 14) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 15) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 16) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member BCIN 17) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member ALUMODE 0) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member ALUMODE 1) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member ALUMODE 2) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member ALUMODE 3) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 0) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 1) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 2) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 3) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 4) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 5) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 6) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 7) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 8) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 9) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 10) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 11) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 12) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 13) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 14) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 15) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 16) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 17) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 18) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 19) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 20) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 21) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 22) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 23) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 24) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 25) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 26) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 27) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 28) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member A 29) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_0 "feedback_data_0(0)") (joined + (portRef (member ACOUT 29) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 29) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_48_i_0 (joined + (portRef (member ACOUT 28) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 28) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_2 "feedback_data_0(2)") (joined + (portRef (member ACOUT 27) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 27) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_3 "feedback_data_0(3)") (joined + (portRef (member ACOUT 26) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 26) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_4 "feedback_data_0(4)") (joined + (portRef (member ACOUT 25) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 25) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_44_i_0 (joined + (portRef (member ACOUT 24) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 24) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_40_i_0 (joined + (portRef (member ACOUT 23) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 23) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_7 "feedback_data_0(7)") (joined + (portRef (member ACOUT 22) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 22) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_8 "feedback_data_0(8)") (joined + (portRef (member ACOUT 21) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 21) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_9 "feedback_data_0(9)") (joined + (portRef (member ACOUT 20) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 20) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_10 "feedback_data_0(10)") (joined + (portRef (member ACOUT 19) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 19) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_41_i_0 (joined + (portRef (member ACOUT 18) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 18) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_12 "feedback_data_0(12)") (joined + (portRef (member ACOUT 17) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 17) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_13 "feedback_data_0(13)") (joined + (portRef (member ACOUT 16) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 16) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_14 "feedback_data_0(14)") (joined + (portRef (member ACOUT 15) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 15) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_15 "feedback_data_0(15)") (joined + (portRef (member ACOUT 14) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 14) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_36_i_0 (joined + (portRef (member ACOUT 13) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 13) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_32_i_0 (joined + (portRef (member ACOUT 12) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 12) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_28_i_0 (joined + (portRef (member ACOUT 11) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 11) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_19 "feedback_data_0(19)") (joined + (portRef (member ACOUT 10) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 10) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_24_i_0 (joined + (portRef (member ACOUT 9) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 9) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_20_i_0 (joined + (portRef (member ACOUT 8) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 8) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename feedback_data_0_22 "feedback_data_0(22)") (joined + (portRef (member ACOUT 7) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 7) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_37_i_0 (joined + (portRef (member ACOUT 6) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 6) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_33_i_0 (joined + (portRef (member ACOUT 5) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 5) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net N_29_i_0 (joined + (portRef (member ACOUT 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 4) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net GND_20 (joined + (portRef (member ACOUT 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 3) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net GND_21 (joined + (portRef (member ACOUT 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 2) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net GND_22 (joined + (portRef (member ACOUT 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 1) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net GND_23 (joined + (portRef (member ACOUT 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member ACIN 0) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b5_re_17 "b5_re(17)") (joined + (portRef (member b5_re 14)) + (portRef (member A 29) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 17) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b5_re_18 "b5_re(18)") (joined + (portRef (member b5_re 13)) + (portRef (member A 28) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 16) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b5_re_19 "b5_re(19)") (joined + (portRef (member b5_re 12)) + (portRef (member A 27) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 15) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b5_re_20 "b5_re(20)") (joined + (portRef (member b5_re 11)) + (portRef (member A 26) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 14) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b5_re_21 "b5_re(21)") (joined + (portRef (member b5_re 10)) + (portRef (member A 25) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 13) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b5_re_22 "b5_re(22)") (joined + (portRef (member b5_re 9)) + (portRef (member A 24) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 12) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b5_re_23 "b5_re(23)") (joined + (portRef (member b5_re 8)) + (portRef (member A 23) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 11) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b5_re_24 "b5_re(24)") (joined + (portRef (member b5_re 7)) + (portRef (member A 22) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 10) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b5_re_25 "b5_re(25)") (joined + (portRef (member b5_re 6)) + (portRef (member A 21) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 9) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b5_re_26 "b5_re(26)") (joined + (portRef (member b5_re 5)) + (portRef (member A 20) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 8) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b5_re_27 "b5_re(27)") (joined + (portRef (member b5_re 4)) + (portRef (member A 19) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 7) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b5_re_28 "b5_re(28)") (joined + (portRef (member b5_re 3)) + (portRef (member A 18) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 6) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b5_re_29 "b5_re(29)") (joined + (portRef (member b5_re 2)) + (portRef (member A 17) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 5) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b5_re_30 "b5_re(30)") (joined + (portRef (member b5_re 1)) + (portRef (member A 16) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 4) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename b5_re_31 "b5_re(31)") (joined + (portRef (member b5_re 0)) + (portRef (member A 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 3) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 4) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 5) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 6) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 7) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 8) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 9) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 10) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 11) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 12) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 13) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 14) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member A 15) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 0) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member B 1) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member B 2) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member B 3) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename VCCZ0 "VCC") (joined + (portRef P (instanceRef VCC)) + (portRef (member OPMODE 6) (instanceRef B0_product_signed_0_33_0)) + (portRef (member OPMODE 8) (instanceRef B0_product_signed_0_33_0)) + (portRef (member OPMODE 6) (instanceRef A1_product_signed_0_44_0)) + (portRef (member OPMODE 8) (instanceRef A1_product_signed_0_44_0)) + (portRef (member OPMODE 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member OPMODE 4) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member OPMODE 6) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member OPMODE 8) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member OPMODE 2) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member OPMODE 4) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member OPMODE 6) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member OPMODE 8) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member OPMODE 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member OPMODE 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member OPMODE 6) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member OPMODE 8) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member OPMODE 2) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member OPMODE 4) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member OPMODE 6) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member OPMODE 8) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0 "A1_product_signed_0(0)") (joined + (portRef (member PCOUT 47) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 47) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_1 "A1_product_signed_0(1)") (joined + (portRef (member PCOUT 46) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 46) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_2 "A1_product_signed_0(2)") (joined + (portRef (member PCOUT 45) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 45) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_3 "A1_product_signed_0(3)") (joined + (portRef (member PCOUT 44) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 44) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_4 "A1_product_signed_0(4)") (joined + (portRef (member PCOUT 43) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 43) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_5 "A1_product_signed_0(5)") (joined + (portRef (member PCOUT 42) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 42) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_6 "A1_product_signed_0(6)") (joined + (portRef (member PCOUT 41) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 41) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_7 "A1_product_signed_0(7)") (joined + (portRef (member PCOUT 40) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 40) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_8 "A1_product_signed_0(8)") (joined + (portRef (member PCOUT 39) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 39) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_9 "A1_product_signed_0(9)") (joined + (portRef (member PCOUT 38) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 38) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_10 "A1_product_signed_0(10)") (joined + (portRef (member PCOUT 37) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 37) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_11 "A1_product_signed_0(11)") (joined + (portRef (member PCOUT 36) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 36) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_12 "A1_product_signed_0(12)") (joined + (portRef (member PCOUT 35) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 35) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_13 "A1_product_signed_0(13)") (joined + (portRef (member PCOUT 34) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 34) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_14 "A1_product_signed_0(14)") (joined + (portRef (member PCOUT 33) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 33) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_15 "A1_product_signed_0(15)") (joined + (portRef (member PCOUT 32) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 32) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_16 "A1_product_signed_0(16)") (joined + (portRef (member PCOUT 31) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 31) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_17 "A1_product_signed_0_0(17)") (joined + (portRef (member PCOUT 30) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 30) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_18 "A1_product_signed_0_0(18)") (joined + (portRef (member PCOUT 29) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 29) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_19 "A1_product_signed_0_0(19)") (joined + (portRef (member PCOUT 28) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 28) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_20 "A1_product_signed_0_0(20)") (joined + (portRef (member PCOUT 27) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 27) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_21 "A1_product_signed_0_0(21)") (joined + (portRef (member PCOUT 26) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 26) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_22 "A1_product_signed_0_0(22)") (joined + (portRef (member PCOUT 25) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 25) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_23 "A1_product_signed_0_0(23)") (joined + (portRef (member PCOUT 24) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 24) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_24 "A1_product_signed_0_0(24)") (joined + (portRef (member PCOUT 23) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 23) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_25 "A1_product_signed_0_0(25)") (joined + (portRef (member PCOUT 22) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 22) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_26 "A1_product_signed_0_0(26)") (joined + (portRef (member PCOUT 21) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 21) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_27 "A1_product_signed_0_0(27)") (joined + (portRef (member PCOUT 20) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 20) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_28 "A1_product_signed_0_0(28)") (joined + (portRef (member PCOUT 19) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 19) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_29 "A1_product_signed_0_0(29)") (joined + (portRef (member PCOUT 18) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 18) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_30 "A1_product_signed_0_0(30)") (joined + (portRef (member PCOUT 17) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 17) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_31 "A1_product_signed_0_0(31)") (joined + (portRef (member PCOUT 16) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 16) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_32 "A1_product_signed_0_0(32)") (joined + (portRef (member PCOUT 15) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 15) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_33 "A1_product_signed_0_0(33)") (joined + (portRef (member PCOUT 14) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 14) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_34 "A1_product_signed_0_0(34)") (joined + (portRef (member PCOUT 13) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 13) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_35 "A1_product_signed_0_0(35)") (joined + (portRef (member PCOUT 12) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 12) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_36 "A1_product_signed_0_0(36)") (joined + (portRef (member PCOUT 11) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 11) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_37 "A1_product_signed_0_0(37)") (joined + (portRef (member PCOUT 10) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 10) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_38 "A1_product_signed_0_0(38)") (joined + (portRef (member PCOUT 9) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 9) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_39 "A1_product_signed_0_0(39)") (joined + (portRef (member PCOUT 8) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 8) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_40 "A1_product_signed_0_0(40)") (joined + (portRef (member PCOUT 7) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 7) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_41 "A1_product_signed_0_0(41)") (joined + (portRef (member PCOUT 6) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 6) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_42 "A1_product_signed_0_0(42)") (joined + (portRef (member PCOUT 5) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 5) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_43 "A1_product_signed_0_0(43)") (joined + (portRef (member PCOUT 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 4) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_0_0_44 "A1_product_signed_0_0(44)") (joined + (portRef (member PCOUT 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 3) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename P_uc_28_0_45 "P_uc_28_0(45)") (joined + (portRef (member PCOUT 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 2) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename P_uc_28_0_46 "P_uc_28_0(46)") (joined + (portRef (member PCOUT 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 1) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename P_uc_28_0_47 "P_uc_28_0(47)") (joined + (portRef (member PCOUT 0) (instanceRef A1_product_signed_0_44_0)) + (portRef (member PCIN 0) (instanceRef A1_product_signedAdd_0_42_0)) + )) + (net (rename A1_product_signed_1_26 "A1_product_signed_1(26)") (joined + (portRef (member PCOUT 47) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 47) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_27 "A1_product_signed_1(27)") (joined + (portRef (member PCOUT 46) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 46) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_28 "A1_product_signed_1(28)") (joined + (portRef (member PCOUT 45) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 45) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_29 "A1_product_signed_1(29)") (joined + (portRef (member PCOUT 44) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 44) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_30 "A1_product_signed_1(30)") (joined + (portRef (member PCOUT 43) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 43) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_31 "A1_product_signed_1(31)") (joined + (portRef (member PCOUT 42) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 42) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_32 "A1_product_signed_1(32)") (joined + (portRef (member PCOUT 41) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 41) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_33 "A1_product_signed_1(33)") (joined + (portRef (member PCOUT 40) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 40) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_34 "A1_product_signed_1(34)") (joined + (portRef (member PCOUT 39) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 39) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_35 "A1_product_signed_1(35)") (joined + (portRef (member PCOUT 38) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 38) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_36 "A1_product_signed_1(36)") (joined + (portRef (member PCOUT 37) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 37) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_37 "A1_product_signed_1(37)") (joined + (portRef (member PCOUT 36) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 36) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_38 "A1_product_signed_1(38)") (joined + (portRef (member PCOUT 35) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 35) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_39 "A1_product_signed_1(39)") (joined + (portRef (member PCOUT 34) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 34) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_40 "A1_product_signed_1(40)") (joined + (portRef (member PCOUT 33) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 33) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_41 "A1_product_signed_1(41)") (joined + (portRef (member PCOUT 32) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 32) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signed_1_42 "A1_product_signed_1(42)") (joined + (portRef (member PCOUT 31) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 31) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_17 "A1_product_signedAdd_1_0(17)") (joined + (portRef (member PCOUT 30) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 30) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_18 "A1_product_signedAdd_1_0(18)") (joined + (portRef (member PCOUT 29) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 29) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_19 "A1_product_signedAdd_1_0(19)") (joined + (portRef (member PCOUT 28) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 28) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_20 "A1_product_signedAdd_1_0(20)") (joined + (portRef (member PCOUT 27) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 27) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_21 "A1_product_signedAdd_1_0(21)") (joined + (portRef (member PCOUT 26) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 26) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_22 "A1_product_signedAdd_1_0(22)") (joined + (portRef (member PCOUT 25) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 25) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_23 "A1_product_signedAdd_1_0(23)") (joined + (portRef (member PCOUT 24) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 24) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_24 "A1_product_signedAdd_1_0(24)") (joined + (portRef (member PCOUT 23) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 23) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_25 "A1_product_signedAdd_1_0(25)") (joined + (portRef (member PCOUT 22) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 22) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_26 "A1_product_signedAdd_1_0(26)") (joined + (portRef (member PCOUT 21) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 21) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_27 "A1_product_signedAdd_1_0(27)") (joined + (portRef (member PCOUT 20) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 20) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_28 "A1_product_signedAdd_1_0(28)") (joined + (portRef (member PCOUT 19) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 19) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_29 "A1_product_signedAdd_1_0(29)") (joined + (portRef (member PCOUT 18) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 18) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_30 "A1_product_signedAdd_1_0(30)") (joined + (portRef (member PCOUT 17) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 17) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_31 "A1_product_signedAdd_1_0(31)") (joined + (portRef (member PCOUT 16) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 16) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_32 "A1_product_signedAdd_1_0(32)") (joined + (portRef (member PCOUT 15) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 15) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_33 "A1_product_signedAdd_1_0(33)") (joined + (portRef (member PCOUT 14) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 14) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename A1_product_signedAdd_1_0_34 "A1_product_signedAdd_1_0(34)") (joined + (portRef (member PCOUT 13) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 13) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_4_0_35 "P_uc_4_0(35)") (joined + (portRef (member PCOUT 12) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 12) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_4_0_36 "P_uc_4_0(36)") (joined + (portRef (member PCOUT 11) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 11) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_4_0_37 "P_uc_4_0(37)") (joined + (portRef (member PCOUT 10) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 10) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_4_0_38 "P_uc_4_0(38)") (joined + (portRef (member PCOUT 9) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 9) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_4_0_39 "P_uc_4_0(39)") (joined + (portRef (member PCOUT 8) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 8) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_4_0_40 "P_uc_4_0(40)") (joined + (portRef (member PCOUT 7) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 7) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_4_0_41 "P_uc_4_0(41)") (joined + (portRef (member PCOUT 6) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 6) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_4_0_42 "P_uc_4_0(42)") (joined + (portRef (member PCOUT 5) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 5) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_10_0_43 "P_uc_10_0(43)") (joined + (portRef (member PCOUT 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 4) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_10_0_44 "P_uc_10_0(44)") (joined + (portRef (member PCOUT 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 3) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_10_0_45 "P_uc_10_0(45)") (joined + (portRef (member PCOUT 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 2) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_10_0_46 "P_uc_10_0(46)") (joined + (portRef (member PCOUT 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 1) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename P_uc_10_0_47 "P_uc_10_0(47)") (joined + (portRef (member PCOUT 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member PCIN 0) (instanceRef A1_product_signedAdd_2_24_0)) + )) + (net (rename b5_re_0 "b5_re(0)") (joined + (portRef (member b5_re 31)) + (portRef (member B 17) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 29) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b5_re_1 "b5_re(1)") (joined + (portRef (member b5_re 30)) + (portRef (member B 16) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 28) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b5_re_2 "b5_re(2)") (joined + (portRef (member b5_re 29)) + (portRef (member B 15) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 27) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b5_re_3 "b5_re(3)") (joined + (portRef (member b5_re 28)) + (portRef (member B 14) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 26) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b5_re_4 "b5_re(4)") (joined + (portRef (member b5_re 27)) + (portRef (member B 13) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 25) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b5_re_5 "b5_re(5)") (joined + (portRef (member b5_re 26)) + (portRef (member B 12) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 24) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b5_re_6 "b5_re(6)") (joined + (portRef (member b5_re 25)) + (portRef (member B 11) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 23) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b5_re_7 "b5_re(7)") (joined + (portRef (member b5_re 24)) + (portRef (member B 10) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 22) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b5_re_8 "b5_re(8)") (joined + (portRef (member b5_re 23)) + (portRef (member B 9) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 21) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b5_re_9 "b5_re(9)") (joined + (portRef (member b5_re 22)) + (portRef (member B 8) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 20) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b5_re_10 "b5_re(10)") (joined + (portRef (member b5_re 21)) + (portRef (member B 7) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 19) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b5_re_11 "b5_re(11)") (joined + (portRef (member b5_re 20)) + (portRef (member B 6) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 18) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b5_re_12 "b5_re(12)") (joined + (portRef (member b5_re 19)) + (portRef (member B 5) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 17) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b5_re_13 "b5_re(13)") (joined + (portRef (member b5_re 18)) + (portRef (member B 4) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 16) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b5_re_14 "b5_re(14)") (joined + (portRef (member b5_re 17)) + (portRef (member B 3) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 15) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b5_re_15 "b5_re(15)") (joined + (portRef (member b5_re 16)) + (portRef (member B 2) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 14) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename b5_re_16 "b5_re(16)") (joined + (portRef (member b5_re 15)) + (portRef (member B 1) (instanceRef A1_product_signed_0_44_0)) + (portRef (member A 13) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net N_25_i (joined + (portRef N_25_i (instanceRef gO_4_26_MUX12)) + (portRef (member B 17) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 17) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net N_21_i (joined + (portRef N_21_i (instanceRef gO_4_27_MUX12)) + (portRef (member B 16) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 16) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename feedback_data_28 "feedback_data(28)") (joined + (portRef feedback_data_0 (instanceRef gO_4_28_MUX12)) + (portRef (member B 15) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 15) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net N_16_i (joined + (portRef N_16_i (instanceRef gO_4_29_MUX12)) + (portRef (member B 14) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 14) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net N_17_i (joined + (portRef N_17_i (instanceRef gO_4_30_MUX12)) + (portRef (member B 13) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 13) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net N_12_i (joined + (portRef N_12_i (instanceRef gO_4_31_MUX12)) + (portRef (member B 12) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 12) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net N_13_i (joined + (portRef N_13_i (instanceRef gO_4_32_MUX12)) + (portRef (member B 11) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 11) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net N_9_i (joined + (portRef N_9_i (instanceRef gO_4_33_MUX12)) + (portRef (member B 10) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 10) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net N_8_i (joined + (portRef N_8_i (instanceRef gO_4_34_MUX12)) + (portRef (member B 9) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 9) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename feedback_data_35 "feedback_data(35)") (joined + (portRef feedback_data_0 (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member B 0) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 1) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 2) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 3) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 4) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 5) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 6) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 7) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 8) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member B 0) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member B 1) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member B 2) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member B 3) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member B 4) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member B 5) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member B 6) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member B 7) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member B 8) (instanceRef A1_product_signedAdd_1_34_0)) + )) + (net (rename B0_product_signed_17 "B0_product_signed(17)") (joined + (portRef (member P 47) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_37)) + )) + (net (rename B0_product_signed_18 "B0_product_signed(18)") (joined + (portRef (member P 46) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_38)) + )) + (net (rename B0_product_signed_19 "B0_product_signed(19)") (joined + (portRef (member P 45) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_39)) + )) + (net (rename B0_product_signed_20 "B0_product_signed(20)") (joined + (portRef (member P 44) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_40)) + )) + (net (rename B0_product_signed_21 "B0_product_signed(21)") (joined + (portRef (member P 43) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_41)) + )) + (net (rename B0_product_signed_22 "B0_product_signed(22)") (joined + (portRef (member P 42) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_42)) + )) + (net (rename B0_product_signed_23 "B0_product_signed(23)") (joined + (portRef (member P 41) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_43)) + )) + (net (rename B0_product_signed_24 "B0_product_signed(24)") (joined + (portRef (member P 40) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_44)) + )) + (net (rename B0_product_signed_25 "B0_product_signed(25)") (joined + (portRef (member P 39) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_45)) + )) + (net (rename B0_product_signed_26 "B0_product_signed(26)") (joined + (portRef (member P 38) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_46)) + )) + (net (rename B0_product_signed_27 "B0_product_signed(27)") (joined + (portRef (member P 37) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_47)) + )) + (net (rename B0_product_signed_28 "B0_product_signed(28)") (joined + (portRef (member P 36) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_48)) + )) + (net (rename B0_product_signed_29 "B0_product_signed(29)") (joined + (portRef (member P 35) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_49)) + )) + (net (rename B0_product_signed_30 "B0_product_signed(30)") (joined + (portRef (member P 34) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_50)) + )) + (net (rename B0_product_signed_31 "B0_product_signed(31)") (joined + (portRef (member P 33) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_51)) + )) + (net (rename B0_product_signed_32 "B0_product_signed(32)") (joined + (portRef (member P 32) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_52)) + )) + (net (rename B0_product_signed_33 "B0_product_signed(33)") (joined + (portRef (member P 31) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_53)) + )) + (net (rename B0_product_signed_34 "B0_product_signed(34)") (joined + (portRef (member P 30) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_54)) + )) + (net (rename B0_product_signed_35 "B0_product_signed(35)") (joined + (portRef (member P 29) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_55)) + )) + (net (rename B0_product_signed_36 "B0_product_signed(36)") (joined + (portRef (member P 28) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_56)) + )) + (net (rename B0_product_signed_37 "B0_product_signed(37)") (joined + (portRef (member P 27) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_57)) + )) + (net (rename B0_product_signed_38 "B0_product_signed(38)") (joined + (portRef (member P 26) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_58)) + )) + (net (rename B0_product_signed_39 "B0_product_signed(39)") (joined + (portRef (member P 25) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_59)) + )) + (net (rename B0_product_signed_40 "B0_product_signed(40)") (joined + (portRef (member P 24) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_60)) + )) + (net (rename B0_product_signed_41 "B0_product_signed(41)") (joined + (portRef (member P 23) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_61)) + )) + (net (rename B0_product_signed_42 "B0_product_signed(42)") (joined + (portRef (member P 22) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_62)) + )) + (net (rename B0_product_signed_43 "B0_product_signed(43)") (joined + (portRef (member P 21) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_63)) + )) + (net (rename B0_product_signed_44 "B0_product_signed(44)") (joined + (portRef (member P 20) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_64)) + )) + (net (rename B0_product_signed_45 "B0_product_signed(45)") (joined + (portRef (member P 19) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_65)) + )) + (net (rename B0_product_signed_46 "B0_product_signed(46)") (joined + (portRef (member P 18) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_66)) + )) + (net (rename B0_product_signed_47 "B0_product_signed(47)") (joined + (portRef (member P 17) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_67)) + (portRef I1 (instanceRef un1_psum0_signed_axb_68)) + (portRef I1 (instanceRef un1_psum0_signed_axb_69)) + (portRef I1 (instanceRef un1_psum0_signed_axb_70)) + )) + (net (rename a5_re_17 "a5_re(17)") (joined + (portRef (member a5_re 14)) + (portRef (member A 29) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a5_re_18 "a5_re(18)") (joined + (portRef (member a5_re 13)) + (portRef (member A 28) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a5_re_19 "a5_re(19)") (joined + (portRef (member a5_re 12)) + (portRef (member A 27) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a5_re_20 "a5_re(20)") (joined + (portRef (member a5_re 11)) + (portRef (member A 26) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a5_re_21 "a5_re(21)") (joined + (portRef (member a5_re 10)) + (portRef (member A 25) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a5_re_22 "a5_re(22)") (joined + (portRef (member a5_re 9)) + (portRef (member A 24) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a5_re_23 "a5_re(23)") (joined + (portRef (member a5_re 8)) + (portRef (member A 23) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a5_re_24 "a5_re(24)") (joined + (portRef (member a5_re 7)) + (portRef (member A 22) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a5_re_25 "a5_re(25)") (joined + (portRef (member a5_re 6)) + (portRef (member A 21) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a5_re_26 "a5_re(26)") (joined + (portRef (member a5_re 5)) + (portRef (member A 20) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a5_re_27 "a5_re(27)") (joined + (portRef (member a5_re 4)) + (portRef (member A 19) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a5_re_28 "a5_re(28)") (joined + (portRef (member a5_re 3)) + (portRef (member A 18) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a5_re_29 "a5_re(29)") (joined + (portRef (member a5_re 2)) + (portRef (member A 17) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a5_re_30 "a5_re(30)") (joined + (portRef (member a5_re 1)) + (portRef (member A 16) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename a5_re_31 "a5_re(31)") (joined + (portRef (member a5_re 0)) + (portRef (member A 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 2) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 3) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 4) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 5) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 6) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 7) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 8) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 9) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 10) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 11) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 12) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 13) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 14) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member A 15) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_0 "gated_in_data(0)") (joined + (portRef (member gated_in_data 15)) + (portRef (member B 17) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 17) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_1 "gated_in_data(1)") (joined + (portRef (member gated_in_data 14)) + (portRef (member B 16) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 16) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_2 "gated_in_data(2)") (joined + (portRef (member gated_in_data 13)) + (portRef (member B 15) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 15) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_3 "gated_in_data(3)") (joined + (portRef (member gated_in_data 12)) + (portRef (member B 14) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 14) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_4 "gated_in_data(4)") (joined + (portRef (member gated_in_data 11)) + (portRef (member B 13) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 13) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_5 "gated_in_data(5)") (joined + (portRef (member gated_in_data 10)) + (portRef (member B 12) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 12) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_6 "gated_in_data(6)") (joined + (portRef (member gated_in_data 9)) + (portRef (member B 11) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 11) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_7 "gated_in_data(7)") (joined + (portRef (member gated_in_data 8)) + (portRef (member B 10) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 10) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_8 "gated_in_data(8)") (joined + (portRef (member gated_in_data 7)) + (portRef (member B 9) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 9) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_9 "gated_in_data(9)") (joined + (portRef (member gated_in_data 6)) + (portRef (member B 8) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 8) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_10 "gated_in_data(10)") (joined + (portRef (member gated_in_data 5)) + (portRef (member B 7) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 7) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_11 "gated_in_data(11)") (joined + (portRef (member gated_in_data 4)) + (portRef (member B 6) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 6) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_12 "gated_in_data(12)") (joined + (portRef (member gated_in_data 3)) + (portRef (member B 5) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 5) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_13 "gated_in_data(13)") (joined + (portRef (member gated_in_data 2)) + (portRef (member B 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 4) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_14 "gated_in_data(14)") (joined + (portRef (member gated_in_data 1)) + (portRef (member B 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 3) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename gated_in_data_15 "gated_in_data(15)") (joined + (portRef (member gated_in_data 0)) + (portRef (member B 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member B 0) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member B 1) (instanceRef B0_product_signedAdd_0_30_0)) + (portRef (member B 2) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0 "B0_product_signed_0(0)") (joined + (portRef (member PCOUT 47) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 47) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_1 "B0_product_signed_0(1)") (joined + (portRef (member PCOUT 46) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 46) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_2 "B0_product_signed_0(2)") (joined + (portRef (member PCOUT 45) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 45) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_3 "B0_product_signed_0(3)") (joined + (portRef (member PCOUT 44) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 44) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_4 "B0_product_signed_0(4)") (joined + (portRef (member PCOUT 43) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 43) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_5 "B0_product_signed_0(5)") (joined + (portRef (member PCOUT 42) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 42) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_6 "B0_product_signed_0(6)") (joined + (portRef (member PCOUT 41) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 41) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_7 "B0_product_signed_0(7)") (joined + (portRef (member PCOUT 40) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 40) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_8 "B0_product_signed_0(8)") (joined + (portRef (member PCOUT 39) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 39) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_9 "B0_product_signed_0(9)") (joined + (portRef (member PCOUT 38) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 38) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_10 "B0_product_signed_0(10)") (joined + (portRef (member PCOUT 37) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 37) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_11 "B0_product_signed_0(11)") (joined + (portRef (member PCOUT 36) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 36) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_12 "B0_product_signed_0(12)") (joined + (portRef (member PCOUT 35) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 35) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_13 "B0_product_signed_0(13)") (joined + (portRef (member PCOUT 34) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 34) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_14 "B0_product_signed_0(14)") (joined + (portRef (member PCOUT 33) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 33) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_15 "B0_product_signed_0(15)") (joined + (portRef (member PCOUT 32) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 32) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_16 "B0_product_signed_0(16)") (joined + (portRef (member PCOUT 31) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 31) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_17 "B0_product_signed_0_0(17)") (joined + (portRef (member PCOUT 30) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 30) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_18 "B0_product_signed_0_0(18)") (joined + (portRef (member PCOUT 29) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 29) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_19 "B0_product_signed_0_0(19)") (joined + (portRef (member PCOUT 28) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 28) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_20 "B0_product_signed_0_0(20)") (joined + (portRef (member PCOUT 27) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 27) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_21 "B0_product_signed_0_0(21)") (joined + (portRef (member PCOUT 26) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 26) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_22 "B0_product_signed_0_0(22)") (joined + (portRef (member PCOUT 25) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 25) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_23 "B0_product_signed_0_0(23)") (joined + (portRef (member PCOUT 24) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 24) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_24 "B0_product_signed_0_0(24)") (joined + (portRef (member PCOUT 23) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 23) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_25 "B0_product_signed_0_0(25)") (joined + (portRef (member PCOUT 22) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 22) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_26 "B0_product_signed_0_0(26)") (joined + (portRef (member PCOUT 21) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 21) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_27 "B0_product_signed_0_0(27)") (joined + (portRef (member PCOUT 20) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 20) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_28 "B0_product_signed_0_0(28)") (joined + (portRef (member PCOUT 19) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 19) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_29 "B0_product_signed_0_0(29)") (joined + (portRef (member PCOUT 18) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 18) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_30 "B0_product_signed_0_0(30)") (joined + (portRef (member PCOUT 17) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 17) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_31 "B0_product_signed_0_0(31)") (joined + (portRef (member PCOUT 16) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 16) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_32 "B0_product_signed_0_0(32)") (joined + (portRef (member PCOUT 15) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 15) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename B0_product_signed_0_0_33 "B0_product_signed_0_0(33)") (joined + (portRef (member PCOUT 14) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 14) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_16_0_34 "P_uc_16_0(34)") (joined + (portRef (member PCOUT 13) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 13) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_22_0_35 "P_uc_22_0(35)") (joined + (portRef (member PCOUT 12) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 12) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_22_0_36 "P_uc_22_0(36)") (joined + (portRef (member PCOUT 11) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 11) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_22_0_37 "P_uc_22_0(37)") (joined + (portRef (member PCOUT 10) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 10) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_22_0_38 "P_uc_22_0(38)") (joined + (portRef (member PCOUT 9) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 9) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_22_0_39 "P_uc_22_0(39)") (joined + (portRef (member PCOUT 8) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 8) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_22_0_40 "P_uc_22_0(40)") (joined + (portRef (member PCOUT 7) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 7) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_22_0_41 "P_uc_22_0(41)") (joined + (portRef (member PCOUT 6) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 6) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_22_0_42 "P_uc_22_0(42)") (joined + (portRef (member PCOUT 5) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 5) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_28_0_43 "P_uc_28_0(43)") (joined + (portRef (member PCOUT 4) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 4) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_28_0_44 "P_uc_28_0(44)") (joined + (portRef (member PCOUT 3) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 3) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_34_0_45 "P_uc_34_0(45)") (joined + (portRef (member PCOUT 2) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 2) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_34_0_46 "P_uc_34_0(46)") (joined + (portRef (member PCOUT 1) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 1) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename P_uc_34_0_47 "P_uc_34_0(47)") (joined + (portRef (member PCOUT 0) (instanceRef B0_product_signed_0_33_0)) + (portRef (member PCIN 0) (instanceRef B0_product_signedAdd_0_30_0)) + )) + (net (rename A1_product_signed_44 "A1_product_signed(44)") (joined + (portRef (member P 46) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_47)) + (portRef I0 (instanceRef psum1_signed_cry_44_thru)) + (portRef I0 (instanceRef psum1_signed_s_44_thru)) + )) + (net (rename A1_product_signed_45 "A1_product_signed(45)") (joined + (portRef (member P 45) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_47)) + (portRef I0 (instanceRef psum1_signed_cry_45_thru)) + (portRef I0 (instanceRef psum1_signed_s_45_thru)) + )) + (net (rename A1_product_signed_46 "A1_product_signed(46)") (joined + (portRef (member P 44) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_47)) + (portRef I0 (instanceRef psum1_signed_cry_46_thru)) + (portRef I0 (instanceRef psum1_signed_s_46_thru)) + )) + (net (rename A1_product_signed_47 "A1_product_signed(47)") (joined + (portRef (member P 43) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_47)) + (portRef I0 (instanceRef psum1_signed_cry_47_thru)) + (portRef I0 (instanceRef psum1_signed_s_47_thru)) + )) + (net (rename A1_product_signed_48 "A1_product_signed(48)") (joined + (portRef (member P 42) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_51)) + (portRef I0 (instanceRef psum1_signed_cry_48_thru)) + (portRef I0 (instanceRef psum1_signed_s_48_thru)) + )) + (net (rename A1_product_signed_49 "A1_product_signed(49)") (joined + (portRef (member P 41) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_51)) + (portRef I0 (instanceRef psum1_signed_cry_49_thru)) + (portRef I0 (instanceRef psum1_signed_s_49_thru)) + )) + (net (rename A1_product_signed_50 "A1_product_signed(50)") (joined + (portRef (member P 40) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_51)) + (portRef I0 (instanceRef psum1_signed_cry_50_thru)) + (portRef I0 (instanceRef psum1_signed_s_50_thru)) + )) + (net (rename A1_product_signed_51 "A1_product_signed(51)") (joined + (portRef (member P 39) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_51)) + (portRef I0 (instanceRef psum1_signed_cry_51_thru)) + (portRef I0 (instanceRef psum1_signed_s_51_thru)) + )) + (net (rename A1_product_signed_52 "A1_product_signed(52)") (joined + (portRef (member P 38) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_55)) + (portRef I0 (instanceRef psum1_signed_cry_52_thru)) + (portRef I0 (instanceRef psum1_signed_s_52_thru)) + )) + (net (rename A1_product_signed_53 "A1_product_signed(53)") (joined + (portRef (member P 37) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_55)) + (portRef I0 (instanceRef psum1_signed_cry_53_thru)) + (portRef I0 (instanceRef psum1_signed_s_53_thru)) + )) + (net (rename A1_product_signed_54 "A1_product_signed(54)") (joined + (portRef (member P 36) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_55)) + (portRef I0 (instanceRef psum1_signed_cry_54_thru)) + (portRef I0 (instanceRef psum1_signed_s_54_thru)) + )) + (net (rename A1_product_signed_55 "A1_product_signed(55)") (joined + (portRef (member P 35) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_55)) + (portRef I0 (instanceRef psum1_signed_cry_55_thru)) + (portRef I0 (instanceRef psum1_signed_s_55_thru)) + )) + (net (rename A1_product_signed_56 "A1_product_signed(56)") (joined + (portRef (member P 34) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_59)) + (portRef I0 (instanceRef psum1_signed_cry_56_thru)) + (portRef I0 (instanceRef psum1_signed_s_56_thru)) + )) + (net (rename A1_product_signed_57 "A1_product_signed(57)") (joined + (portRef (member P 33) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_59)) + (portRef I0 (instanceRef psum1_signed_cry_57_thru)) + (portRef I0 (instanceRef psum1_signed_s_57_thru)) + )) + (net (rename A1_product_signed_58 "A1_product_signed(58)") (joined + (portRef (member P 32) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_59)) + (portRef I0 (instanceRef psum1_signed_cry_58_thru)) + (portRef I0 (instanceRef psum1_signed_s_58_thru)) + )) + (net (rename A1_product_signed_59 "A1_product_signed(59)") (joined + (portRef (member P 31) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_59)) + (portRef I0 (instanceRef psum1_signed_cry_59_thru)) + (portRef I0 (instanceRef psum1_signed_s_59_thru)) + )) + (net (rename A1_product_signed_60 "A1_product_signed(60)") (joined + (portRef (member P 30) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_63)) + (portRef I0 (instanceRef psum1_signed_cry_60_thru)) + (portRef I0 (instanceRef psum1_signed_s_60_thru)) + )) + (net (rename A1_product_signed_61 "A1_product_signed(61)") (joined + (portRef (member P 29) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_63)) + (portRef I0 (instanceRef psum1_signed_cry_61_thru)) + (portRef I0 (instanceRef psum1_signed_s_61_thru)) + )) + (net (rename A1_product_signed_62 "A1_product_signed(62)") (joined + (portRef (member P 28) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_63)) + (portRef I0 (instanceRef psum1_signed_cry_62_thru)) + (portRef I0 (instanceRef psum1_signed_s_62_thru)) + )) + (net (rename A1_product_signed_63 "A1_product_signed(63)") (joined + (portRef (member P 27) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_63)) + (portRef I0 (instanceRef psum1_signed_cry_63_thru)) + (portRef I0 (instanceRef psum1_signed_s_63_thru)) + )) + (net (rename A1_product_signed_64 "A1_product_signed(64)") (joined + (portRef (member P 26) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_67)) + (portRef I0 (instanceRef psum1_signed_cry_64_thru)) + (portRef I0 (instanceRef psum1_signed_s_64_thru)) + )) + (net (rename A1_product_signed_65 "A1_product_signed(65)") (joined + (portRef (member P 25) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_67)) + (portRef I0 (instanceRef psum1_signed_cry_65_thru)) + (portRef I0 (instanceRef psum1_signed_s_65_thru)) + )) + (net (rename A1_product_signed_66 "A1_product_signed(66)") (joined + (portRef (member P 24) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_67)) + (portRef I0 (instanceRef psum1_signed_cry_66_thru)) + (portRef I0 (instanceRef psum1_signed_s_66_thru)) + )) + (net (rename A1_product_signed_67 "A1_product_signed(67)") (joined + (portRef (member P 23) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef I0 (instanceRef psum1_signed_cry_67_RNO)) + (portRef I0 (instanceRef psum1_signed_s_69_RNO)) + (portRef I0 (instanceRef psum1_signed_s_69_thru)) + )) + (net (rename A1_product_signed_0 "A1_product_signed(0)") (joined + (portRef (member P 47) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_3)) + (portRef I0 (instanceRef psum1_signed_cry_0_thru)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_0)) + )) + (net (rename feedback_data_0 "feedback_data(0)") (joined + (portRef feedback_data_0 (instanceRef gO_4_0_MUX12)) + (portRef (member A 29) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_48_i (joined + (portRef N_48_i (instanceRef gO_4_1_MUX12)) + (portRef (member A 28) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_2 "feedback_data(2)") (joined + (portRef feedback_data_0 (instanceRef gO_4_2_MUX12)) + (portRef (member A 27) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_3 "feedback_data(3)") (joined + (portRef feedback_data_0 (instanceRef gO_4_3_MUX12)) + (portRef (member A 26) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_4 "feedback_data(4)") (joined + (portRef feedback_data_0 (instanceRef gO_4_4_MUX12)) + (portRef (member A 25) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_44_i (joined + (portRef N_44_i (instanceRef gO_4_5_MUX12)) + (portRef (member A 24) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_40_i (joined + (portRef N_40_i (instanceRef gO_4_6_MUX12)) + (portRef (member A 23) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_7 "feedback_data(7)") (joined + (portRef feedback_data_0 (instanceRef gO_4_7_MUX12)) + (portRef (member A 22) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_8 "feedback_data(8)") (joined + (portRef feedback_data_0 (instanceRef gO_4_8_MUX12)) + (portRef (member A 21) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_9 "feedback_data(9)") (joined + (portRef feedback_data_0 (instanceRef gO_4_9_MUX12)) + (portRef (member A 20) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_10 "feedback_data(10)") (joined + (portRef feedback_data_0 (instanceRef gO_4_10_MUX12)) + (portRef (member A 19) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_41_i (joined + (portRef N_41_i (instanceRef gO_4_11_MUX12)) + (portRef (member A 18) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_12 "feedback_data(12)") (joined + (portRef feedback_data_0 (instanceRef gO_4_12_MUX12)) + (portRef (member A 17) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_13 "feedback_data(13)") (joined + (portRef feedback_data_0 (instanceRef gO_4_13_MUX12)) + (portRef (member A 16) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_14 "feedback_data(14)") (joined + (portRef feedback_data_0 (instanceRef gO_4_14_MUX12)) + (portRef (member A 15) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_15 "feedback_data(15)") (joined + (portRef feedback_data_0 (instanceRef gO_4_15_MUX12)) + (portRef (member A 14) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_36_i (joined + (portRef N_36_i (instanceRef gO_4_16_MUX12)) + (portRef (member A 13) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_32_i (joined + (portRef N_32_i (instanceRef gO_4_17_MUX12)) + (portRef (member A 12) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_28_i (joined + (portRef N_28_i (instanceRef gO_4_18_MUX12)) + (portRef (member A 11) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_19 "feedback_data(19)") (joined + (portRef feedback_data_0 (instanceRef gO_4_19_MUX12)) + (portRef (member A 10) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_24_i (joined + (portRef N_24_i (instanceRef gO_4_20_MUX12)) + (portRef (member A 9) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_20_i (joined + (portRef N_20_i (instanceRef gO_4_21_MUX12)) + (portRef (member A 8) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename feedback_data_22 "feedback_data(22)") (joined + (portRef feedback_data_0 (instanceRef gO_4_22_MUX12)) + (portRef (member A 7) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_37_i (joined + (portRef N_37_i (instanceRef gO_4_23_MUX12)) + (portRef (member A 6) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_33_i (joined + (portRef N_33_i (instanceRef gO_4_24_MUX12)) + (portRef (member A 5) (instanceRef A1_product_signed_0_44_0)) + )) + (net N_29_i (joined + (portRef N_29_i (instanceRef gO_4_25_MUX12)) + (portRef (member A 4) (instanceRef A1_product_signed_0_44_0)) + )) + (net (rename B0_product_signed_0 "B0_product_signed(0)") (joined + (portRef (member P 47) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_cry_23_RNO)) + (portRef I1 (instanceRef un1_psum0_signed_axb_20)) + )) + (net (rename B0_product_signed_1 "B0_product_signed(1)") (joined + (portRef (member P 46) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_21)) + )) + (net (rename B0_product_signed_2 "B0_product_signed(2)") (joined + (portRef (member P 45) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_22)) + )) + (net (rename B0_product_signed_3 "B0_product_signed(3)") (joined + (portRef (member P 44) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_23)) + )) + (net (rename B0_product_signed_4 "B0_product_signed(4)") (joined + (portRef (member P 43) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_24)) + )) + (net (rename B0_product_signed_5 "B0_product_signed(5)") (joined + (portRef (member P 42) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_25)) + )) + (net (rename B0_product_signed_6 "B0_product_signed(6)") (joined + (portRef (member P 41) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_26)) + )) + (net (rename B0_product_signed_7 "B0_product_signed(7)") (joined + (portRef (member P 40) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_27)) + )) + (net (rename B0_product_signed_8 "B0_product_signed(8)") (joined + (portRef (member P 39) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_28)) + )) + (net (rename B0_product_signed_9 "B0_product_signed(9)") (joined + (portRef (member P 38) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_29)) + )) + (net (rename B0_product_signed_10 "B0_product_signed(10)") (joined + (portRef (member P 37) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_30)) + )) + (net (rename B0_product_signed_11 "B0_product_signed(11)") (joined + (portRef (member P 36) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_31)) + )) + (net (rename B0_product_signed_12 "B0_product_signed(12)") (joined + (portRef (member P 35) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_32)) + )) + (net (rename B0_product_signed_13 "B0_product_signed(13)") (joined + (portRef (member P 34) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_33)) + )) + (net (rename B0_product_signed_14 "B0_product_signed(14)") (joined + (portRef (member P 33) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_34)) + )) + (net (rename B0_product_signed_15 "B0_product_signed(15)") (joined + (portRef (member P 32) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_35)) + )) + (net (rename B0_product_signed_16 "B0_product_signed(16)") (joined + (portRef (member P 31) (instanceRef B0_product_signed_0_33_0)) + (portRef I1 (instanceRef un1_psum0_signed_axb_36)) + )) + (net (rename a5_re_0 "a5_re(0)") (joined + (portRef (member a5_re 31)) + (portRef (member A 29) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a5_re_1 "a5_re(1)") (joined + (portRef (member a5_re 30)) + (portRef (member A 28) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a5_re_2 "a5_re(2)") (joined + (portRef (member a5_re 29)) + (portRef (member A 27) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a5_re_3 "a5_re(3)") (joined + (portRef (member a5_re 28)) + (portRef (member A 26) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a5_re_4 "a5_re(4)") (joined + (portRef (member a5_re 27)) + (portRef (member A 25) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a5_re_5 "a5_re(5)") (joined + (portRef (member a5_re 26)) + (portRef (member A 24) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a5_re_6 "a5_re(6)") (joined + (portRef (member a5_re 25)) + (portRef (member A 23) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a5_re_7 "a5_re(7)") (joined + (portRef (member a5_re 24)) + (portRef (member A 22) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a5_re_8 "a5_re(8)") (joined + (portRef (member a5_re 23)) + (portRef (member A 21) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a5_re_9 "a5_re(9)") (joined + (portRef (member a5_re 22)) + (portRef (member A 20) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a5_re_10 "a5_re(10)") (joined + (portRef (member a5_re 21)) + (portRef (member A 19) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a5_re_11 "a5_re(11)") (joined + (portRef (member a5_re 20)) + (portRef (member A 18) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a5_re_12 "a5_re(12)") (joined + (portRef (member a5_re 19)) + (portRef (member A 17) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a5_re_13 "a5_re(13)") (joined + (portRef (member a5_re 18)) + (portRef (member A 16) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a5_re_14 "a5_re(14)") (joined + (portRef (member a5_re 17)) + (portRef (member A 15) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a5_re_15 "a5_re(15)") (joined + (portRef (member a5_re 16)) + (portRef (member A 14) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename a5_re_16 "a5_re(16)") (joined + (portRef (member a5_re 15)) + (portRef (member A 13) (instanceRef B0_product_signed_0_33_0)) + )) + (net (rename psum1_signed_70 "psum1_signed(70)") (joined + (portRef (member O 2) (instanceRef psum1_signed_s_69)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_69)) + )) + (net psum1_saved_3_12 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_69)) + (portRef D (instanceRef psum1_saved_69)) + )) + (net (rename psum1_signed_68 "psum1_signed(68)") (joined + (portRef (member O 3) (instanceRef psum1_signed_s_69)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_68)) + )) + (net psum1_saved_3_10 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_68)) + (portRef D (instanceRef psum1_saved_68)) + )) + (net (rename psum1_signed_67 "psum1_signed(67)") (joined + (portRef (member O 0) (instanceRef psum1_signed_cry_67)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_67)) + )) + (net psum1_saved_3_9 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_67)) + (portRef D (instanceRef psum1_saved_67)) + )) + (net (rename psum1_signed_64 "psum1_signed(64)") (joined + (portRef LO (instanceRef psum1_signed_s_64)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_64)) + )) + (net psum1_saved_3_6 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_64)) + (portRef D (instanceRef psum1_saved_64)) + )) + (net (rename psum1_signed_63 "psum1_signed(63)") (joined + (portRef LO (instanceRef psum1_signed_s_63)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_63)) + )) + (net psum1_saved_3_5 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_63)) + (portRef D (instanceRef psum1_saved_63)) + )) + (net (rename psum1_signed_62 "psum1_signed(62)") (joined + (portRef LO (instanceRef psum1_signed_s_62)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_62)) + )) + (net psum1_saved_3_4 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_62)) + (portRef D (instanceRef psum1_saved_62)) + )) + (net (rename psum1_signed_60 "psum1_signed(60)") (joined + (portRef LO (instanceRef psum1_signed_s_60)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_60)) + )) + (net psum1_saved_3_2 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_60)) + (portRef D (instanceRef psum1_saved_60)) + )) + (net (rename psum1_signed_59 "psum1_signed(59)") (joined + (portRef LO (instanceRef psum1_signed_s_59)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_59)) + )) + (net psum1_saved_3_1 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_59)) + (portRef D (instanceRef psum1_saved_59)) + )) + (net (rename psum1_signed_58 "psum1_signed(58)") (joined + (portRef LO (instanceRef psum1_signed_s_58)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_58)) + )) + (net psum1_saved_3_0 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_58)) + (portRef D (instanceRef psum1_saved_58)) + )) + (net (rename psum1_signed_57 "psum1_signed(57)") (joined + (portRef LO (instanceRef psum1_signed_s_57)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_57)) + )) + (net (rename psum1_savedZ0Z_3 "psum1_saved_3") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_57)) + (portRef D (instanceRef psum1_saved_57)) + )) + (net (rename psum1_signed_56 "psum1_signed(56)") (joined + (portRef LO (instanceRef psum1_signed_s_56)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_56)) + )) + (net psum1_saved_3_27 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_56)) + (portRef D (instanceRef psum1_saved_56)) + )) + (net (rename psum1_signed_55 "psum1_signed(55)") (joined + (portRef LO (instanceRef psum1_signed_s_55)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_55)) + )) + (net psum1_saved_3_26 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_55)) + (portRef D (instanceRef psum1_saved_55)) + )) + (net (rename psum1_signed_54 "psum1_signed(54)") (joined + (portRef LO (instanceRef psum1_signed_s_54)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_54)) + )) + (net psum1_saved_3_25 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_54)) + (portRef D (instanceRef psum1_saved_54)) + )) + (net (rename psum1_signed_53 "psum1_signed(53)") (joined + (portRef LO (instanceRef psum1_signed_s_53)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_53)) + )) + (net psum1_saved_3_24 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_53)) + (portRef D (instanceRef psum1_saved_53)) + )) + (net (rename psum1_signed_52 "psum1_signed(52)") (joined + (portRef LO (instanceRef psum1_signed_s_52)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_52)) + )) + (net psum1_saved_3_23 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_52)) + (portRef D (instanceRef psum1_saved_52)) + )) + (net (rename psum1_signed_51 "psum1_signed(51)") (joined + (portRef LO (instanceRef psum1_signed_s_51)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_51)) + )) + (net psum1_saved_3_22 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_51)) + (portRef D (instanceRef psum1_saved_51)) + )) + (net (rename psum1_signed_50 "psum1_signed(50)") (joined + (portRef LO (instanceRef psum1_signed_s_50)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_50)) + )) + (net psum1_saved_3_21 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_50)) + (portRef D (instanceRef psum1_saved_50)) + )) + (net (rename psum1_signed_49 "psum1_signed(49)") (joined + (portRef LO (instanceRef psum1_signed_s_49)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_49)) + )) + (net psum1_saved_3_20 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_49)) + (portRef D (instanceRef psum1_saved_49)) + )) + (net (rename psum1_signed_48 "psum1_signed(48)") (joined + (portRef LO (instanceRef psum1_signed_s_48)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_48)) + )) + (net psum1_saved_3_19 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_48)) + (portRef D (instanceRef psum1_saved_48)) + )) + (net (rename psum1_signed_47 "psum1_signed(47)") (joined + (portRef LO (instanceRef psum1_signed_s_47)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_47)) + )) + (net psum1_saved_3_18 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_47)) + (portRef D (instanceRef psum1_saved_47)) + )) + (net (rename psum1_signed_46 "psum1_signed(46)") (joined + (portRef LO (instanceRef psum1_signed_s_46)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_46)) + )) + (net psum1_saved_3_17 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_46)) + (portRef D (instanceRef psum1_saved_46)) + )) + (net (rename psum1_signed_45 "psum1_signed(45)") (joined + (portRef LO (instanceRef psum1_signed_s_45)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_45)) + )) + (net psum1_saved_3_16 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_45)) + (portRef D (instanceRef psum1_saved_45)) + )) + (net (rename psum1_signed_44 "psum1_signed(44)") (joined + (portRef LO (instanceRef psum1_signed_s_44)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_44)) + )) + (net psum1_saved_3_15 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_44)) + (portRef D (instanceRef psum1_saved_44)) + )) + (net psum1_saved_3_58 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_0)) + (portRef D (instanceRef psum1_saved_0)) + )) + (net en (joined + (portRef en) + (portRef I0 (instanceRef PSUM2AND1_REGS_un1_enable)) + )) + (net un1_enable (joined + (portRef O (instanceRef PSUM2AND1_REGS_un1_enable)) + (portRef CE (instanceRef data_out_final_35)) + (portRef CE (instanceRef data_out_final_34)) + (portRef CE (instanceRef data_out_final_33)) + (portRef CE (instanceRef data_out_final_32)) + (portRef CE (instanceRef data_out_final_31)) + (portRef CE (instanceRef data_out_final_30)) + (portRef CE (instanceRef data_out_final_29)) + (portRef CE (instanceRef data_out_final_28)) + (portRef CE (instanceRef data_out_final_27)) + (portRef CE (instanceRef data_out_final_26)) + (portRef CE (instanceRef data_out_final_25)) + (portRef CE (instanceRef data_out_final_24)) + (portRef CE (instanceRef data_out_final_23)) + (portRef CE (instanceRef data_out_final_22)) + (portRef CE (instanceRef data_out_final_21)) + (portRef CE (instanceRef data_out_final_20)) + (portRef CE (instanceRef data_out_final_19)) + (portRef CE (instanceRef data_out_final_18)) + (portRef CE (instanceRef data_out_final_17)) + (portRef CE (instanceRef data_out_final_16)) + (portRef CE (instanceRef data_out_final_15)) + (portRef CE (instanceRef data_out_final_14)) + (portRef CE (instanceRef data_out_final_13)) + (portRef CE (instanceRef data_out_final_12)) + (portRef CE (instanceRef data_out_final_11)) + (portRef CE (instanceRef data_out_final_10)) + (portRef CE (instanceRef data_out_final_9)) + (portRef CE (instanceRef data_out_final_8)) + (portRef CE (instanceRef data_out_final_7)) + (portRef CE (instanceRef data_out_final_6)) + (portRef CE (instanceRef data_out_final_5)) + (portRef CE (instanceRef data_out_final_4)) + (portRef CE (instanceRef data_out_final_3)) + (portRef CE (instanceRef data_out_final_2)) + (portRef CE (instanceRef data_out_final_1)) + (portRef CE (instanceRef data_out_final_0)) + (portRef CE (instanceRef saturation_final)) + (portRef CE (instanceRef psum1_saved_69)) + (portRef CE (instanceRef psum1_saved_68)) + (portRef CE (instanceRef psum1_saved_67)) + (portRef CE (instanceRef psum1_saved_66)) + (portRef CE (instanceRef psum1_saved_65)) + (portRef CE (instanceRef psum1_saved_64)) + (portRef CE (instanceRef psum1_saved_63)) + (portRef CE (instanceRef psum1_saved_62)) + (portRef CE (instanceRef psum1_saved_61)) + (portRef CE (instanceRef psum1_saved_60)) + (portRef CE (instanceRef psum1_saved_59)) + (portRef CE (instanceRef psum1_saved_58)) + (portRef CE (instanceRef psum1_saved_57)) + (portRef CE (instanceRef psum1_saved_56)) + (portRef CE (instanceRef psum1_saved_55)) + (portRef CE (instanceRef psum1_saved_54)) + (portRef CE (instanceRef psum1_saved_53)) + (portRef CE (instanceRef psum1_saved_52)) + (portRef CE (instanceRef psum1_saved_51)) + (portRef CE (instanceRef psum1_saved_50)) + (portRef CE (instanceRef psum1_saved_49)) + (portRef CE (instanceRef psum1_saved_48)) + (portRef CE (instanceRef psum1_saved_47)) + (portRef CE (instanceRef psum1_saved_46)) + (portRef CE (instanceRef psum1_saved_45)) + (portRef CE (instanceRef psum1_saved_44)) + (portRef CE (instanceRef psum1_saved_43)) + (portRef CE (instanceRef psum1_saved_42)) + (portRef CE (instanceRef psum1_saved_41)) + (portRef CE (instanceRef psum1_saved_40)) + (portRef CE (instanceRef psum1_saved_39)) + (portRef CE (instanceRef psum1_saved_38)) + (portRef CE (instanceRef psum1_saved_37)) + (portRef CE (instanceRef psum1_saved_36)) + (portRef CE (instanceRef psum1_saved_35)) + (portRef CE (instanceRef psum1_saved_34)) + (portRef CE (instanceRef psum1_saved_33)) + (portRef CE (instanceRef psum1_saved_32)) + (portRef CE (instanceRef psum1_saved_31)) + (portRef CE (instanceRef psum1_saved_30)) + (portRef CE (instanceRef psum1_saved_29)) + (portRef CE (instanceRef psum1_saved_28)) + (portRef CE (instanceRef psum1_saved_27)) + (portRef CE (instanceRef psum1_saved_26)) + (portRef CE (instanceRef psum1_saved_25)) + (portRef CE (instanceRef psum1_saved_24)) + (portRef CE (instanceRef psum1_saved_23)) + (portRef CE (instanceRef psum1_saved_22)) + (portRef CE (instanceRef psum1_saved_21)) + (portRef CE (instanceRef psum1_saved_20)) + (portRef CE (instanceRef psum1_saved_19)) + (portRef CE (instanceRef psum1_saved_18)) + (portRef CE (instanceRef psum1_saved_17)) + (portRef CE (instanceRef psum1_saved_16)) + (portRef CE (instanceRef psum1_saved_15)) + (portRef CE (instanceRef psum1_saved_14)) + (portRef CE (instanceRef psum1_saved_13)) + (portRef CE (instanceRef psum1_saved_12)) + (portRef CE (instanceRef psum1_saved_11)) + (portRef CE (instanceRef psum1_saved_10)) + (portRef CE (instanceRef psum1_saved_9)) + (portRef CE (instanceRef psum1_saved_8)) + (portRef CE (instanceRef psum1_saved_7)) + (portRef CE (instanceRef psum1_saved_6)) + (portRef CE (instanceRef psum1_saved_5)) + (portRef CE (instanceRef psum1_saved_4)) + (portRef CE (instanceRef psum1_saved_3)) + (portRef CE (instanceRef psum1_saved_2)) + (portRef CE (instanceRef psum1_saved_1)) + (portRef CE (instanceRef psum1_saved_0)) + )) + (net (rename psum1_savedZ0Z_20 "psum1_saved(20)") (joined + (portRef Q (instanceRef psum1_saved_20)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_23)) + (portRef I0 (instanceRef un1_psum0_signed_cry_23_RNO)) + (portRef I0 (instanceRef un1_psum0_signed_axb_20)) + )) + (net (rename un1_psum0_signed_20 "un1_psum0_signed(20)") (joined + (portRef LO (instanceRef un1_psum0_signed_axb_20)) + (portRef (member un1_psum0_signed 50) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_saved_70 "psum1_saved(70)") (joined + (portRef Q (instanceRef psum1_saved_69)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_s_70)) + (portRef I0 (instanceRef un1_psum0_signed_axb_69)) + (portRef I0 (instanceRef un1_psum0_signed_axb_70)) + )) + (net (rename un1_psum0_signed_axbZ0Z_70 "un1_psum0_signed_axb_70") (joined + (portRef O (instanceRef un1_psum0_signed_axb_70)) + (portRef (member S 1) (instanceRef un1_psum0_signed_s_70)) + )) + (net (rename A1_product_signed_2_44 "A1_product_signed_2(44)") (joined + (portRef O (instanceRef psum1_signed_s_44_thru)) + (portRef I0 (instanceRef psum1_signed_s_44)) + )) + (net (rename A1_product_signed_1_45 "A1_product_signed_1(45)") (joined + (portRef O (instanceRef psum1_signed_s_45_thru)) + (portRef I0 (instanceRef psum1_signed_s_45)) + )) + (net (rename A1_product_signed_1_46 "A1_product_signed_1(46)") (joined + (portRef O (instanceRef psum1_signed_s_46_thru)) + (portRef I0 (instanceRef psum1_signed_s_46)) + )) + (net (rename A1_product_signed_1_47 "A1_product_signed_1(47)") (joined + (portRef O (instanceRef psum1_signed_s_47_thru)) + (portRef I0 (instanceRef psum1_signed_s_47)) + )) + (net (rename A1_product_signed_1_48 "A1_product_signed_1(48)") (joined + (portRef O (instanceRef psum1_signed_s_48_thru)) + (portRef I0 (instanceRef psum1_signed_s_48)) + )) + (net (rename A1_product_signed_1_49 "A1_product_signed_1(49)") (joined + (portRef O (instanceRef psum1_signed_s_49_thru)) + (portRef I0 (instanceRef psum1_signed_s_49)) + )) + (net (rename A1_product_signed_1_50 "A1_product_signed_1(50)") (joined + (portRef O (instanceRef psum1_signed_s_50_thru)) + (portRef I0 (instanceRef psum1_signed_s_50)) + )) + (net (rename A1_product_signed_1_51 "A1_product_signed_1(51)") (joined + (portRef O (instanceRef psum1_signed_s_51_thru)) + (portRef I0 (instanceRef psum1_signed_s_51)) + )) + (net (rename A1_product_signed_1_52 "A1_product_signed_1(52)") (joined + (portRef O (instanceRef psum1_signed_s_52_thru)) + (portRef I0 (instanceRef psum1_signed_s_52)) + )) + (net (rename A1_product_signed_1_53 "A1_product_signed_1(53)") (joined + (portRef O (instanceRef psum1_signed_s_53_thru)) + (portRef I0 (instanceRef psum1_signed_s_53)) + )) + (net (rename A1_product_signed_1_54 "A1_product_signed_1(54)") (joined + (portRef O (instanceRef psum1_signed_s_54_thru)) + (portRef I0 (instanceRef psum1_signed_s_54)) + )) + (net (rename A1_product_signed_1_55 "A1_product_signed_1(55)") (joined + (portRef O (instanceRef psum1_signed_s_55_thru)) + (portRef I0 (instanceRef psum1_signed_s_55)) + )) + (net (rename A1_product_signed_1_56 "A1_product_signed_1(56)") (joined + (portRef O (instanceRef psum1_signed_s_56_thru)) + (portRef I0 (instanceRef psum1_signed_s_56)) + )) + (net (rename A1_product_signed_1_57 "A1_product_signed_1(57)") (joined + (portRef O (instanceRef psum1_signed_s_57_thru)) + (portRef I0 (instanceRef psum1_signed_s_57)) + )) + (net (rename A1_product_signed_1_58 "A1_product_signed_1(58)") (joined + (portRef O (instanceRef psum1_signed_s_58_thru)) + (portRef I0 (instanceRef psum1_signed_s_58)) + )) + (net (rename A1_product_signed_1_59 "A1_product_signed_1(59)") (joined + (portRef O (instanceRef psum1_signed_s_59_thru)) + (portRef I0 (instanceRef psum1_signed_s_59)) + )) + (net (rename A1_product_signed_1_60 "A1_product_signed_1(60)") (joined + (portRef O (instanceRef psum1_signed_s_60_thru)) + (portRef I0 (instanceRef psum1_signed_s_60)) + )) + (net (rename A1_product_signed_1_62 "A1_product_signed_1(62)") (joined + (portRef O (instanceRef psum1_signed_s_62_thru)) + (portRef I0 (instanceRef psum1_signed_s_62)) + )) + (net (rename A1_product_signed_1_63 "A1_product_signed_1(63)") (joined + (portRef O (instanceRef psum1_signed_s_63_thru)) + (portRef I0 (instanceRef psum1_signed_s_63)) + )) + (net (rename A1_product_signed_1_64 "A1_product_signed_1(64)") (joined + (portRef O (instanceRef psum1_signed_s_64_thru)) + (portRef I0 (instanceRef psum1_signed_s_64)) + )) + (net (rename A1_product_signed_0_67 "A1_product_signed_0(67)") (joined + (portRef O (instanceRef psum1_signed_s_69_thru)) + (portRef (member S 2) (instanceRef psum1_signed_s_69)) + )) + (net (rename psum1_savedZ0Z_0 "psum1_saved(0)") (joined + (portRef Q (instanceRef psum1_saved_0)) + (portRef (member psum1_saved 19) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net clk (joined + (portRef clk) + (portRef C (instanceRef data_out_final_35)) + (portRef C (instanceRef data_out_final_34)) + (portRef C (instanceRef data_out_final_33)) + (portRef C (instanceRef data_out_final_32)) + (portRef C (instanceRef data_out_final_31)) + (portRef C (instanceRef data_out_final_30)) + (portRef C (instanceRef data_out_final_29)) + (portRef C (instanceRef data_out_final_28)) + (portRef C (instanceRef data_out_final_27)) + (portRef C (instanceRef data_out_final_26)) + (portRef C (instanceRef data_out_final_25)) + (portRef C (instanceRef data_out_final_24)) + (portRef C (instanceRef data_out_final_23)) + (portRef C (instanceRef data_out_final_22)) + (portRef C (instanceRef data_out_final_21)) + (portRef C (instanceRef data_out_final_20)) + (portRef C (instanceRef data_out_final_19)) + (portRef C (instanceRef data_out_final_18)) + (portRef C (instanceRef data_out_final_17)) + (portRef C (instanceRef data_out_final_16)) + (portRef C (instanceRef data_out_final_15)) + (portRef C (instanceRef data_out_final_14)) + (portRef C (instanceRef data_out_final_13)) + (portRef C (instanceRef data_out_final_12)) + (portRef C (instanceRef data_out_final_11)) + (portRef C (instanceRef data_out_final_10)) + (portRef C (instanceRef data_out_final_9)) + (portRef C (instanceRef data_out_final_8)) + (portRef C (instanceRef data_out_final_7)) + (portRef C (instanceRef data_out_final_6)) + (portRef C (instanceRef data_out_final_5)) + (portRef C (instanceRef data_out_final_4)) + (portRef C (instanceRef data_out_final_3)) + (portRef C (instanceRef data_out_final_2)) + (portRef C (instanceRef data_out_final_1)) + (portRef C (instanceRef data_out_final_0)) + (portRef C (instanceRef saturation_final)) + (portRef C (instanceRef psum1_saved_69)) + (portRef C (instanceRef psum1_saved_68)) + (portRef C (instanceRef psum1_saved_67)) + (portRef C (instanceRef psum1_saved_66)) + (portRef C (instanceRef psum1_saved_65)) + (portRef C (instanceRef psum1_saved_64)) + (portRef C (instanceRef psum1_saved_63)) + (portRef C (instanceRef psum1_saved_62)) + (portRef C (instanceRef psum1_saved_61)) + (portRef C (instanceRef psum1_saved_60)) + (portRef C (instanceRef psum1_saved_59)) + (portRef C (instanceRef psum1_saved_58)) + (portRef C (instanceRef psum1_saved_57)) + (portRef C (instanceRef psum1_saved_56)) + (portRef C (instanceRef psum1_saved_55)) + (portRef C (instanceRef psum1_saved_54)) + (portRef C (instanceRef psum1_saved_53)) + (portRef C (instanceRef psum1_saved_52)) + (portRef C (instanceRef psum1_saved_51)) + (portRef C (instanceRef psum1_saved_50)) + (portRef C (instanceRef psum1_saved_49)) + (portRef C (instanceRef psum1_saved_48)) + (portRef C (instanceRef psum1_saved_47)) + (portRef C (instanceRef psum1_saved_46)) + (portRef C (instanceRef psum1_saved_45)) + (portRef C (instanceRef psum1_saved_44)) + (portRef C (instanceRef psum1_saved_43)) + (portRef C (instanceRef psum1_saved_42)) + (portRef C (instanceRef psum1_saved_41)) + (portRef C (instanceRef psum1_saved_40)) + (portRef C (instanceRef psum1_saved_39)) + (portRef C (instanceRef psum1_saved_38)) + (portRef C (instanceRef psum1_saved_37)) + (portRef C (instanceRef psum1_saved_36)) + (portRef C (instanceRef psum1_saved_35)) + (portRef C (instanceRef psum1_saved_34)) + (portRef C (instanceRef psum1_saved_33)) + (portRef C (instanceRef psum1_saved_32)) + (portRef C (instanceRef psum1_saved_31)) + (portRef C (instanceRef psum1_saved_30)) + (portRef C (instanceRef psum1_saved_29)) + (portRef C (instanceRef psum1_saved_28)) + (portRef C (instanceRef psum1_saved_27)) + (portRef C (instanceRef psum1_saved_26)) + (portRef C (instanceRef psum1_saved_25)) + (portRef C (instanceRef psum1_saved_24)) + (portRef C (instanceRef psum1_saved_23)) + (portRef C (instanceRef psum1_saved_22)) + (portRef C (instanceRef psum1_saved_21)) + (portRef C (instanceRef psum1_saved_20)) + (portRef C (instanceRef psum1_saved_19)) + (portRef C (instanceRef psum1_saved_18)) + (portRef C (instanceRef psum1_saved_17)) + (portRef C (instanceRef psum1_saved_16)) + (portRef C (instanceRef psum1_saved_15)) + (portRef C (instanceRef psum1_saved_14)) + (portRef C (instanceRef psum1_saved_13)) + (portRef C (instanceRef psum1_saved_12)) + (portRef C (instanceRef psum1_saved_11)) + (portRef C (instanceRef psum1_saved_10)) + (portRef C (instanceRef psum1_saved_9)) + (portRef C (instanceRef psum1_saved_8)) + (portRef C (instanceRef psum1_saved_7)) + (portRef C (instanceRef psum1_saved_6)) + (portRef C (instanceRef psum1_saved_5)) + (portRef C (instanceRef psum1_saved_4)) + (portRef C (instanceRef psum1_saved_3)) + (portRef C (instanceRef psum1_saved_2)) + (portRef C (instanceRef psum1_saved_1)) + (portRef C (instanceRef psum1_saved_0)) + )) + (net (rename rstn_iZ0 "rstn_i") (joined + (portRef rstn_i) + (portRef CLR (instanceRef data_out_final_35)) + (portRef CLR (instanceRef data_out_final_34)) + (portRef CLR (instanceRef data_out_final_33)) + (portRef CLR (instanceRef data_out_final_32)) + (portRef CLR (instanceRef data_out_final_31)) + (portRef CLR (instanceRef data_out_final_30)) + (portRef CLR (instanceRef data_out_final_29)) + (portRef CLR (instanceRef data_out_final_28)) + (portRef CLR (instanceRef data_out_final_27)) + (portRef CLR (instanceRef data_out_final_26)) + (portRef CLR (instanceRef data_out_final_25)) + (portRef CLR (instanceRef data_out_final_24)) + (portRef CLR (instanceRef data_out_final_23)) + (portRef CLR (instanceRef data_out_final_22)) + (portRef CLR (instanceRef data_out_final_21)) + (portRef CLR (instanceRef data_out_final_20)) + (portRef CLR (instanceRef data_out_final_19)) + (portRef CLR (instanceRef data_out_final_18)) + (portRef CLR (instanceRef data_out_final_17)) + (portRef CLR (instanceRef data_out_final_16)) + (portRef CLR (instanceRef data_out_final_15)) + (portRef CLR (instanceRef data_out_final_14)) + (portRef CLR (instanceRef data_out_final_13)) + (portRef CLR (instanceRef data_out_final_12)) + (portRef CLR (instanceRef data_out_final_11)) + (portRef CLR (instanceRef data_out_final_10)) + (portRef CLR (instanceRef data_out_final_9)) + (portRef CLR (instanceRef data_out_final_8)) + (portRef CLR (instanceRef data_out_final_7)) + (portRef CLR (instanceRef data_out_final_6)) + (portRef CLR (instanceRef data_out_final_5)) + (portRef CLR (instanceRef data_out_final_4)) + (portRef CLR (instanceRef data_out_final_3)) + (portRef CLR (instanceRef data_out_final_2)) + (portRef CLR (instanceRef data_out_final_1)) + (portRef CLR (instanceRef data_out_final_0)) + (portRef CLR (instanceRef saturation_final)) + (portRef CLR (instanceRef psum1_saved_69)) + (portRef CLR (instanceRef psum1_saved_68)) + (portRef CLR (instanceRef psum1_saved_67)) + (portRef CLR (instanceRef psum1_saved_66)) + (portRef CLR (instanceRef psum1_saved_65)) + (portRef CLR (instanceRef psum1_saved_64)) + (portRef CLR (instanceRef psum1_saved_63)) + (portRef CLR (instanceRef psum1_saved_62)) + (portRef CLR (instanceRef psum1_saved_61)) + (portRef CLR (instanceRef psum1_saved_60)) + (portRef CLR (instanceRef psum1_saved_59)) + (portRef CLR (instanceRef psum1_saved_58)) + (portRef CLR (instanceRef psum1_saved_57)) + (portRef CLR (instanceRef psum1_saved_56)) + (portRef CLR (instanceRef psum1_saved_55)) + (portRef CLR (instanceRef psum1_saved_54)) + (portRef CLR (instanceRef psum1_saved_53)) + (portRef CLR (instanceRef psum1_saved_52)) + (portRef CLR (instanceRef psum1_saved_51)) + (portRef CLR (instanceRef psum1_saved_50)) + (portRef CLR (instanceRef psum1_saved_49)) + (portRef CLR (instanceRef psum1_saved_48)) + (portRef CLR (instanceRef psum1_saved_47)) + (portRef CLR (instanceRef psum1_saved_46)) + (portRef CLR (instanceRef psum1_saved_45)) + (portRef CLR (instanceRef psum1_saved_44)) + (portRef CLR (instanceRef psum1_saved_43)) + (portRef CLR (instanceRef psum1_saved_42)) + (portRef CLR (instanceRef psum1_saved_41)) + (portRef CLR (instanceRef psum1_saved_40)) + (portRef CLR (instanceRef psum1_saved_39)) + (portRef CLR (instanceRef psum1_saved_38)) + (portRef CLR (instanceRef psum1_saved_37)) + (portRef CLR (instanceRef psum1_saved_36)) + (portRef CLR (instanceRef psum1_saved_35)) + (portRef CLR (instanceRef psum1_saved_34)) + (portRef CLR (instanceRef psum1_saved_33)) + (portRef CLR (instanceRef psum1_saved_32)) + (portRef CLR (instanceRef psum1_saved_31)) + (portRef CLR (instanceRef psum1_saved_30)) + (portRef CLR (instanceRef psum1_saved_29)) + (portRef CLR (instanceRef psum1_saved_28)) + (portRef CLR (instanceRef psum1_saved_27)) + (portRef CLR (instanceRef psum1_saved_26)) + (portRef CLR (instanceRef psum1_saved_25)) + (portRef CLR (instanceRef psum1_saved_24)) + (portRef CLR (instanceRef psum1_saved_23)) + (portRef CLR (instanceRef psum1_saved_22)) + (portRef CLR (instanceRef psum1_saved_21)) + (portRef CLR (instanceRef psum1_saved_20)) + (portRef CLR (instanceRef psum1_saved_19)) + (portRef CLR (instanceRef psum1_saved_18)) + (portRef CLR (instanceRef psum1_saved_17)) + (portRef CLR (instanceRef psum1_saved_16)) + (portRef CLR (instanceRef psum1_saved_15)) + (portRef CLR (instanceRef psum1_saved_14)) + (portRef CLR (instanceRef psum1_saved_13)) + (portRef CLR (instanceRef psum1_saved_12)) + (portRef CLR (instanceRef psum1_saved_11)) + (portRef CLR (instanceRef psum1_saved_10)) + (portRef CLR (instanceRef psum1_saved_9)) + (portRef CLR (instanceRef psum1_saved_8)) + (portRef CLR (instanceRef psum1_saved_7)) + (portRef CLR (instanceRef psum1_saved_6)) + (portRef CLR (instanceRef psum1_saved_5)) + (portRef CLR (instanceRef psum1_saved_4)) + (portRef CLR (instanceRef psum1_saved_3)) + (portRef CLR (instanceRef psum1_saved_2)) + (portRef CLR (instanceRef psum1_saved_1)) + (portRef CLR (instanceRef psum1_saved_0)) + )) + (net (rename psum1_savedZ0Z_1 "psum1_saved(1)") (joined + (portRef Q (instanceRef psum1_saved_1)) + (portRef (member psum1_saved 18) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_2 "psum1_saved(2)") (joined + (portRef Q (instanceRef psum1_saved_2)) + (portRef (member psum1_saved 17) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ1Z_3 "psum1_saved(3)") (joined + (portRef Q (instanceRef psum1_saved_3)) + (portRef (member psum1_saved 16) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_4 "psum1_saved(4)") (joined + (portRef Q (instanceRef psum1_saved_4)) + (portRef (member psum1_saved 15) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_5 "psum1_saved(5)") (joined + (portRef Q (instanceRef psum1_saved_5)) + (portRef (member psum1_saved 14) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_6 "psum1_saved(6)") (joined + (portRef Q (instanceRef psum1_saved_6)) + (portRef (member psum1_saved 13) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_7 "psum1_saved(7)") (joined + (portRef Q (instanceRef psum1_saved_7)) + (portRef (member psum1_saved 12) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_8 "psum1_saved(8)") (joined + (portRef Q (instanceRef psum1_saved_8)) + (portRef (member psum1_saved 11) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_9 "psum1_saved(9)") (joined + (portRef Q (instanceRef psum1_saved_9)) + (portRef (member psum1_saved 10) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_10 "psum1_saved(10)") (joined + (portRef Q (instanceRef psum1_saved_10)) + (portRef (member psum1_saved 9) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_11 "psum1_saved(11)") (joined + (portRef Q (instanceRef psum1_saved_11)) + (portRef (member psum1_saved 8) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_12 "psum1_saved(12)") (joined + (portRef Q (instanceRef psum1_saved_12)) + (portRef (member psum1_saved 7) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_13 "psum1_saved(13)") (joined + (portRef Q (instanceRef psum1_saved_13)) + (portRef (member psum1_saved 6) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_14 "psum1_saved(14)") (joined + (portRef Q (instanceRef psum1_saved_14)) + (portRef (member psum1_saved 5) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_15 "psum1_saved(15)") (joined + (portRef Q (instanceRef psum1_saved_15)) + (portRef (member psum1_saved 4) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_16 "psum1_saved(16)") (joined + (portRef Q (instanceRef psum1_saved_16)) + (portRef (member psum1_saved 3) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_17 "psum1_saved(17)") (joined + (portRef Q (instanceRef psum1_saved_17)) + (portRef (member psum1_saved 2) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_18 "psum1_saved(18)") (joined + (portRef Q (instanceRef psum1_saved_18)) + (portRef (member psum1_saved 1) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_19 "psum1_saved(19)") (joined + (portRef Q (instanceRef psum1_saved_19)) + (portRef (member psum1_saved 0) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename psum1_savedZ0Z_21 "psum1_saved(21)") (joined + (portRef Q (instanceRef psum1_saved_21)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_23)) + (portRef I0 (instanceRef un1_psum0_signed_axb_21)) + )) + (net (rename psum1_savedZ0Z_22 "psum1_saved(22)") (joined + (portRef Q (instanceRef psum1_saved_22)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_23)) + (portRef I0 (instanceRef un1_psum0_signed_axb_22)) + )) + (net (rename psum1_savedZ0Z_23 "psum1_saved(23)") (joined + (portRef Q (instanceRef psum1_saved_23)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_23)) + (portRef I0 (instanceRef un1_psum0_signed_axb_23)) + )) + (net (rename psum1_savedZ0Z_24 "psum1_saved(24)") (joined + (portRef Q (instanceRef psum1_saved_24)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_27)) + (portRef I0 (instanceRef un1_psum0_signed_axb_24)) + )) + (net (rename psum1_savedZ0Z_25 "psum1_saved(25)") (joined + (portRef Q (instanceRef psum1_saved_25)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_27)) + (portRef I0 (instanceRef un1_psum0_signed_axb_25)) + )) + (net (rename psum1_savedZ0Z_26 "psum1_saved(26)") (joined + (portRef Q (instanceRef psum1_saved_26)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_27)) + (portRef I0 (instanceRef un1_psum0_signed_axb_26)) + )) + (net (rename psum1_savedZ0Z_27 "psum1_saved(27)") (joined + (portRef Q (instanceRef psum1_saved_27)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_27)) + (portRef I0 (instanceRef un1_psum0_signed_axb_27)) + )) + (net (rename psum1_savedZ0Z_28 "psum1_saved(28)") (joined + (portRef Q (instanceRef psum1_saved_28)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_31)) + (portRef I0 (instanceRef un1_psum0_signed_axb_28)) + )) + (net (rename psum1_savedZ0Z_29 "psum1_saved(29)") (joined + (portRef Q (instanceRef psum1_saved_29)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_31)) + (portRef I0 (instanceRef un1_psum0_signed_axb_29)) + )) + (net (rename psum1_savedZ0Z_30 "psum1_saved(30)") (joined + (portRef Q (instanceRef psum1_saved_30)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_31)) + (portRef I0 (instanceRef un1_psum0_signed_axb_30)) + )) + (net (rename psum1_savedZ0Z_31 "psum1_saved(31)") (joined + (portRef Q (instanceRef psum1_saved_31)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_31)) + (portRef I0 (instanceRef un1_psum0_signed_axb_31)) + )) + (net (rename psum1_savedZ0Z_32 "psum1_saved(32)") (joined + (portRef Q (instanceRef psum1_saved_32)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_35)) + (portRef I0 (instanceRef un1_psum0_signed_axb_32)) + )) + (net (rename psum1_savedZ0Z_33 "psum1_saved(33)") (joined + (portRef Q (instanceRef psum1_saved_33)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_35)) + (portRef I0 (instanceRef un1_psum0_signed_axb_33)) + )) + (net (rename psum1_savedZ0Z_34 "psum1_saved(34)") (joined + (portRef Q (instanceRef psum1_saved_34)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_35)) + (portRef I0 (instanceRef un1_psum0_signed_axb_34)) + )) + (net (rename psum1_savedZ0Z_35 "psum1_saved(35)") (joined + (portRef Q (instanceRef psum1_saved_35)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_35)) + (portRef I0 (instanceRef un1_psum0_signed_axb_35)) + )) + (net (rename psum1_savedZ0Z_36 "psum1_saved(36)") (joined + (portRef Q (instanceRef psum1_saved_36)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_39)) + (portRef I0 (instanceRef un1_psum0_signed_axb_36)) + )) + (net (rename psum1_savedZ0Z_37 "psum1_saved(37)") (joined + (portRef Q (instanceRef psum1_saved_37)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_39)) + (portRef I0 (instanceRef un1_psum0_signed_axb_37)) + )) + (net (rename psum1_savedZ0Z_38 "psum1_saved(38)") (joined + (portRef Q (instanceRef psum1_saved_38)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_39)) + (portRef I0 (instanceRef un1_psum0_signed_axb_38)) + )) + (net (rename psum1_savedZ0Z_39 "psum1_saved(39)") (joined + (portRef Q (instanceRef psum1_saved_39)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_39)) + (portRef I0 (instanceRef un1_psum0_signed_axb_39)) + )) + (net (rename psum1_savedZ0Z_40 "psum1_saved(40)") (joined + (portRef Q (instanceRef psum1_saved_40)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_43)) + (portRef I0 (instanceRef un1_psum0_signed_axb_40)) + )) + (net (rename psum1_savedZ0Z_41 "psum1_saved(41)") (joined + (portRef Q (instanceRef psum1_saved_41)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_43)) + (portRef I0 (instanceRef un1_psum0_signed_axb_41)) + )) + (net (rename psum1_savedZ0Z_42 "psum1_saved(42)") (joined + (portRef Q (instanceRef psum1_saved_42)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_43)) + (portRef I0 (instanceRef un1_psum0_signed_axb_42)) + )) + (net (rename psum1_savedZ0Z_43 "psum1_saved(43)") (joined + (portRef Q (instanceRef psum1_saved_43)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_43)) + (portRef I0 (instanceRef un1_psum0_signed_axb_43)) + )) + (net (rename psum1_savedZ0Z_44 "psum1_saved(44)") (joined + (portRef Q (instanceRef psum1_saved_44)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_47)) + (portRef I0 (instanceRef un1_psum0_signed_axb_44)) + )) + (net (rename psum1_savedZ0Z_45 "psum1_saved(45)") (joined + (portRef Q (instanceRef psum1_saved_45)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_47)) + (portRef I0 (instanceRef un1_psum0_signed_axb_45)) + )) + (net (rename psum1_savedZ0Z_46 "psum1_saved(46)") (joined + (portRef Q (instanceRef psum1_saved_46)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_47)) + (portRef I0 (instanceRef un1_psum0_signed_axb_46)) + )) + (net (rename psum1_savedZ0Z_47 "psum1_saved(47)") (joined + (portRef Q (instanceRef psum1_saved_47)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_47)) + (portRef I0 (instanceRef un1_psum0_signed_axb_47)) + )) + (net (rename psum1_savedZ0Z_48 "psum1_saved(48)") (joined + (portRef Q (instanceRef psum1_saved_48)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_51)) + (portRef I0 (instanceRef un1_psum0_signed_axb_48)) + )) + (net (rename psum1_savedZ0Z_49 "psum1_saved(49)") (joined + (portRef Q (instanceRef psum1_saved_49)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_51)) + (portRef I0 (instanceRef un1_psum0_signed_axb_49)) + )) + (net (rename psum1_savedZ0Z_50 "psum1_saved(50)") (joined + (portRef Q (instanceRef psum1_saved_50)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_51)) + (portRef I0 (instanceRef un1_psum0_signed_axb_50)) + )) + (net (rename psum1_savedZ0Z_51 "psum1_saved(51)") (joined + (portRef Q (instanceRef psum1_saved_51)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_51)) + (portRef I0 (instanceRef un1_psum0_signed_axb_51)) + )) + (net (rename psum1_savedZ0Z_52 "psum1_saved(52)") (joined + (portRef Q (instanceRef psum1_saved_52)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_55)) + (portRef I0 (instanceRef un1_psum0_signed_axb_52)) + )) + (net (rename psum1_savedZ0Z_53 "psum1_saved(53)") (joined + (portRef Q (instanceRef psum1_saved_53)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_55)) + (portRef I0 (instanceRef un1_psum0_signed_axb_53)) + )) + (net (rename psum1_savedZ0Z_54 "psum1_saved(54)") (joined + (portRef Q (instanceRef psum1_saved_54)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_55)) + (portRef I0 (instanceRef un1_psum0_signed_axb_54)) + )) + (net (rename psum1_savedZ0Z_55 "psum1_saved(55)") (joined + (portRef Q (instanceRef psum1_saved_55)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_55)) + (portRef I0 (instanceRef un1_psum0_signed_axb_55)) + )) + (net (rename psum1_savedZ0Z_56 "psum1_saved(56)") (joined + (portRef Q (instanceRef psum1_saved_56)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_59)) + (portRef I0 (instanceRef un1_psum0_signed_axb_56)) + )) + (net (rename psum1_savedZ0Z_57 "psum1_saved(57)") (joined + (portRef Q (instanceRef psum1_saved_57)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_59)) + (portRef I0 (instanceRef un1_psum0_signed_axb_57)) + )) + (net (rename psum1_savedZ0Z_58 "psum1_saved(58)") (joined + (portRef Q (instanceRef psum1_saved_58)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_59)) + (portRef I0 (instanceRef un1_psum0_signed_axb_58)) + )) + (net (rename psum1_savedZ0Z_59 "psum1_saved(59)") (joined + (portRef Q (instanceRef psum1_saved_59)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_59)) + (portRef I0 (instanceRef un1_psum0_signed_axb_59)) + )) + (net (rename psum1_savedZ0Z_60 "psum1_saved(60)") (joined + (portRef Q (instanceRef psum1_saved_60)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_63)) + (portRef I0 (instanceRef un1_psum0_signed_axb_60)) + )) + (net (rename psum1_savedZ0Z_61 "psum1_saved(61)") (joined + (portRef Q (instanceRef psum1_saved_61)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_63)) + (portRef I0 (instanceRef un1_psum0_signed_axb_61)) + )) + (net (rename psum1_savedZ0Z_62 "psum1_saved(62)") (joined + (portRef Q (instanceRef psum1_saved_62)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_63)) + (portRef I0 (instanceRef un1_psum0_signed_axb_62)) + )) + (net (rename psum1_savedZ0Z_63 "psum1_saved(63)") (joined + (portRef Q (instanceRef psum1_saved_63)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_63)) + (portRef I0 (instanceRef un1_psum0_signed_axb_63)) + )) + (net (rename psum1_savedZ0Z_64 "psum1_saved(64)") (joined + (portRef Q (instanceRef psum1_saved_64)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_cry_67)) + (portRef I0 (instanceRef un1_psum0_signed_axb_64)) + )) + (net (rename psum1_savedZ0Z_65 "psum1_saved(65)") (joined + (portRef Q (instanceRef psum1_saved_65)) + (portRef (member DI 2) (instanceRef un1_psum0_signed_cry_67)) + (portRef I0 (instanceRef un1_psum0_signed_axb_65)) + )) + (net (rename psum1_savedZ0Z_66 "psum1_saved(66)") (joined + (portRef Q (instanceRef psum1_saved_66)) + (portRef (member DI 1) (instanceRef un1_psum0_signed_cry_67)) + (portRef I0 (instanceRef un1_psum0_signed_axb_66)) + )) + (net (rename psum1_savedZ0Z_67 "psum1_saved(67)") (joined + (portRef Q (instanceRef psum1_saved_67)) + (portRef (member DI 0) (instanceRef un1_psum0_signed_cry_67)) + (portRef I0 (instanceRef un1_psum0_signed_axb_67)) + )) + (net (rename psum1_savedZ0Z_68 "psum1_saved(68)") (joined + (portRef Q (instanceRef psum1_saved_68)) + (portRef (member DI 3) (instanceRef un1_psum0_signed_s_70)) + (portRef I0 (instanceRef un1_psum0_signed_axb_68)) + )) + (net saturation_5 (joined + (portRef Q (instanceRef saturation_final)) + (portRef saturation_5) + )) + (net N_68_i (joined + (portRef N_68_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef saturation_final)) + )) + (net (rename dout_5_0 "dout_5(0)") (joined + (portRef Q (instanceRef data_out_final_0)) + (portRef (member dout_5 35)) + )) + (net data_out_final_3_34 (joined + (portRef data_out_final_3_34 (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_0)) + )) + (net (rename dout_5_1 "dout_5(1)") (joined + (portRef Q (instanceRef data_out_final_1)) + (portRef (member dout_5 34)) + )) + (net N_46_i (joined + (portRef N_46_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_1)) + )) + (net (rename dout_5_2 "dout_5(2)") (joined + (portRef Q (instanceRef data_out_final_2)) + (portRef (member dout_5 33)) + )) + (net data_out_final_3_32 (joined + (portRef data_out_final_3_32 (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_2)) + )) + (net (rename dout_5_3 "dout_5(3)") (joined + (portRef Q (instanceRef data_out_final_3)) + (portRef (member dout_5 32)) + )) + (net data_out_final_3_31 (joined + (portRef data_out_final_3_31 (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_3)) + )) + (net (rename dout_5_4 "dout_5(4)") (joined + (portRef Q (instanceRef data_out_final_4)) + (portRef (member dout_5 31)) + )) + (net data_out_final_3_30 (joined + (portRef data_out_final_3_30 (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_4)) + )) + (net (rename dout_5_5 "dout_5(5)") (joined + (portRef Q (instanceRef data_out_final_5)) + (portRef (member dout_5 30)) + )) + (net N_42_i (joined + (portRef N_42_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_5)) + )) + (net (rename dout_5_6 "dout_5(6)") (joined + (portRef Q (instanceRef data_out_final_6)) + (portRef (member dout_5 29)) + )) + (net N_38_i (joined + (portRef N_38_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_6)) + )) + (net (rename dout_5_7 "dout_5(7)") (joined + (portRef Q (instanceRef data_out_final_7)) + (portRef (member dout_5 28)) + )) + (net data_out_final_3_27 (joined + (portRef data_out_final_3_27 (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_7)) + )) + (net (rename dout_5_8 "dout_5(8)") (joined + (portRef Q (instanceRef data_out_final_8)) + (portRef (member dout_5 27)) + )) + (net data_out_final_3_26 (joined + (portRef data_out_final_3_26 (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_8)) + )) + (net (rename dout_5_9 "dout_5(9)") (joined + (portRef Q (instanceRef data_out_final_9)) + (portRef (member dout_5 26)) + )) + (net data_out_final_3_25 (joined + (portRef data_out_final_3_25 (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_9)) + )) + (net (rename dout_5_10 "dout_5(10)") (joined + (portRef Q (instanceRef data_out_final_10)) + (portRef (member dout_5 25)) + )) + (net data_out_final_3_24 (joined + (portRef data_out_final_3_24 (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_10)) + )) + (net (rename dout_5_11 "dout_5(11)") (joined + (portRef Q (instanceRef data_out_final_11)) + (portRef (member dout_5 24)) + )) + (net N_45_i (joined + (portRef N_45_i (instanceRef gO_4_11_MUX12)) + (portRef D (instanceRef data_out_final_11)) + )) + (net (rename dout_5_12 "dout_5(12)") (joined + (portRef Q (instanceRef data_out_final_12)) + (portRef (member dout_5 23)) + )) + (net data_out_final_3_22 (joined + (portRef data_out_final_3_22 (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_12)) + )) + (net (rename dout_5_13 "dout_5(13)") (joined + (portRef Q (instanceRef data_out_final_13)) + (portRef (member dout_5 22)) + )) + (net data_out_final_3_21 (joined + (portRef data_out_final_3_21 (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_13)) + )) + (net (rename dout_5_14 "dout_5(14)") (joined + (portRef Q (instanceRef data_out_final_14)) + (portRef (member dout_5 21)) + )) + (net data_out_final_3_20 (joined + (portRef data_out_final_3_20 (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_14)) + )) + (net (rename dout_5_15 "dout_5(15)") (joined + (portRef Q (instanceRef data_out_final_15)) + (portRef (member dout_5 20)) + )) + (net data_out_final_3_19 (joined + (portRef data_out_final_3_19 (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_15)) + )) + (net (rename dout_5_16 "dout_5(16)") (joined + (portRef Q (instanceRef data_out_final_16)) + (portRef (member dout_5 19)) + )) + (net N_34_i (joined + (portRef N_34_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_16)) + )) + (net (rename dout_5_17 "dout_5(17)") (joined + (portRef Q (instanceRef data_out_final_17)) + (portRef (member dout_5 18)) + )) + (net N_30_i (joined + (portRef N_30_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_17)) + )) + (net (rename dout_5_18 "dout_5(18)") (joined + (portRef Q (instanceRef data_out_final_18)) + (portRef (member dout_5 17)) + )) + (net N_26_i (joined + (portRef N_26_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_18)) + )) + (net (rename dout_5_19 "dout_5(19)") (joined + (portRef Q (instanceRef data_out_final_19)) + (portRef (member dout_5 16)) + )) + (net data_out_final_3_15 (joined + (portRef data_out_final_3_15 (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_19)) + )) + (net (rename dout_5_20 "dout_5(20)") (joined + (portRef Q (instanceRef data_out_final_20)) + (portRef (member dout_5 15)) + )) + (net N_22_i (joined + (portRef N_22_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_20)) + )) + (net (rename dout_5_21 "dout_5(21)") (joined + (portRef Q (instanceRef data_out_final_21)) + (portRef (member dout_5 14)) + )) + (net N_18_i (joined + (portRef N_18_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_21)) + )) + (net (rename dout_5_22 "dout_5(22)") (joined + (portRef Q (instanceRef data_out_final_22)) + (portRef (member dout_5 13)) + )) + (net data_out_final_3_12 (joined + (portRef data_out_final_3_12 (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_22)) + )) + (net (rename dout_5_23 "dout_5(23)") (joined + (portRef Q (instanceRef data_out_final_23)) + (portRef (member dout_5 12)) + )) + (net N_49_i (joined + (portRef N_49_i (instanceRef gO_4_11_MUX12)) + (portRef D (instanceRef data_out_final_23)) + )) + (net (rename dout_5_24 "dout_5(24)") (joined + (portRef Q (instanceRef data_out_final_24)) + (portRef (member dout_5 11)) + )) + (net N_53_i (joined + (portRef N_53_i (instanceRef gO_4_11_MUX12)) + (portRef D (instanceRef data_out_final_24)) + )) + (net (rename dout_5_25 "dout_5(25)") (joined + (portRef Q (instanceRef data_out_final_25)) + (portRef (member dout_5 10)) + )) + (net N_57_i (joined + (portRef N_57_i (instanceRef gO_4_11_MUX12)) + (portRef D (instanceRef data_out_final_25)) + )) + (net (rename dout_5_26 "dout_5(26)") (joined + (portRef Q (instanceRef data_out_final_26)) + (portRef (member dout_5 9)) + )) + (net N_61_i (joined + (portRef N_61_i (instanceRef gO_4_11_MUX12)) + (portRef D (instanceRef data_out_final_26)) + )) + (net (rename dout_5_27 "dout_5(27)") (joined + (portRef Q (instanceRef data_out_final_27)) + (portRef (member dout_5 8)) + )) + (net N_65_i (joined + (portRef N_65_i (instanceRef gO_4_11_MUX12)) + (portRef D (instanceRef data_out_final_27)) + )) + (net (rename dout_5_28 "dout_5(28)") (joined + (portRef Q (instanceRef data_out_final_28)) + (portRef (member dout_5 7)) + )) + (net data_out_final_3_6 (joined + (portRef data_out_final_3_6 (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_28)) + )) + (net (rename dout_5_29 "dout_5(29)") (joined + (portRef Q (instanceRef data_out_final_29)) + (portRef (member dout_5 6)) + )) + (net N_14_i (joined + (portRef N_14_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_29)) + )) + (net (rename dout_5_30 "dout_5(30)") (joined + (portRef Q (instanceRef data_out_final_30)) + (portRef (member dout_5 5)) + )) + (net N_75_i (joined + (portRef N_75_i (instanceRef gO_4_11_MUX12)) + (portRef D (instanceRef data_out_final_30)) + )) + (net (rename dout_5_31 "dout_5(31)") (joined + (portRef Q (instanceRef data_out_final_31)) + (portRef (member dout_5 4)) + )) + (net N_10_i (joined + (portRef N_10_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_31)) + )) + (net (rename dout_5_32 "dout_5(32)") (joined + (portRef Q (instanceRef data_out_final_32)) + (portRef (member dout_5 3)) + )) + (net N_77_i (joined + (portRef N_77_i (instanceRef gO_4_11_MUX12)) + (portRef D (instanceRef data_out_final_32)) + )) + (net (rename dout_5_33 "dout_5(33)") (joined + (portRef Q (instanceRef data_out_final_33)) + (portRef (member dout_5 2)) + )) + (net N_153_i (joined + (portRef N_153_i (instanceRef gO_4_11_MUX12)) + (portRef D (instanceRef data_out_final_33)) + )) + (net (rename dout_5_34 "dout_5(34)") (joined + (portRef Q (instanceRef data_out_final_34)) + (portRef (member dout_5 1)) + )) + (net N_6_i (joined + (portRef N_6_i (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_34)) + )) + (net (rename dout_5_35 "dout_5(35)") (joined + (portRef Q (instanceRef data_out_final_35)) + (portRef (member dout_5 0)) + )) + (net (rename data_out_finalZ0Z_3 "data_out_final_3") (joined + (portRef data_out_final_3 (instanceRef gO_2_gO_2cN_AN8)) + (portRef D (instanceRef data_out_final_35)) + )) + (net (rename psum1_signed_cryZ0Z_63 "psum1_signed_cry_63") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_63)) + (portRef CI (instanceRef psum1_signed_cry_67)) + (portRef I1 (instanceRef psum1_signed_s_64)) + )) + (net psum1_signed_cry_62 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_63)) + (portRef I1 (instanceRef psum1_signed_s_63)) + )) + (net psum1_signed_cry_61 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_63)) + (portRef I1 (instanceRef psum1_signed_s_62)) + )) + (net (rename psum1_signed_cryZ0Z_59 "psum1_signed_cry_59") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_59)) + (portRef CI (instanceRef psum1_signed_cry_63)) + (portRef I1 (instanceRef psum1_signed_s_60)) + )) + (net psum1_signed_cry_58 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_59)) + (portRef I1 (instanceRef psum1_signed_s_59)) + )) + (net psum1_signed_cry_57 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_59)) + (portRef I1 (instanceRef psum1_signed_s_58)) + )) + (net psum1_signed_cry_56 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_59)) + (portRef I1 (instanceRef psum1_signed_s_57)) + )) + (net (rename psum1_signed_cryZ0Z_55 "psum1_signed_cry_55") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_55)) + (portRef CI (instanceRef psum1_signed_cry_59)) + (portRef I1 (instanceRef psum1_signed_s_56)) + )) + (net psum1_signed_cry_54 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_55)) + (portRef I1 (instanceRef psum1_signed_s_55)) + )) + (net psum1_signed_cry_53 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_55)) + (portRef I1 (instanceRef psum1_signed_s_54)) + )) + (net psum1_signed_cry_52 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_55)) + (portRef I1 (instanceRef psum1_signed_s_53)) + )) + (net (rename psum1_signed_cryZ0Z_51 "psum1_signed_cry_51") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_51)) + (portRef CI (instanceRef psum1_signed_cry_55)) + (portRef I1 (instanceRef psum1_signed_s_52)) + )) + (net psum1_signed_cry_50 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_51)) + (portRef I1 (instanceRef psum1_signed_s_51)) + )) + (net psum1_signed_cry_49 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_51)) + (portRef I1 (instanceRef psum1_signed_s_50)) + )) + (net psum1_signed_cry_48 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_51)) + (portRef I1 (instanceRef psum1_signed_s_49)) + )) + (net (rename psum1_signed_cryZ0Z_47 "psum1_signed_cry_47") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_47)) + (portRef CI (instanceRef psum1_signed_cry_51)) + (portRef I1 (instanceRef psum1_signed_s_48)) + )) + (net psum1_signed_cry_46 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_47)) + (portRef I1 (instanceRef psum1_signed_s_47)) + )) + (net psum1_signed_cry_45 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_47)) + (portRef I1 (instanceRef psum1_signed_s_46)) + )) + (net psum1_signed_cry_44 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_47)) + (portRef I1 (instanceRef psum1_signed_s_45)) + )) + (net (rename psum1_signed_cryZ0Z_43 "psum1_signed_cry_43") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_43)) + (portRef CI (instanceRef psum1_signed_cry_47)) + (portRef I1 (instanceRef psum1_signed_s_44)) + )) + (net (rename un1_psum0_signed_axbZ0Z_69 "un1_psum0_signed_axb_69") (joined + (portRef O (instanceRef un1_psum0_signed_axb_69)) + (portRef (member S 2) (instanceRef un1_psum0_signed_s_70)) + )) + (net (rename un1_psum0_signed_axbZ0Z_68 "un1_psum0_signed_axb_68") (joined + (portRef O (instanceRef un1_psum0_signed_axb_68)) + (portRef (member S 3) (instanceRef un1_psum0_signed_s_70)) + )) + (net (rename un1_psum0_signed_axbZ0Z_67 "un1_psum0_signed_axb_67") (joined + (portRef O (instanceRef un1_psum0_signed_axb_67)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_67)) + )) + (net (rename un1_psum0_signed_axbZ0Z_66 "un1_psum0_signed_axb_66") (joined + (portRef O (instanceRef un1_psum0_signed_axb_66)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_67)) + )) + (net (rename un1_psum0_signed_axbZ0Z_65 "un1_psum0_signed_axb_65") (joined + (portRef O (instanceRef un1_psum0_signed_axb_65)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_67)) + )) + (net (rename un1_psum0_signed_axbZ0Z_64 "un1_psum0_signed_axb_64") (joined + (portRef O (instanceRef un1_psum0_signed_axb_64)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_67)) + )) + (net (rename un1_psum0_signed_axbZ0Z_63 "un1_psum0_signed_axb_63") (joined + (portRef O (instanceRef un1_psum0_signed_axb_63)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_63)) + )) + (net (rename un1_psum0_signed_axbZ0Z_62 "un1_psum0_signed_axb_62") (joined + (portRef O (instanceRef un1_psum0_signed_axb_62)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_63)) + )) + (net (rename un1_psum0_signed_axbZ0Z_61 "un1_psum0_signed_axb_61") (joined + (portRef O (instanceRef un1_psum0_signed_axb_61)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_63)) + )) + (net (rename un1_psum0_signed_axbZ0Z_60 "un1_psum0_signed_axb_60") (joined + (portRef O (instanceRef un1_psum0_signed_axb_60)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_63)) + )) + (net (rename un1_psum0_signed_axbZ0Z_59 "un1_psum0_signed_axb_59") (joined + (portRef O (instanceRef un1_psum0_signed_axb_59)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_59)) + )) + (net (rename un1_psum0_signed_axbZ0Z_58 "un1_psum0_signed_axb_58") (joined + (portRef O (instanceRef un1_psum0_signed_axb_58)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_59)) + )) + (net (rename un1_psum0_signed_axbZ0Z_57 "un1_psum0_signed_axb_57") (joined + (portRef O (instanceRef un1_psum0_signed_axb_57)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_59)) + )) + (net (rename un1_psum0_signed_axbZ0Z_56 "un1_psum0_signed_axb_56") (joined + (portRef O (instanceRef un1_psum0_signed_axb_56)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_59)) + )) + (net (rename un1_psum0_signed_axbZ0Z_55 "un1_psum0_signed_axb_55") (joined + (portRef O (instanceRef un1_psum0_signed_axb_55)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_55)) + )) + (net (rename un1_psum0_signed_axbZ0Z_54 "un1_psum0_signed_axb_54") (joined + (portRef O (instanceRef un1_psum0_signed_axb_54)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_55)) + )) + (net (rename un1_psum0_signed_axbZ0Z_53 "un1_psum0_signed_axb_53") (joined + (portRef O (instanceRef un1_psum0_signed_axb_53)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_55)) + )) + (net (rename un1_psum0_signed_axbZ0Z_52 "un1_psum0_signed_axb_52") (joined + (portRef O (instanceRef un1_psum0_signed_axb_52)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_55)) + )) + (net (rename un1_psum0_signed_axbZ0Z_51 "un1_psum0_signed_axb_51") (joined + (portRef O (instanceRef un1_psum0_signed_axb_51)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_51)) + )) + (net (rename un1_psum0_signed_axbZ0Z_50 "un1_psum0_signed_axb_50") (joined + (portRef O (instanceRef un1_psum0_signed_axb_50)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_51)) + )) + (net (rename un1_psum0_signed_axbZ0Z_49 "un1_psum0_signed_axb_49") (joined + (portRef O (instanceRef un1_psum0_signed_axb_49)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_51)) + )) + (net (rename un1_psum0_signed_axbZ0Z_48 "un1_psum0_signed_axb_48") (joined + (portRef O (instanceRef un1_psum0_signed_axb_48)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_51)) + )) + (net (rename un1_psum0_signed_axbZ0Z_47 "un1_psum0_signed_axb_47") (joined + (portRef O (instanceRef un1_psum0_signed_axb_47)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_47)) + )) + (net (rename un1_psum0_signed_axbZ0Z_46 "un1_psum0_signed_axb_46") (joined + (portRef O (instanceRef un1_psum0_signed_axb_46)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_47)) + )) + (net (rename un1_psum0_signed_axbZ0Z_45 "un1_psum0_signed_axb_45") (joined + (portRef O (instanceRef un1_psum0_signed_axb_45)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_47)) + )) + (net (rename un1_psum0_signed_axbZ0Z_44 "un1_psum0_signed_axb_44") (joined + (portRef O (instanceRef un1_psum0_signed_axb_44)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_47)) + )) + (net (rename un1_psum0_signed_axbZ0Z_43 "un1_psum0_signed_axb_43") (joined + (portRef O (instanceRef un1_psum0_signed_axb_43)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_43)) + )) + (net (rename un1_psum0_signed_axbZ0Z_42 "un1_psum0_signed_axb_42") (joined + (portRef O (instanceRef un1_psum0_signed_axb_42)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_43)) + )) + (net (rename un1_psum0_signed_axbZ0Z_41 "un1_psum0_signed_axb_41") (joined + (portRef O (instanceRef un1_psum0_signed_axb_41)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_43)) + )) + (net (rename un1_psum0_signed_axbZ0Z_40 "un1_psum0_signed_axb_40") (joined + (portRef O (instanceRef un1_psum0_signed_axb_40)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_43)) + )) + (net (rename un1_psum0_signed_axbZ0Z_39 "un1_psum0_signed_axb_39") (joined + (portRef O (instanceRef un1_psum0_signed_axb_39)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_39)) + )) + (net (rename un1_psum0_signed_axbZ0Z_38 "un1_psum0_signed_axb_38") (joined + (portRef O (instanceRef un1_psum0_signed_axb_38)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_39)) + )) + (net (rename un1_psum0_signed_axbZ0Z_37 "un1_psum0_signed_axb_37") (joined + (portRef O (instanceRef un1_psum0_signed_axb_37)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_39)) + )) + (net (rename un1_psum0_signed_axbZ0Z_36 "un1_psum0_signed_axb_36") (joined + (portRef O (instanceRef un1_psum0_signed_axb_36)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_39)) + )) + (net (rename un1_psum0_signed_axbZ0Z_35 "un1_psum0_signed_axb_35") (joined + (portRef O (instanceRef un1_psum0_signed_axb_35)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_35)) + )) + (net (rename un1_psum0_signed_axbZ0Z_34 "un1_psum0_signed_axb_34") (joined + (portRef O (instanceRef un1_psum0_signed_axb_34)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_35)) + )) + (net (rename un1_psum0_signed_axbZ0Z_33 "un1_psum0_signed_axb_33") (joined + (portRef O (instanceRef un1_psum0_signed_axb_33)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_35)) + )) + (net (rename un1_psum0_signed_axbZ0Z_32 "un1_psum0_signed_axb_32") (joined + (portRef O (instanceRef un1_psum0_signed_axb_32)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_35)) + )) + (net (rename un1_psum0_signed_axbZ0Z_31 "un1_psum0_signed_axb_31") (joined + (portRef O (instanceRef un1_psum0_signed_axb_31)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_31)) + )) + (net (rename un1_psum0_signed_axbZ0Z_30 "un1_psum0_signed_axb_30") (joined + (portRef O (instanceRef un1_psum0_signed_axb_30)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_31)) + )) + (net (rename un1_psum0_signed_axbZ0Z_29 "un1_psum0_signed_axb_29") (joined + (portRef O (instanceRef un1_psum0_signed_axb_29)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_31)) + )) + (net (rename un1_psum0_signed_axbZ0Z_28 "un1_psum0_signed_axb_28") (joined + (portRef O (instanceRef un1_psum0_signed_axb_28)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_31)) + )) + (net (rename un1_psum0_signed_axbZ0Z_27 "un1_psum0_signed_axb_27") (joined + (portRef O (instanceRef un1_psum0_signed_axb_27)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_27)) + )) + (net (rename un1_psum0_signed_axbZ0Z_26 "un1_psum0_signed_axb_26") (joined + (portRef O (instanceRef un1_psum0_signed_axb_26)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_27)) + )) + (net (rename un1_psum0_signed_axbZ0Z_25 "un1_psum0_signed_axb_25") (joined + (portRef O (instanceRef un1_psum0_signed_axb_25)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_27)) + )) + (net (rename un1_psum0_signed_axbZ0Z_24 "un1_psum0_signed_axb_24") (joined + (portRef O (instanceRef un1_psum0_signed_axb_24)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_27)) + )) + (net (rename un1_psum0_signed_axbZ0Z_23 "un1_psum0_signed_axb_23") (joined + (portRef O (instanceRef un1_psum0_signed_axb_23)) + (portRef (member S 0) (instanceRef un1_psum0_signed_cry_23)) + )) + (net (rename un1_psum0_signed_axbZ0Z_22 "un1_psum0_signed_axb_22") (joined + (portRef O (instanceRef un1_psum0_signed_axb_22)) + (portRef (member S 1) (instanceRef un1_psum0_signed_cry_23)) + )) + (net (rename un1_psum0_signed_axbZ0Z_21 "un1_psum0_signed_axb_21") (joined + (portRef O (instanceRef un1_psum0_signed_axb_21)) + (portRef (member S 2) (instanceRef un1_psum0_signed_cry_23)) + )) + (net (rename un1_psum0_signed_cry_23_RNOZ0 "un1_psum0_signed_cry_23_RNO") (joined + (portRef O (instanceRef un1_psum0_signed_cry_23_RNO)) + (portRef (member S 3) (instanceRef un1_psum0_signed_cry_23)) + )) + (net psum1_signed_cry_68_sf (joined + (portRef O (instanceRef psum1_signed_s_69_RNO)) + (portRef (member S 3) (instanceRef psum1_signed_s_69)) + )) + (net psum1_signed_cry_67_sf (joined + (portRef O (instanceRef psum1_signed_cry_67_RNO)) + (portRef (member S 0) (instanceRef psum1_signed_cry_67)) + )) + (net (rename A1_product_signed_0_66 "A1_product_signed_0(66)") (joined + (portRef O (instanceRef psum1_signed_cry_66_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_67)) + )) + (net (rename A1_product_signed_0_65 "A1_product_signed_0(65)") (joined + (portRef O (instanceRef psum1_signed_cry_65_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_67)) + )) + (net (rename A1_product_signed_0_64 "A1_product_signed_0(64)") (joined + (portRef O (instanceRef psum1_signed_cry_64_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_67)) + )) + (net (rename A1_product_signed_0_63 "A1_product_signed_0(63)") (joined + (portRef O (instanceRef psum1_signed_cry_63_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_63)) + )) + (net (rename A1_product_signed_0_62 "A1_product_signed_0(62)") (joined + (portRef O (instanceRef psum1_signed_cry_62_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_63)) + )) + (net (rename A1_product_signed_0_61 "A1_product_signed_0(61)") (joined + (portRef O (instanceRef psum1_signed_cry_61_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_63)) + )) + (net (rename A1_product_signed_0_60 "A1_product_signed_0(60)") (joined + (portRef O (instanceRef psum1_signed_cry_60_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_63)) + )) + (net (rename A1_product_signed_0_59 "A1_product_signed_0(59)") (joined + (portRef O (instanceRef psum1_signed_cry_59_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_59)) + )) + (net (rename A1_product_signed_0_58 "A1_product_signed_0(58)") (joined + (portRef O (instanceRef psum1_signed_cry_58_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_59)) + )) + (net (rename A1_product_signed_0_57 "A1_product_signed_0(57)") (joined + (portRef O (instanceRef psum1_signed_cry_57_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_59)) + )) + (net (rename A1_product_signed_0_56 "A1_product_signed_0(56)") (joined + (portRef O (instanceRef psum1_signed_cry_56_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_59)) + )) + (net (rename A1_product_signed_0_55 "A1_product_signed_0(55)") (joined + (portRef O (instanceRef psum1_signed_cry_55_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_55)) + )) + (net (rename A1_product_signed_0_54 "A1_product_signed_0(54)") (joined + (portRef O (instanceRef psum1_signed_cry_54_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_55)) + )) + (net (rename A1_product_signed_0_53 "A1_product_signed_0(53)") (joined + (portRef O (instanceRef psum1_signed_cry_53_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_55)) + )) + (net (rename A1_product_signed_0_52 "A1_product_signed_0(52)") (joined + (portRef O (instanceRef psum1_signed_cry_52_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_55)) + )) + (net (rename A1_product_signed_0_51 "A1_product_signed_0(51)") (joined + (portRef O (instanceRef psum1_signed_cry_51_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_51)) + )) + (net (rename A1_product_signed_0_50 "A1_product_signed_0(50)") (joined + (portRef O (instanceRef psum1_signed_cry_50_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_51)) + )) + (net (rename A1_product_signed_0_49 "A1_product_signed_0(49)") (joined + (portRef O (instanceRef psum1_signed_cry_49_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_51)) + )) + (net (rename A1_product_signed_0_48 "A1_product_signed_0(48)") (joined + (portRef O (instanceRef psum1_signed_cry_48_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_51)) + )) + (net (rename A1_product_signed_0_47 "A1_product_signed_0(47)") (joined + (portRef O (instanceRef psum1_signed_cry_47_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_47)) + )) + (net (rename A1_product_signed_0_46 "A1_product_signed_0(46)") (joined + (portRef O (instanceRef psum1_signed_cry_46_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_47)) + )) + (net (rename A1_product_signed_0_45 "A1_product_signed_0(45)") (joined + (portRef O (instanceRef psum1_signed_cry_45_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_47)) + )) + (net (rename A1_product_signed_1_44 "A1_product_signed_1(44)") (joined + (portRef O (instanceRef psum1_signed_cry_44_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_47)) + )) + (net (rename A1_product_signed_1_43 "A1_product_signed_1(43)") (joined + (portRef O (instanceRef psum1_signed_cry_43_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_43)) + )) + (net (rename A1_product_signed_2_42 "A1_product_signed_2(42)") (joined + (portRef O (instanceRef psum1_signed_cry_42_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_43)) + )) + (net (rename A1_product_signed_2_41 "A1_product_signed_2(41)") (joined + (portRef O (instanceRef psum1_signed_cry_41_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_43)) + )) + (net (rename A1_product_signed_2_40 "A1_product_signed_2(40)") (joined + (portRef O (instanceRef psum1_signed_cry_40_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_43)) + )) + (net (rename A1_product_signed_2_39 "A1_product_signed_2(39)") (joined + (portRef O (instanceRef psum1_signed_cry_39_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_39)) + )) + (net (rename A1_product_signed_2_38 "A1_product_signed_2(38)") (joined + (portRef O (instanceRef psum1_signed_cry_38_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_39)) + )) + (net (rename A1_product_signed_2_37 "A1_product_signed_2(37)") (joined + (portRef O (instanceRef psum1_signed_cry_37_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_39)) + )) + (net (rename A1_product_signed_2_36 "A1_product_signed_2(36)") (joined + (portRef O (instanceRef psum1_signed_cry_36_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_39)) + )) + (net (rename A1_product_signed_2_35 "A1_product_signed_2(35)") (joined + (portRef O (instanceRef psum1_signed_cry_35_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_35)) + )) + (net (rename A1_product_signed_2_34 "A1_product_signed_2(34)") (joined + (portRef O (instanceRef psum1_signed_cry_34_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_35)) + )) + (net (rename A1_product_signed_2_33 "A1_product_signed_2(33)") (joined + (portRef O (instanceRef psum1_signed_cry_33_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_35)) + )) + (net (rename A1_product_signed_2_32 "A1_product_signed_2(32)") (joined + (portRef O (instanceRef psum1_signed_cry_32_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_35)) + )) + (net (rename A1_product_signed_2_31 "A1_product_signed_2(31)") (joined + (portRef O (instanceRef psum1_signed_cry_31_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_31)) + )) + (net (rename A1_product_signed_2_30 "A1_product_signed_2(30)") (joined + (portRef O (instanceRef psum1_signed_cry_30_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_31)) + )) + (net (rename A1_product_signed_2_29 "A1_product_signed_2(29)") (joined + (portRef O (instanceRef psum1_signed_cry_29_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_31)) + )) + (net (rename A1_product_signed_2_28 "A1_product_signed_2(28)") (joined + (portRef O (instanceRef psum1_signed_cry_28_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_31)) + )) + (net (rename A1_product_signed_2_27 "A1_product_signed_2(27)") (joined + (portRef O (instanceRef psum1_signed_cry_27_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_27)) + )) + (net (rename A1_product_signed_2_26 "A1_product_signed_2(26)") (joined + (portRef O (instanceRef psum1_signed_cry_26_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_27)) + )) + (net (rename A1_product_signed_1_25 "A1_product_signed_1(25)") (joined + (portRef O (instanceRef psum1_signed_cry_25_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_27)) + )) + (net (rename A1_product_signed_1_24 "A1_product_signed_1(24)") (joined + (portRef O (instanceRef psum1_signed_cry_24_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_27)) + )) + (net (rename A1_product_signed_1_23 "A1_product_signed_1(23)") (joined + (portRef O (instanceRef psum1_signed_cry_23_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_23)) + )) + (net (rename A1_product_signed_1_22 "A1_product_signed_1(22)") (joined + (portRef O (instanceRef psum1_signed_cry_22_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_23)) + )) + (net (rename A1_product_signed_1_21 "A1_product_signed_1(21)") (joined + (portRef O (instanceRef psum1_signed_cry_21_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_23)) + )) + (net (rename A1_product_signed_1_20 "A1_product_signed_1(20)") (joined + (portRef O (instanceRef psum1_signed_cry_20_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_23)) + )) + (net (rename A1_product_signed_1_19 "A1_product_signed_1(19)") (joined + (portRef O (instanceRef psum1_signed_cry_19_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_19)) + )) + (net (rename A1_product_signed_1_18 "A1_product_signed_1(18)") (joined + (portRef O (instanceRef psum1_signed_cry_18_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_19)) + )) + (net (rename A1_product_signed_1_17 "A1_product_signed_1(17)") (joined + (portRef O (instanceRef psum1_signed_cry_17_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_19)) + )) + (net (rename A1_product_signed_1_16 "A1_product_signed_1(16)") (joined + (portRef O (instanceRef psum1_signed_cry_16_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_19)) + )) + (net (rename A1_product_signed_1_15 "A1_product_signed_1(15)") (joined + (portRef O (instanceRef psum1_signed_cry_15_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_15)) + )) + (net (rename A1_product_signed_1_14 "A1_product_signed_1(14)") (joined + (portRef O (instanceRef psum1_signed_cry_14_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_15)) + )) + (net (rename A1_product_signed_1_13 "A1_product_signed_1(13)") (joined + (portRef O (instanceRef psum1_signed_cry_13_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_15)) + )) + (net (rename A1_product_signed_1_12 "A1_product_signed_1(12)") (joined + (portRef O (instanceRef psum1_signed_cry_12_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_15)) + )) + (net (rename A1_product_signed_1_11 "A1_product_signed_1(11)") (joined + (portRef O (instanceRef psum1_signed_cry_11_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_11)) + )) + (net (rename A1_product_signed_1_10 "A1_product_signed_1(10)") (joined + (portRef O (instanceRef psum1_signed_cry_10_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_11)) + )) + (net (rename A1_product_signed_1_9 "A1_product_signed_1(9)") (joined + (portRef O (instanceRef psum1_signed_cry_9_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_11)) + )) + (net (rename A1_product_signed_1_8 "A1_product_signed_1(8)") (joined + (portRef O (instanceRef psum1_signed_cry_8_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_11)) + )) + (net (rename A1_product_signed_1_7 "A1_product_signed_1(7)") (joined + (portRef O (instanceRef psum1_signed_cry_7_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_7)) + )) + (net (rename A1_product_signed_1_6 "A1_product_signed_1(6)") (joined + (portRef O (instanceRef psum1_signed_cry_6_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_7)) + )) + (net (rename A1_product_signed_1_5 "A1_product_signed_1(5)") (joined + (portRef O (instanceRef psum1_signed_cry_5_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_7)) + )) + (net (rename A1_product_signed_1_4 "A1_product_signed_1(4)") (joined + (portRef O (instanceRef psum1_signed_cry_4_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_7)) + )) + (net (rename A1_product_signed_1_3 "A1_product_signed_1(3)") (joined + (portRef O (instanceRef psum1_signed_cry_3_thru)) + (portRef (member S 0) (instanceRef psum1_signed_cry_3)) + )) + (net (rename A1_product_signed_1_2 "A1_product_signed_1(2)") (joined + (portRef O (instanceRef psum1_signed_cry_2_thru)) + (portRef (member S 1) (instanceRef psum1_signed_cry_3)) + )) + (net (rename A1_product_signed_1_1 "A1_product_signed_1(1)") (joined + (portRef O (instanceRef psum1_signed_cry_1_thru)) + (portRef (member S 2) (instanceRef psum1_signed_cry_3)) + )) + (net (rename A1_product_signed_1_0 "A1_product_signed_1(0)") (joined + (portRef O (instanceRef psum1_signed_cry_0_thru)) + (portRef (member S 3) (instanceRef psum1_signed_cry_3)) + )) + (net (rename un1_psum0_signed_cryZ0Z_23 "un1_psum0_signed_cry_23") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_23)) + (portRef CI (instanceRef un1_psum0_signed_cry_27)) + )) + (net (rename un1_psum0_signed_21 "un1_psum0_signed(21)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_23)) + (portRef (member un1_psum0_signed 49) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_22 "un1_psum0_signed(22)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_23)) + (portRef (member un1_psum0_signed 48) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_23 "un1_psum0_signed(23)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_23)) + (portRef (member un1_psum0_signed 47) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_cryZ0Z_27 "un1_psum0_signed_cry_27") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_27)) + (portRef CI (instanceRef un1_psum0_signed_cry_31)) + )) + (net (rename un1_psum0_signed_24 "un1_psum0_signed(24)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_27)) + (portRef (member un1_psum0_signed 46) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_25 "un1_psum0_signed(25)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_27)) + (portRef (member un1_psum0_signed 45) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_26 "un1_psum0_signed(26)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_27)) + (portRef (member un1_psum0_signed 44) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_27 "un1_psum0_signed(27)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_27)) + (portRef (member un1_psum0_signed 43) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_cryZ0Z_31 "un1_psum0_signed_cry_31") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_31)) + (portRef CI (instanceRef un1_psum0_signed_cry_35)) + )) + (net (rename un1_psum0_signed_28 "un1_psum0_signed(28)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_31)) + (portRef (member un1_psum0_signed 42) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_29 "un1_psum0_signed(29)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_31)) + (portRef (member un1_psum0_signed 41) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_31)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_11_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_0_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_7_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_8_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_2_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_3_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_4_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_10_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_22_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_12_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_19_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_13_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_14_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_15_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_9_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_28_MUX12)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 35) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member un1_psum0_signed 40) (instanceRef gO_1_66_gO_1a_AN5)) + )) + (net (rename un1_psum0_signed_31 "un1_psum0_signed(31)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_31)) + (portRef (member un1_psum0_signed 39) (instanceRef gO_4_0_MUX12)) + (portRef (member un1_psum0_signed 34) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 35) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 39) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_cryZ0Z_35 "un1_psum0_signed_cry_35") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_35)) + (portRef CI (instanceRef un1_psum0_signed_cry_39)) + )) + (net (rename un1_psum0_signed_32 "un1_psum0_signed(32)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_35)) + (portRef (member un1_psum0_signed 38) (instanceRef gO_4_1_MUX12)) + (portRef (member un1_psum0_signed 33) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 34) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 38) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_33 "un1_psum0_signed(33)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_35)) + (portRef (member un1_psum0_signed 37) (instanceRef gO_4_2_MUX12)) + (portRef (member un1_psum0_signed 32) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 33) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 37) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_34 "un1_psum0_signed(34)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_35)) + (portRef (member un1_psum0_signed 36) (instanceRef gO_4_3_MUX12)) + (portRef (member un1_psum0_signed 31) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 32) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 36) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_35 "un1_psum0_signed(35)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_35)) + (portRef (member un1_psum0_signed 35) (instanceRef gO_4_4_MUX12)) + (portRef (member un1_psum0_signed 30) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 31) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 35) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_cryZ0Z_39 "un1_psum0_signed_cry_39") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_39)) + (portRef CI (instanceRef un1_psum0_signed_cry_43)) + )) + (net (rename un1_psum0_signed_36 "un1_psum0_signed(36)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_39)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_5_MUX12)) + (portRef (member un1_psum0_signed 34) (instanceRef gO_4_1_MUX12)) + (portRef (member un1_psum0_signed 34) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 29) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 30) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 34) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_37 "un1_psum0_signed(37)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_39)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_6_MUX12)) + (portRef (member un1_psum0_signed 33) (instanceRef gO_4_1_MUX12)) + (portRef (member un1_psum0_signed 33) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 28) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 29) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 33) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_38 "un1_psum0_signed(38)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_39)) + (portRef (member un1_psum0_signed 32) (instanceRef gO_4_7_MUX12)) + (portRef (member un1_psum0_signed 32) (instanceRef gO_4_1_MUX12)) + (portRef (member un1_psum0_signed 32) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 27) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 28) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 32) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_39 "un1_psum0_signed(39)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_39)) + (portRef (member un1_psum0_signed 31) (instanceRef gO_4_1_MUX12)) + (portRef (member un1_psum0_signed 31) (instanceRef gO_4_8_MUX12)) + (portRef (member un1_psum0_signed 31) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 26) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 27) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 31) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_cryZ0Z_43 "un1_psum0_signed_cry_43") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_43)) + (portRef CI (instanceRef un1_psum0_signed_cry_47)) + )) + (net (rename un1_psum0_signed_40 "un1_psum0_signed(40)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_43)) + (portRef (member un1_psum0_signed 30) (instanceRef gO_4_1_MUX12)) + (portRef (member un1_psum0_signed 30) (instanceRef gO_4_9_MUX12)) + (portRef (member un1_psum0_signed 30) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 25) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 26) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 30) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_41 "un1_psum0_signed(41)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_43)) + (portRef (member un1_psum0_signed 29) (instanceRef gO_4_1_MUX12)) + (portRef (member un1_psum0_signed 29) (instanceRef gO_4_10_MUX12)) + (portRef (member un1_psum0_signed 24) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 25) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 29) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_42 "un1_psum0_signed(42)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_43)) + (portRef (member un1_psum0_signed 28) (instanceRef gO_4_11_MUX12)) + (portRef (member un1_psum0_signed 28) (instanceRef gO_4_1_MUX12)) + (portRef (member un1_psum0_signed 23) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 24) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_43 "un1_psum0_signed(43)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_43)) + (portRef (member un1_psum0_signed 27) (instanceRef gO_4_1_MUX12)) + (portRef (member un1_psum0_signed 27) (instanceRef gO_4_12_MUX12)) + (portRef (member un1_psum0_signed 22) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 23) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 27) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_cryZ0Z_47 "un1_psum0_signed_cry_47") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_47)) + (portRef CI (instanceRef un1_psum0_signed_cry_51)) + )) + (net (rename un1_psum0_signed_44 "un1_psum0_signed(44)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_47)) + (portRef (member un1_psum0_signed 26) (instanceRef gO_4_1_MUX12)) + (portRef (member un1_psum0_signed 26) (instanceRef gO_4_13_MUX12)) + (portRef (member un1_psum0_signed 21) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 22) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 26) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_45 "un1_psum0_signed(45)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_47)) + (portRef (member un1_psum0_signed 25) (instanceRef gO_4_1_MUX12)) + (portRef (member un1_psum0_signed 25) (instanceRef gO_4_14_MUX12)) + (portRef (member un1_psum0_signed 20) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 21) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 25) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_46 "un1_psum0_signed(46)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_47)) + (portRef (member un1_psum0_signed 24) (instanceRef gO_4_1_MUX12)) + (portRef (member un1_psum0_signed 24) (instanceRef gO_4_15_MUX12)) + (portRef (member un1_psum0_signed 19) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 20) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 24) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_47 "un1_psum0_signed(47)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_47)) + (portRef (member un1_psum0_signed 23) (instanceRef gO_4_1_MUX12)) + (portRef (member un1_psum0_signed 23) (instanceRef gO_4_16_MUX12)) + (portRef (member un1_psum0_signed 18) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 19) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_cryZ0Z_51 "un1_psum0_signed_cry_51") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_51)) + (portRef CI (instanceRef un1_psum0_signed_cry_55)) + )) + (net (rename un1_psum0_signed_48 "un1_psum0_signed(48)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_51)) + (portRef (member un1_psum0_signed 22) (instanceRef gO_4_1_MUX12)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_17_MUX12)) + (portRef (member un1_psum0_signed 17) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 18) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 22) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_49 "un1_psum0_signed(49)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_51)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_18_MUX12)) + (portRef (member un1_psum0_signed 21) (instanceRef gO_4_1_MUX12)) + (portRef (member un1_psum0_signed 21) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 16) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 17) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 21) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_50 "un1_psum0_signed(50)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_51)) + (portRef (member un1_psum0_signed 20) (instanceRef gO_4_1_MUX12)) + (portRef (member un1_psum0_signed 20) (instanceRef gO_4_19_MUX12)) + (portRef (member un1_psum0_signed 20) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 15) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 16) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 20) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_51 "un1_psum0_signed(51)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_51)) + (portRef (member un1_psum0_signed 19) (instanceRef gO_4_1_MUX12)) + (portRef (member un1_psum0_signed 19) (instanceRef gO_4_20_MUX12)) + (portRef (member un1_psum0_signed 19) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 14) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 15) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 19) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_cryZ0Z_55 "un1_psum0_signed_cry_55") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_55)) + (portRef CI (instanceRef un1_psum0_signed_cry_59)) + )) + (net (rename un1_psum0_signed_52 "un1_psum0_signed(52)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_55)) + (portRef (member un1_psum0_signed 18) (instanceRef gO_4_1_MUX12)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_21_MUX12)) + (portRef (member un1_psum0_signed 18) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 13) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 14) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 18) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_53 "un1_psum0_signed(53)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_55)) + (portRef (member un1_psum0_signed 17) (instanceRef gO_4_1_MUX12)) + (portRef (member un1_psum0_signed 17) (instanceRef gO_4_22_MUX12)) + (portRef (member un1_psum0_signed 17) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 12) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 13) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 17) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_54 "un1_psum0_signed(54)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_55)) + (portRef (member un1_psum0_signed 16) (instanceRef gO_4_11_MUX12)) + (portRef (member un1_psum0_signed 16) (instanceRef gO_4_1_MUX12)) + (portRef (member un1_psum0_signed 16) (instanceRef gO_4_34_MUX12)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_23_MUX12)) + (portRef (member un1_psum0_signed 11) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 12) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_55 "un1_psum0_signed(55)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_55)) + (portRef (member un1_psum0_signed 15) (instanceRef gO_4_11_MUX12)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_24_MUX12)) + (portRef (member un1_psum0_signed 15) (instanceRef gO_4_1_MUX12)) + (portRef (member un1_psum0_signed 15) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 10) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 11) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_cryZ0Z_59 "un1_psum0_signed_cry_59") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_59)) + (portRef CI (instanceRef un1_psum0_signed_cry_63)) + )) + (net (rename un1_psum0_signed_56 "un1_psum0_signed(56)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_59)) + (portRef (member un1_psum0_signed 14) (instanceRef gO_4_11_MUX12)) + (portRef (member un1_psum0_signed 14) (instanceRef gO_4_1_MUX12)) + (portRef (member un1_psum0_signed 14) (instanceRef gO_4_34_MUX12)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_25_MUX12)) + (portRef (member un1_psum0_signed 9) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 10) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_57 "un1_psum0_signed(57)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_59)) + (portRef (member un1_psum0_signed 13) (instanceRef gO_4_11_MUX12)) + (portRef (member un1_psum0_signed 13) (instanceRef gO_4_1_MUX12)) + (portRef (member un1_psum0_signed 13) (instanceRef gO_4_34_MUX12)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_26_MUX12)) + (portRef (member un1_psum0_signed 8) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 9) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_58 "un1_psum0_signed(58)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_59)) + (portRef (member un1_psum0_signed 12) (instanceRef gO_4_11_MUX12)) + (portRef (member un1_psum0_signed 12) (instanceRef gO_4_1_MUX12)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_27_MUX12)) + (portRef (member un1_psum0_signed 7) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 8) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_59 "un1_psum0_signed(59)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_59)) + (portRef (member un1_psum0_signed 11) (instanceRef gO_4_1_MUX12)) + (portRef (member un1_psum0_signed 11) (instanceRef gO_4_28_MUX12)) + (portRef (member un1_psum0_signed 6) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 7) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 11) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_cryZ0Z_63 "un1_psum0_signed_cry_63") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_63)) + (portRef CI (instanceRef un1_psum0_signed_cry_67)) + )) + (net (rename un1_psum0_signed_60 "un1_psum0_signed(60)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_63)) + (portRef (member un1_psum0_signed 10) (instanceRef gO_4_1_MUX12)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_29_MUX12)) + (portRef (member un1_psum0_signed 5) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 6) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 10) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_61 "un1_psum0_signed(61)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_63)) + (portRef (member un1_psum0_signed 9) (instanceRef gO_4_11_MUX12)) + (portRef (member un1_psum0_signed 9) (instanceRef gO_4_1_MUX12)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_30_MUX12)) + (portRef (member un1_psum0_signed 4) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 5) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_62 "un1_psum0_signed(62)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_63)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_31_MUX12)) + (portRef (member un1_psum0_signed 8) (instanceRef gO_4_1_MUX12)) + (portRef (member un1_psum0_signed 3) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 4) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 8) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_63 "un1_psum0_signed(63)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_63)) + (portRef (member un1_psum0_signed 7) (instanceRef gO_4_11_MUX12)) + (portRef (member un1_psum0_signed 7) (instanceRef gO_4_1_MUX12)) + (portRef (member un1_psum0_signed 7) (instanceRef gO_4_34_MUX12)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_32_MUX12)) + (portRef (member un1_psum0_signed 2) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 3) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_cryZ0Z_67 "un1_psum0_signed_cry_67") (joined + (portRef (member CO 0) (instanceRef un1_psum0_signed_cry_67)) + (portRef CI (instanceRef un1_psum0_signed_s_70)) + )) + (net (rename un1_psum0_signed_64 "un1_psum0_signed(64)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_cry_67)) + (portRef (member un1_psum0_signed 6) (instanceRef gO_4_11_MUX12)) + (portRef (member un1_psum0_signed 6) (instanceRef gO_4_1_MUX12)) + (portRef (member un1_psum0_signed 6) (instanceRef gO_4_34_MUX12)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_33_MUX12)) + (portRef (member un1_psum0_signed 1) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 2) (instanceRef gO_2_gO_2d_INC2)) + )) + (net (rename un1_psum0_signed_65 "un1_psum0_signed(65)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_67)) + (portRef (member un1_psum0_signed 5) (instanceRef gO_4_1_MUX12)) + (portRef (member un1_psum0_signed 5) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 1) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 5) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename un1_psum0_signed_66 "un1_psum0_signed(66)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_67)) + (portRef (member un1_psum0_signed 4) (instanceRef OR25)) + (portRef (member un1_psum0_signed 4) (instanceRef AN24)) + (portRef (member un1_psum0_signed 4) (instanceRef gO_4_11_MUX12)) + (portRef (member un1_psum0_signed 4) (instanceRef gO_4_1_MUX12)) + (portRef (member un1_psum0_signed 4) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member un1_psum0_signed 4) (instanceRef gO_1_66_gO_1a_AN5)) + )) + (net (rename un1_psum0_signed_67 "un1_psum0_signed(67)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_67)) + (portRef (member un1_psum0_signed 3) (instanceRef OR25)) + (portRef (member un1_psum0_signed 3) (instanceRef AN24)) + (portRef (member un1_psum0_signed 3) (instanceRef gO_4_11_MUX12)) + (portRef (member un1_psum0_signed 3) (instanceRef gO_4_1_MUX12)) + (portRef (member un1_psum0_signed 3) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 3) (instanceRef gO_1_66_gO_1a_AN5)) + )) + (net (rename un1_psum0_signed_68 "un1_psum0_signed(68)") (joined + (portRef (member O 3) (instanceRef un1_psum0_signed_s_70)) + (portRef (member un1_psum0_signed 2) (instanceRef OR25)) + (portRef (member un1_psum0_signed 2) (instanceRef AN24)) + (portRef (member un1_psum0_signed 2) (instanceRef gO_4_11_MUX12)) + (portRef (member un1_psum0_signed 2) (instanceRef gO_4_1_MUX12)) + (portRef (member un1_psum0_signed 2) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 2) (instanceRef gO_1_66_gO_1a_AN5)) + )) + (net (rename un1_psum0_signed_69 "un1_psum0_signed(69)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_s_70)) + (portRef (member un1_psum0_signed 1) (instanceRef OR25)) + (portRef (member un1_psum0_signed 1) (instanceRef AN24)) + (portRef (member un1_psum0_signed 1) (instanceRef gO_4_11_MUX12)) + (portRef (member un1_psum0_signed 1) (instanceRef gO_4_1_MUX12)) + (portRef (member un1_psum0_signed 1) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 1) (instanceRef gO_1_66_gO_1a_AN5)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_s_70)) + (portRef (member un1_psum0_signed 0) (instanceRef OR25)) + (portRef (member un1_psum0_signed 0) (instanceRef AN24)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_11_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_0_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_7_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_1_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_8_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_2_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_3_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_4_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_10_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_22_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_12_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_19_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_13_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_20_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_14_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_15_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_9_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_16_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_28_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_4_34_MUX12)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_2_gO_2cN_AN8)) + (portRef (member un1_psum0_signed 0) (instanceRef gO_1_66_gO_1a_AN5)) + )) + (net (rename psum1_signed_cryZ0Z_67 "psum1_signed_cry_67") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_67)) + (portRef CI (instanceRef psum1_signed_s_69)) + )) + (net (rename psum0_ones_3_66 "psum0_ones_3(66)") (joined + (portRef psum0_ones_3_0 (instanceRef gO_1_66_gO_1a_AN5)) + (portRef psum0_ones_3_0 (instanceRef gO_4_11_MUX12)) + (portRef psum0_ones_3_0 (instanceRef gO_4_1_MUX12)) + )) + (net Z_i_0_o3_28 (joined + (portRef Z_i_0_o3_28 (instanceRef gO_2_gO_2cN_AN8)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_11_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_0_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_7_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_8_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_2_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_3_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_4_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_10_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_22_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_12_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_19_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_13_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_20_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_14_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_15_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_9_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_16_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_28_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_4_34_MUX12)) + (portRef Z_i_0_o3_28 (instanceRef gO_1_66_gO_1a_AN5)) + )) + (net d_N_5_mux_1 (joined + (portRef d_N_5_mux_1 (instanceRef gO_1_66_gO_1a_AN5)) + (portRef d_N_5_mux_1 (instanceRef gO_4_16_MUX12)) + (portRef d_N_5_mux_1 (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_1 "feedback_inc_data(1)") (joined + (portRef (member feedback_inc_data 34) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_1_MUX12)) + (portRef (member feedback_inc_data 34) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_2 "feedback_inc_data(2)") (joined + (portRef (member feedback_inc_data 33) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_2_MUX12)) + (portRef (member feedback_inc_data 33) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_3 "feedback_inc_data(3)") (joined + (portRef (member feedback_inc_data 32) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_3_MUX12)) + (portRef (member feedback_inc_data 32) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_4 "feedback_inc_data(4)") (joined + (portRef (member feedback_inc_data 31) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_4_MUX12)) + (portRef (member feedback_inc_data 31) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_5 "feedback_inc_data(5)") (joined + (portRef (member feedback_inc_data 30) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_5_MUX12)) + (portRef (member feedback_inc_data 30) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_6 "feedback_inc_data(6)") (joined + (portRef (member feedback_inc_data 29) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_6_MUX12)) + (portRef (member feedback_inc_data 29) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_7 "feedback_inc_data(7)") (joined + (portRef (member feedback_inc_data 28) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_7_MUX12)) + (portRef (member feedback_inc_data 28) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_8 "feedback_inc_data(8)") (joined + (portRef (member feedback_inc_data 27) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_8_MUX12)) + (portRef (member feedback_inc_data 27) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_9 "feedback_inc_data(9)") (joined + (portRef (member feedback_inc_data 26) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_9_MUX12)) + (portRef (member feedback_inc_data 26) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_10 "feedback_inc_data(10)") (joined + (portRef (member feedback_inc_data 25) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_10_MUX12)) + (portRef (member feedback_inc_data 25) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_12 "feedback_inc_data(12)") (joined + (portRef (member feedback_inc_data 23) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_12_MUX12)) + (portRef (member feedback_inc_data 23) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_13 "feedback_inc_data(13)") (joined + (portRef (member feedback_inc_data 22) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_13_MUX12)) + (portRef (member feedback_inc_data 22) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_14 "feedback_inc_data(14)") (joined + (portRef (member feedback_inc_data 21) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_14_MUX12)) + (portRef (member feedback_inc_data 21) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_15 "feedback_inc_data(15)") (joined + (portRef (member feedback_inc_data 20) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_15_MUX12)) + (portRef (member feedback_inc_data 20) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_16 "feedback_inc_data(16)") (joined + (portRef (member feedback_inc_data 19) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_16_MUX12)) + (portRef (member feedback_inc_data 19) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_17 "feedback_inc_data(17)") (joined + (portRef (member feedback_inc_data 18) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_17_MUX12)) + (portRef (member feedback_inc_data 18) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_18 "feedback_inc_data(18)") (joined + (portRef (member feedback_inc_data 17) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_18_MUX12)) + (portRef (member feedback_inc_data 17) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_19 "feedback_inc_data(19)") (joined + (portRef (member feedback_inc_data 16) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_19_MUX12)) + (portRef (member feedback_inc_data 16) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_20 "feedback_inc_data(20)") (joined + (portRef (member feedback_inc_data 15) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_20_MUX12)) + (portRef (member feedback_inc_data 15) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_21 "feedback_inc_data(21)") (joined + (portRef (member feedback_inc_data 14) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_21_MUX12)) + (portRef (member feedback_inc_data 14) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_22 "feedback_inc_data(22)") (joined + (portRef (member feedback_inc_data 13) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_22_MUX12)) + (portRef (member feedback_inc_data 13) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_28 "feedback_inc_data(28)") (joined + (portRef (member feedback_inc_data 7) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_28_MUX12)) + (portRef (member feedback_inc_data 7) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_29 "feedback_inc_data(29)") (joined + (portRef (member feedback_inc_data 6) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_29_MUX12)) + (portRef (member feedback_inc_data 6) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_31 "feedback_inc_data(31)") (joined + (portRef (member feedback_inc_data 4) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_31_MUX12)) + (portRef (member feedback_inc_data 4) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_34 "feedback_inc_data(34)") (joined + (portRef (member feedback_inc_data 1) (instanceRef gO_2_gO_2d_INC2)) + (portRef feedback_inc_data_0 (instanceRef gO_4_34_MUX12)) + (portRef (member feedback_inc_data 1) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_35 "feedback_inc_data(35)") (joined + (portRef (member feedback_inc_data 0) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member feedback_inc_data 0) (instanceRef gO_2_gO_2cN_AN8)) + )) + (net feedback_to_big (joined + (portRef feedback_to_big (instanceRef OR25)) + (portRef feedback_to_big (instanceRef gO_4_0_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_7_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_8_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_2_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_3_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_4_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_10_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_22_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_12_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_19_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_13_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_14_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_15_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_9_MUX12)) + (portRef feedback_to_big (instanceRef gO_4_28_MUX12)) + (portRef feedback_to_big (instanceRef gO_2_gO_2cN_AN8)) + )) + (net N_190 (joined + (portRef N_190 (instanceRef gO_4_11_MUX12)) + (portRef N_190 (instanceRef gO_2_gO_2cN_AN8)) + )) + (net Z_i_0_a3_a1 (joined + (portRef Z_i_0_a3_a1 (instanceRef gO_4_1_MUX12)) + (portRef Z_i_0_a3_a1 (instanceRef gO_4_5_MUX12)) + (portRef Z_i_0_a3_a1 (instanceRef gO_4_6_MUX12)) + (portRef Z_i_0_a3_a1 (instanceRef gO_2_gO_2cN_AN8)) + )) + (net N_186 (joined + (portRef N_186 (instanceRef gO_4_34_MUX12)) + (portRef N_186 (instanceRef gO_4_11_MUX12)) + (portRef N_186 (instanceRef gO_4_24_MUX12)) + (portRef N_186 (instanceRef gO_4_31_MUX12)) + (portRef N_186 (instanceRef gO_4_5_MUX12)) + (portRef N_186 (instanceRef gO_4_6_MUX12)) + (portRef N_186 (instanceRef gO_4_29_MUX12)) + (portRef N_186 (instanceRef gO_4_27_MUX12)) + (portRef N_186 (instanceRef gO_4_26_MUX12)) + (portRef N_186 (instanceRef gO_4_33_MUX12)) + (portRef N_186 (instanceRef gO_4_25_MUX12)) + (portRef N_186 (instanceRef gO_4_32_MUX12)) + (portRef N_186 (instanceRef gO_4_23_MUX12)) + (portRef N_186 (instanceRef gO_4_30_MUX12)) + (portRef N_186 (instanceRef gO_2_gO_2cN_AN8)) + )) + (net Z_i_0_a3_a0 (joined + (portRef Z_i_0_a3_a0 (instanceRef gO_4_1_MUX12)) + (portRef Z_i_0_a3_a0 (instanceRef gO_4_5_MUX12)) + (portRef Z_i_0_a3_a0 (instanceRef gO_4_6_MUX12)) + (portRef Z_i_0_a3_a0 (instanceRef gO_2_gO_2cN_AN8)) + )) + (net N_218 (joined + (portRef N_218 (instanceRef gO_4_34_MUX12)) + (portRef N_218 (instanceRef gO_4_11_MUX12)) + (portRef N_218 (instanceRef gO_4_18_MUX12)) + (portRef N_218 (instanceRef gO_4_24_MUX12)) + (portRef N_218 (instanceRef gO_4_31_MUX12)) + (portRef N_218 (instanceRef gO_4_17_MUX12)) + (portRef N_218 (instanceRef gO_4_29_MUX12)) + (portRef N_218 (instanceRef gO_4_20_MUX12)) + (portRef N_218 (instanceRef gO_4_21_MUX12)) + (portRef N_218 (instanceRef gO_4_27_MUX12)) + (portRef N_218 (instanceRef gO_4_26_MUX12)) + (portRef N_218 (instanceRef gO_4_33_MUX12)) + (portRef N_218 (instanceRef gO_4_25_MUX12)) + (portRef N_218 (instanceRef gO_4_32_MUX12)) + (portRef N_218 (instanceRef gO_4_23_MUX12)) + (portRef N_218 (instanceRef gO_4_30_MUX12)) + (portRef N_218 (instanceRef gO_2_gO_2cN_AN8)) + )) + (net Z_N_5_mux (joined + (portRef Z_N_5_mux (instanceRef gO_4_34_MUX12)) + (portRef Z_N_5_mux (instanceRef gO_4_1_MUX12)) + (portRef Z_N_5_mux (instanceRef gO_4_16_MUX12)) + (portRef Z_N_5_mux (instanceRef gO_2_gO_2cN_AN8)) + )) + (net r_N_3_mux_1 (joined + (portRef r_N_3_mux_1 (instanceRef gO_4_1_MUX12)) + (portRef r_N_3_mux_1 (instanceRef gO_2_gO_2cN_AN8)) + )) + (net N_234 (joined + (portRef N_234 (instanceRef gO_4_16_MUX12)) + (portRef N_234 (instanceRef gO_2_gO_2cN_AN8)) + )) + (net Z_i_0_o3_0_a0_1 (joined + (portRef Z_i_0_o3_0_a0_1 (instanceRef gO_4_34_MUX12)) + (portRef Z_i_0_o3_0_a0_1 (instanceRef gO_4_18_MUX12)) + (portRef Z_i_0_o3_0_a0_1 (instanceRef gO_4_1_MUX12)) + (portRef Z_i_0_o3_0_a0_1 (instanceRef gO_4_20_MUX12)) + (portRef Z_i_0_o3_0_a0_1 (instanceRef gO_4_21_MUX12)) + (portRef Z_i_0_o3_0_a0_1 (instanceRef gO_4_16_MUX12)) + (portRef Z_i_0_o3_0_a0_1 (instanceRef gO_2_gO_2cN_AN8)) + )) + (net Z_i_0_o3_1_1 (joined + (portRef Z_i_0_o3_1_1 (instanceRef gO_4_34_MUX12)) + (portRef Z_i_0_o3_1_1 (instanceRef gO_4_18_MUX12)) + (portRef Z_i_0_o3_1_1 (instanceRef gO_4_1_MUX12)) + (portRef Z_i_0_o3_1_1 (instanceRef gO_4_17_MUX12)) + (portRef Z_i_0_o3_1_1 (instanceRef gO_4_21_MUX12)) + (portRef Z_i_0_o3_1_1 (instanceRef gO_2_gO_2cN_AN8)) + )) + (net Z_i_0_a3_0_a1 (joined + (portRef Z_i_0_a3_0_a1 (instanceRef gO_4_20_MUX12)) + (portRef Z_i_0_a3_0_a1 (instanceRef gO_2_gO_2cN_AN8)) + )) + (net (rename feedback_inc_data_11 "feedback_inc_data(11)") (joined + (portRef (member feedback_inc_data 24) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member feedback_inc_data 22) (instanceRef gO_4_11_MUX12)) + )) + (net (rename feedback_inc_data_23 "feedback_inc_data(23)") (joined + (portRef (member feedback_inc_data 12) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member feedback_inc_data 10) (instanceRef gO_4_11_MUX12)) + (portRef feedback_inc_data_0 (instanceRef gO_4_23_MUX12)) + )) + (net (rename feedback_inc_data_24 "feedback_inc_data(24)") (joined + (portRef (member feedback_inc_data 11) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member feedback_inc_data 9) (instanceRef gO_4_11_MUX12)) + (portRef feedback_inc_data_0 (instanceRef gO_4_24_MUX12)) + )) + (net (rename feedback_inc_data_25 "feedback_inc_data(25)") (joined + (portRef (member feedback_inc_data 10) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member feedback_inc_data 8) (instanceRef gO_4_11_MUX12)) + (portRef feedback_inc_data_0 (instanceRef gO_4_25_MUX12)) + )) + (net (rename feedback_inc_data_26 "feedback_inc_data(26)") (joined + (portRef (member feedback_inc_data 9) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member feedback_inc_data 7) (instanceRef gO_4_11_MUX12)) + (portRef feedback_inc_data_0 (instanceRef gO_4_26_MUX12)) + )) + (net (rename feedback_inc_data_27 "feedback_inc_data(27)") (joined + (portRef (member feedback_inc_data 8) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member feedback_inc_data 6) (instanceRef gO_4_11_MUX12)) + (portRef feedback_inc_data_0 (instanceRef gO_4_27_MUX12)) + )) + (net (rename feedback_inc_data_30 "feedback_inc_data(30)") (joined + (portRef (member feedback_inc_data 5) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member feedback_inc_data 3) (instanceRef gO_4_11_MUX12)) + (portRef feedback_inc_data_0 (instanceRef gO_4_30_MUX12)) + )) + (net (rename feedback_inc_data_32 "feedback_inc_data(32)") (joined + (portRef (member feedback_inc_data 3) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member feedback_inc_data 1) (instanceRef gO_4_11_MUX12)) + (portRef feedback_inc_data_0 (instanceRef gO_4_32_MUX12)) + )) + (net (rename feedback_inc_data_33 "feedback_inc_data(33)") (joined + (portRef (member feedback_inc_data 2) (instanceRef gO_2_gO_2d_INC2)) + (portRef (member feedback_inc_data 0) (instanceRef gO_4_11_MUX12)) + (portRef feedback_inc_data_0 (instanceRef gO_4_33_MUX12)) + )) + (net Z_33_0 (joined + (portRef Z_33_0 (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef Z_33_0 (instanceRef gO_4_1_MUX12)) + (portRef Z_33_0 (instanceRef gO_4_34_MUX12)) + )) + (net Z_33 (joined + (portRef Z_33 (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef Z_33 (instanceRef gO_4_11_MUX12)) + (portRef Z_33 (instanceRef gO_4_1_MUX12)) + (portRef Z_33 (instanceRef gO_4_16_MUX12)) + )) + (net g0_7 (joined + (portRef g0_7 (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef g0_7 (instanceRef gO_4_1_MUX12)) + (portRef g0_7 (instanceRef gO_4_34_MUX12)) + )) + (net g0_8_0 (joined + (portRef g0_8_0 (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef g0_8_0 (instanceRef gO_4_1_MUX12)) + (portRef g0_8_0 (instanceRef gO_4_34_MUX12)) + )) + (net g0_0 (joined + (portRef g0_0 (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef g0_0 (instanceRef gO_4_1_MUX12)) + )) + (net Z_32 (joined + (portRef Z_32 (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef Z_32 (instanceRef gO_4_11_MUX12)) + (portRef Z_32 (instanceRef gO_4_1_MUX12)) + (portRef Z_32 (instanceRef gO_4_20_MUX12)) + (portRef Z_32 (instanceRef gO_4_16_MUX12)) + (portRef Z_32 (instanceRef gO_4_34_MUX12)) + )) + (net feedback_to_big_neg (joined + (portRef feedback_to_big_neg (instanceRef AN24)) + (portRef feedback_to_big_neg (instanceRef gO_4_18_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_24_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_31_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_5_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_6_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_17_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_29_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_20_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_21_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_16_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_27_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_34_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_26_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_33_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_25_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_32_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_23_MUX12)) + (portRef feedback_to_big_neg (instanceRef gO_4_30_MUX12)) + )) + (net Z_i_0_o3_0_a2 (joined + (portRef Z_i_0_o3_0_a2 (instanceRef gO_4_34_MUX12)) + (portRef Z_i_0_o3_0_a2 (instanceRef gO_4_20_MUX12)) + (portRef Z_i_0_o3_0_a2 (instanceRef gO_4_16_MUX12)) + )) + (net g0_11 (joined + (portRef g0_11 (instanceRef gO_4_1_MUX12)) + (portRef g0_11 (instanceRef OR25)) + (portRef g0_11 (instanceRef gO_4_34_MUX12)) + )) + (net Z_i_0_a3_2_0 (joined + (portRef Z_i_0_a3_2_0 (instanceRef gO_4_34_MUX12)) + (portRef Z_i_0_a3_2_0 (instanceRef gO_4_1_MUX12)) + )) + (net g0_10 (joined + (portRef g0_10 (instanceRef gO_4_1_MUX12)) + (portRef g0_10 (instanceRef OR25)) + )) + (net g0_14 (joined + (portRef g0_14 (instanceRef gO_4_1_MUX12)) + (portRef g0_14 (instanceRef OR25)) + )) + ) + (property langParams (string "data_in_width data_out_width frac_data_out_width feedback_width max_coef_width frac_coef_width saturation_mode out_reg")) + (property orig_inst_of (string "DW_iir_dc")) + (property out_reg (integer 1)) + (property saturation_mode (integer 0)) + (property frac_coef_width (integer 31)) + (property max_coef_width (integer 32)) + (property feedback_width (integer 36)) + (property frac_data_out_width (integer 20)) + (property data_out_width (integer 36)) + (property data_in_width (integer 16)) + ) + ) + ) + (library work + (edifLevel 0) + (technology (numberDefinition )) + (cell diff (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename IIRin_re "IIRin_re[15:0]") 16) (direction OUTPUT)) + (port din_r0_0 (direction INPUT)) + (port din_r1_0 (direction INPUT)) + (port din_r0_1 (direction INPUT)) + (port din_r1_1 (direction INPUT)) + (port din_r0_2 (direction INPUT)) + (port din_r1_2 (direction INPUT)) + (port din_r0_3 (direction INPUT)) + (port din_r1_3 (direction INPUT)) + (port din_r0_4 (direction INPUT)) + (port din_r1_4 (direction INPUT)) + (port din_r0_5 (direction INPUT)) + (port din_r1_5 (direction INPUT)) + (port din_r0_6 (direction INPUT)) + (port din_r1_6 (direction INPUT)) + (port din_r0_7 (direction INPUT)) + (port din_r1_7 (direction INPUT)) + (port din_r0_8 (direction INPUT)) + (port din_r1_8 (direction INPUT)) + (port din_r0_9 (direction INPUT)) + (port din_r1_9 (direction INPUT)) + (port din_r0_10 (direction INPUT)) + (port din_r1_10 (direction INPUT)) + (port din_r0_11 (direction INPUT)) + (port din_r1_11 (direction INPUT)) + (port din_r0_12 (direction INPUT)) + (port din_r1_12 (direction INPUT)) + (port din_r0_13 (direction INPUT)) + (port din_r1_13 (direction INPUT)) + (port din_r0_14 (direction INPUT)) + (port din_r1_14 (direction INPUT)) + (port din_r1 (direction INPUT)) + (port din_r0 (direction INPUT)) + (port clk (direction INPUT)) + (port rstn_i (direction INPUT)) + (port en (direction INPUT)) + ) + (contents + (instance un1_out_r_axb_1 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h9")) + ) + (instance un1_out_r_axb_2 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h9")) + ) + (instance un1_out_r_axb_3 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h9")) + ) + (instance un1_out_r_axb_4 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h9")) + ) + (instance un1_out_r_axb_5 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h9")) + ) + (instance un1_out_r_axb_6 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h9")) + ) + (instance un1_out_r_axb_7 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h9")) + ) + (instance un1_out_r_axb_8 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h9")) + ) + (instance un1_out_r_axb_9 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h9")) + ) + (instance un1_out_r_axb_10 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h9")) + ) + (instance un1_out_r_axb_11 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h9")) + ) + (instance un1_out_r_axb_12 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h9")) + ) + (instance un1_out_r_axb_13 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h9")) + ) + (instance un1_out_r_axb_14 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h9")) + ) + (instance un1_out_r_axb_15 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h9")) + ) + (instance un1_out_r_axb_0_i_0 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance (rename out_r_3 "out_r[3]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename out_r_4 "out_r[4]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename out_r_5 "out_r[5]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename out_r_6 "out_r[6]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename out_r_7 "out_r[7]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename out_r_8 "out_r[8]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename out_r_9 "out_r[9]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename out_r_10 "out_r[10]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename out_r_11 "out_r[11]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename out_r_12 "out_r[12]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename out_r_13 "out_r[13]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename out_r_14 "out_r[14]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename out_r_15 "out_r[15]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename out_r_0 "out_r[0]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename out_r_1 "out_r[1]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename out_r_2 "out_r[2]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance un1_out_r_axb_0 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h9")) + ) + (instance un1_out_r_cry_3 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + (property xcn_c4chain_base (integer 1)) + ) + (instance un1_out_r_cry_7 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_out_r_cry_11 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_out_r_s_15 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance GND (viewRef PRIM (cellRef GND (libraryRef UNILIB))) ) + (instance VCC (viewRef PRIM (cellRef VCC (libraryRef UNILIB))) ) + (net din_r0_0 (joined + (portRef din_r0_0) + (portRef (member DI 2) (instanceRef un1_out_r_cry_3)) + (portRef I0 (instanceRef un1_out_r_axb_1)) + )) + (net din_r1_0 (joined + (portRef din_r1_0) + (portRef I1 (instanceRef un1_out_r_axb_1)) + )) + (net (rename un1_out_r_axbZ0Z_1 "un1_out_r_axb_1") (joined + (portRef LO (instanceRef un1_out_r_axb_1)) + (portRef (member S 2) (instanceRef un1_out_r_cry_3)) + )) + (net din_r0_1 (joined + (portRef din_r0_1) + (portRef (member DI 1) (instanceRef un1_out_r_cry_3)) + (portRef I0 (instanceRef un1_out_r_axb_2)) + )) + (net din_r1_1 (joined + (portRef din_r1_1) + (portRef I1 (instanceRef un1_out_r_axb_2)) + )) + (net (rename un1_out_r_axbZ0Z_2 "un1_out_r_axb_2") (joined + (portRef LO (instanceRef un1_out_r_axb_2)) + (portRef (member S 1) (instanceRef un1_out_r_cry_3)) + )) + (net din_r0_2 (joined + (portRef din_r0_2) + (portRef (member DI 0) (instanceRef un1_out_r_cry_3)) + (portRef I0 (instanceRef un1_out_r_axb_3)) + )) + (net din_r1_2 (joined + (portRef din_r1_2) + (portRef I1 (instanceRef un1_out_r_axb_3)) + )) + (net (rename un1_out_r_axbZ0Z_3 "un1_out_r_axb_3") (joined + (portRef LO (instanceRef un1_out_r_axb_3)) + (portRef (member S 0) (instanceRef un1_out_r_cry_3)) + )) + (net din_r0_3 (joined + (portRef din_r0_3) + (portRef (member DI 3) (instanceRef un1_out_r_cry_7)) + (portRef I0 (instanceRef un1_out_r_axb_4)) + )) + (net din_r1_3 (joined + (portRef din_r1_3) + (portRef I1 (instanceRef un1_out_r_axb_4)) + )) + (net (rename un1_out_r_axbZ0Z_4 "un1_out_r_axb_4") (joined + (portRef LO (instanceRef un1_out_r_axb_4)) + (portRef (member S 3) (instanceRef un1_out_r_cry_7)) + )) + (net din_r0_4 (joined + (portRef din_r0_4) + (portRef (member DI 2) (instanceRef un1_out_r_cry_7)) + (portRef I0 (instanceRef un1_out_r_axb_5)) + )) + (net din_r1_4 (joined + (portRef din_r1_4) + (portRef I1 (instanceRef un1_out_r_axb_5)) + )) + (net (rename un1_out_r_axbZ0Z_5 "un1_out_r_axb_5") (joined + (portRef LO (instanceRef un1_out_r_axb_5)) + (portRef (member S 2) (instanceRef un1_out_r_cry_7)) + )) + (net din_r0_5 (joined + (portRef din_r0_5) + (portRef (member DI 1) (instanceRef un1_out_r_cry_7)) + (portRef I0 (instanceRef un1_out_r_axb_6)) + )) + (net din_r1_5 (joined + (portRef din_r1_5) + (portRef I1 (instanceRef un1_out_r_axb_6)) + )) + (net (rename un1_out_r_axbZ0Z_6 "un1_out_r_axb_6") (joined + (portRef LO (instanceRef un1_out_r_axb_6)) + (portRef (member S 1) (instanceRef un1_out_r_cry_7)) + )) + (net din_r0_6 (joined + (portRef din_r0_6) + (portRef (member DI 0) (instanceRef un1_out_r_cry_7)) + (portRef I0 (instanceRef un1_out_r_axb_7)) + )) + (net din_r1_6 (joined + (portRef din_r1_6) + (portRef I1 (instanceRef un1_out_r_axb_7)) + )) + (net (rename un1_out_r_axbZ0Z_7 "un1_out_r_axb_7") (joined + (portRef LO (instanceRef un1_out_r_axb_7)) + (portRef (member S 0) (instanceRef un1_out_r_cry_7)) + )) + (net din_r0_7 (joined + (portRef din_r0_7) + (portRef (member DI 3) (instanceRef un1_out_r_cry_11)) + (portRef I0 (instanceRef un1_out_r_axb_8)) + )) + (net din_r1_7 (joined + (portRef din_r1_7) + (portRef I1 (instanceRef un1_out_r_axb_8)) + )) + (net (rename un1_out_r_axbZ0Z_8 "un1_out_r_axb_8") (joined + (portRef LO (instanceRef un1_out_r_axb_8)) + (portRef (member S 3) (instanceRef un1_out_r_cry_11)) + )) + (net din_r0_8 (joined + (portRef din_r0_8) + (portRef (member DI 2) (instanceRef un1_out_r_cry_11)) + (portRef I0 (instanceRef un1_out_r_axb_9)) + )) + (net din_r1_8 (joined + (portRef din_r1_8) + (portRef I1 (instanceRef un1_out_r_axb_9)) + )) + (net (rename un1_out_r_axbZ0Z_9 "un1_out_r_axb_9") (joined + (portRef LO (instanceRef un1_out_r_axb_9)) + (portRef (member S 2) (instanceRef un1_out_r_cry_11)) + )) + (net din_r0_9 (joined + (portRef din_r0_9) + (portRef (member DI 1) (instanceRef un1_out_r_cry_11)) + (portRef I0 (instanceRef un1_out_r_axb_10)) + )) + (net din_r1_9 (joined + (portRef din_r1_9) + (portRef I1 (instanceRef un1_out_r_axb_10)) + )) + (net (rename un1_out_r_axbZ0Z_10 "un1_out_r_axb_10") (joined + (portRef LO (instanceRef un1_out_r_axb_10)) + (portRef (member S 1) (instanceRef un1_out_r_cry_11)) + )) + (net din_r0_10 (joined + (portRef din_r0_10) + (portRef (member DI 0) (instanceRef un1_out_r_cry_11)) + (portRef I0 (instanceRef un1_out_r_axb_11)) + )) + (net din_r1_10 (joined + (portRef din_r1_10) + (portRef I1 (instanceRef un1_out_r_axb_11)) + )) + (net (rename un1_out_r_axbZ0Z_11 "un1_out_r_axb_11") (joined + (portRef LO (instanceRef un1_out_r_axb_11)) + (portRef (member S 0) (instanceRef un1_out_r_cry_11)) + )) + (net din_r0_11 (joined + (portRef din_r0_11) + (portRef (member DI 3) (instanceRef un1_out_r_s_15)) + (portRef I0 (instanceRef un1_out_r_axb_12)) + )) + (net din_r1_11 (joined + (portRef din_r1_11) + (portRef I1 (instanceRef un1_out_r_axb_12)) + )) + (net (rename un1_out_r_axbZ0Z_12 "un1_out_r_axb_12") (joined + (portRef LO (instanceRef un1_out_r_axb_12)) + (portRef (member S 3) (instanceRef un1_out_r_s_15)) + )) + (net din_r0_12 (joined + (portRef din_r0_12) + (portRef (member DI 2) (instanceRef un1_out_r_s_15)) + (portRef I0 (instanceRef un1_out_r_axb_13)) + )) + (net din_r1_12 (joined + (portRef din_r1_12) + (portRef I1 (instanceRef un1_out_r_axb_13)) + )) + (net (rename un1_out_r_axbZ0Z_13 "un1_out_r_axb_13") (joined + (portRef LO (instanceRef un1_out_r_axb_13)) + (portRef (member S 2) (instanceRef un1_out_r_s_15)) + )) + (net din_r0_13 (joined + (portRef din_r0_13) + (portRef (member DI 1) (instanceRef un1_out_r_s_15)) + (portRef I0 (instanceRef un1_out_r_axb_14)) + )) + (net din_r1_13 (joined + (portRef din_r1_13) + (portRef I1 (instanceRef un1_out_r_axb_14)) + )) + (net (rename un1_out_r_axbZ0Z_14 "un1_out_r_axb_14") (joined + (portRef LO (instanceRef un1_out_r_axb_14)) + (portRef (member S 1) (instanceRef un1_out_r_s_15)) + )) + (net din_r0_14 (joined + (portRef din_r0_14) + (portRef I0 (instanceRef un1_out_r_axb_15)) + )) + (net din_r1_14 (joined + (portRef din_r1_14) + (portRef I1 (instanceRef un1_out_r_axb_15)) + )) + (net (rename un1_out_r_axbZ0Z_15 "un1_out_r_axb_15") (joined + (portRef LO (instanceRef un1_out_r_axb_15)) + (portRef (member S 0) (instanceRef un1_out_r_s_15)) + )) + (net din_r1 (joined + (portRef din_r1) + (portRef I0 (instanceRef un1_out_r_axb_0)) + (portRef I0 (instanceRef un1_out_r_axb_0_i_0)) + )) + (net din_r0 (joined + (portRef din_r0) + (portRef (member DI 3) (instanceRef un1_out_r_cry_3)) + (portRef I1 (instanceRef un1_out_r_axb_0)) + (portRef I1 (instanceRef un1_out_r_axb_0_i_0)) + )) + (net un1_out_r_axb_0_i (joined + (portRef LO (instanceRef un1_out_r_axb_0_i_0)) + (portRef D (instanceRef out_r_0)) + )) + (net (rename iirin_re_3 "IIRin_re[3]") (joined + (portRef Q (instanceRef out_r_3)) + (portRef (member IIRin_re 12)) + )) + (net un1_out_r (joined + (portRef (member O 0) (instanceRef un1_out_r_cry_3)) + (portRef D (instanceRef out_r_3)) + )) + (net clk (joined + (portRef clk) + (portRef C (instanceRef out_r_2)) + (portRef C (instanceRef out_r_1)) + (portRef C (instanceRef out_r_0)) + (portRef C (instanceRef out_r_15)) + (portRef C (instanceRef out_r_14)) + (portRef C (instanceRef out_r_13)) + (portRef C (instanceRef out_r_12)) + (portRef C (instanceRef out_r_11)) + (portRef C (instanceRef out_r_10)) + (portRef C (instanceRef out_r_9)) + (portRef C (instanceRef out_r_8)) + (portRef C (instanceRef out_r_7)) + (portRef C (instanceRef out_r_6)) + (portRef C (instanceRef out_r_5)) + (portRef C (instanceRef out_r_4)) + (portRef C (instanceRef out_r_3)) + )) + (net rstn_i (joined + (portRef rstn_i) + (portRef CLR (instanceRef out_r_2)) + (portRef CLR (instanceRef out_r_1)) + (portRef CLR (instanceRef out_r_0)) + (portRef CLR (instanceRef out_r_15)) + (portRef CLR (instanceRef out_r_14)) + (portRef CLR (instanceRef out_r_13)) + (portRef CLR (instanceRef out_r_12)) + (portRef CLR (instanceRef out_r_11)) + (portRef CLR (instanceRef out_r_10)) + (portRef CLR (instanceRef out_r_9)) + (portRef CLR (instanceRef out_r_8)) + (portRef CLR (instanceRef out_r_7)) + (portRef CLR (instanceRef out_r_6)) + (portRef CLR (instanceRef out_r_5)) + (portRef CLR (instanceRef out_r_4)) + (portRef CLR (instanceRef out_r_3)) + )) + (net en (joined + (portRef en) + (portRef CE (instanceRef out_r_2)) + (portRef CE (instanceRef out_r_1)) + (portRef CE (instanceRef out_r_0)) + (portRef CE (instanceRef out_r_15)) + (portRef CE (instanceRef out_r_14)) + (portRef CE (instanceRef out_r_13)) + (portRef CE (instanceRef out_r_12)) + (portRef CE (instanceRef out_r_11)) + (portRef CE (instanceRef out_r_10)) + (portRef CE (instanceRef out_r_9)) + (portRef CE (instanceRef out_r_8)) + (portRef CE (instanceRef out_r_7)) + (portRef CE (instanceRef out_r_6)) + (portRef CE (instanceRef out_r_5)) + (portRef CE (instanceRef out_r_4)) + (portRef CE (instanceRef out_r_3)) + )) + (net (rename iirin_re_4 "IIRin_re[4]") (joined + (portRef Q (instanceRef out_r_4)) + (portRef (member IIRin_re 11)) + )) + (net un1_out_r_0 (joined + (portRef (member O 3) (instanceRef un1_out_r_cry_7)) + (portRef D (instanceRef out_r_4)) + )) + (net (rename iirin_re_5 "IIRin_re[5]") (joined + (portRef Q (instanceRef out_r_5)) + (portRef (member IIRin_re 10)) + )) + (net un1_out_r_1 (joined + (portRef (member O 2) (instanceRef un1_out_r_cry_7)) + (portRef D (instanceRef out_r_5)) + )) + (net (rename iirin_re_6 "IIRin_re[6]") (joined + (portRef Q (instanceRef out_r_6)) + (portRef (member IIRin_re 9)) + )) + (net un1_out_r_2 (joined + (portRef (member O 1) (instanceRef un1_out_r_cry_7)) + (portRef D (instanceRef out_r_6)) + )) + (net (rename iirin_re_7 "IIRin_re[7]") (joined + (portRef Q (instanceRef out_r_7)) + (portRef (member IIRin_re 8)) + )) + (net un1_out_r_3 (joined + (portRef (member O 0) (instanceRef un1_out_r_cry_7)) + (portRef D (instanceRef out_r_7)) + )) + (net (rename iirin_re_8 "IIRin_re[8]") (joined + (portRef Q (instanceRef out_r_8)) + (portRef (member IIRin_re 7)) + )) + (net un1_out_r_4 (joined + (portRef (member O 3) (instanceRef un1_out_r_cry_11)) + (portRef D (instanceRef out_r_8)) + )) + (net (rename iirin_re_9 "IIRin_re[9]") (joined + (portRef Q (instanceRef out_r_9)) + (portRef (member IIRin_re 6)) + )) + (net un1_out_r_5 (joined + (portRef (member O 2) (instanceRef un1_out_r_cry_11)) + (portRef D (instanceRef out_r_9)) + )) + (net (rename iirin_re_10 "IIRin_re[10]") (joined + (portRef Q (instanceRef out_r_10)) + (portRef (member IIRin_re 5)) + )) + (net un1_out_r_6 (joined + (portRef (member O 1) (instanceRef un1_out_r_cry_11)) + (portRef D (instanceRef out_r_10)) + )) + (net (rename iirin_re_11 "IIRin_re[11]") (joined + (portRef Q (instanceRef out_r_11)) + (portRef (member IIRin_re 4)) + )) + (net un1_out_r_7 (joined + (portRef (member O 0) (instanceRef un1_out_r_cry_11)) + (portRef D (instanceRef out_r_11)) + )) + (net (rename iirin_re_12 "IIRin_re[12]") (joined + (portRef Q (instanceRef out_r_12)) + (portRef (member IIRin_re 3)) + )) + (net un1_out_r_8 (joined + (portRef (member O 3) (instanceRef un1_out_r_s_15)) + (portRef D (instanceRef out_r_12)) + )) + (net (rename iirin_re_13 "IIRin_re[13]") (joined + (portRef Q (instanceRef out_r_13)) + (portRef (member IIRin_re 2)) + )) + (net un1_out_r_9 (joined + (portRef (member O 2) (instanceRef un1_out_r_s_15)) + (portRef D (instanceRef out_r_13)) + )) + (net (rename iirin_re_14 "IIRin_re[14]") (joined + (portRef Q (instanceRef out_r_14)) + (portRef (member IIRin_re 1)) + )) + (net un1_out_r_10 (joined + (portRef (member O 1) (instanceRef un1_out_r_s_15)) + (portRef D (instanceRef out_r_14)) + )) + (net (rename iirin_re_15 "IIRin_re[15]") (joined + (portRef Q (instanceRef out_r_15)) + (portRef (member IIRin_re 0)) + )) + (net un1_out_r_11 (joined + (portRef (member O 0) (instanceRef un1_out_r_s_15)) + (portRef D (instanceRef out_r_15)) + )) + (net (rename iirin_re_0 "IIRin_re[0]") (joined + (portRef Q (instanceRef out_r_0)) + (portRef (member IIRin_re 15)) + )) + (net (rename iirin_re_1 "IIRin_re[1]") (joined + (portRef Q (instanceRef out_r_1)) + (portRef (member IIRin_re 14)) + )) + (net un1_out_r_13 (joined + (portRef (member O 2) (instanceRef un1_out_r_cry_3)) + (portRef D (instanceRef out_r_1)) + )) + (net (rename iirin_re_2 "IIRin_re[2]") (joined + (portRef Q (instanceRef out_r_2)) + (portRef (member IIRin_re 13)) + )) + (net un1_out_r_14 (joined + (portRef (member O 1) (instanceRef un1_out_r_cry_3)) + (portRef D (instanceRef out_r_2)) + )) + (net (rename un1_out_r_axbZ0Z_0 "un1_out_r_axb_0") (joined + (portRef O (instanceRef un1_out_r_axb_0)) + (portRef (member S 3) (instanceRef un1_out_r_cry_3)) + )) + (net (rename un1_out_r_cryZ0Z_3 "un1_out_r_cry_3") (joined + (portRef (member CO 0) (instanceRef un1_out_r_cry_3)) + (portRef CI (instanceRef un1_out_r_cry_7)) + )) + (net (rename GNDZ0 "GND") (joined + (portRef G (instanceRef GND)) + (portRef (member DI 0) (instanceRef un1_out_r_s_15)) + (portRef CYINIT (instanceRef un1_out_r_s_15)) + (portRef CYINIT (instanceRef un1_out_r_cry_11)) + (portRef CYINIT (instanceRef un1_out_r_cry_7)) + (portRef CI (instanceRef un1_out_r_cry_3)) + )) + (net (rename VCCZ0 "VCC") (joined + (portRef P (instanceRef VCC)) + (portRef CYINIT (instanceRef un1_out_r_cry_3)) + )) + (net (rename un1_out_r_cryZ0Z_7 "un1_out_r_cry_7") (joined + (portRef (member CO 0) (instanceRef un1_out_r_cry_7)) + (portRef CI (instanceRef un1_out_r_cry_11)) + )) + (net (rename un1_out_r_cryZ0Z_11 "un1_out_r_cry_11") (joined + (portRef (member CO 0) (instanceRef un1_out_r_cry_11)) + (portRef CI (instanceRef un1_out_r_s_15)) + )) + ) + (property orig_inst_of (string "diff")) + ) + ) + (cell MeanIntp_8 (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename dout_1 "dout_1[15:0]") 16) (direction OUTPUT)) + (port (array (rename dout_0 "dout_0[15:0]") 16) (direction OUTPUT)) + (port (array (rename dout_3 "dout_3[15:0]") 16) (direction OUTPUT)) + (port (array (rename dout_2 "dout_2[15:0]") 16) (direction OUTPUT)) + (port (array (rename dout_7 "dout_7[15:0]") 16) (direction OUTPUT)) + (port (array (rename dout_6 "dout_6[15:0]") 16) (direction OUTPUT)) + (port (array (rename dout_5 "dout_5[15:0]") 16) (direction OUTPUT)) + (port (array (rename dout_4 "dout_4[15:0]") 16) (direction OUTPUT)) + (port (array (rename intp_mode "intp_mode[1:0]") 2) (direction INPUT)) + (port IIR_out_10 (direction INPUT)) + (port IIR_out_5 (direction INPUT)) + (port IIR_out_4 (direction INPUT)) + (port IIR_out_3 (direction INPUT)) + (port IIR_out_0 (direction INPUT)) + (port IIR_out (direction INPUT)) + (port clk (direction INPUT)) + (port rstn_i (direction INPUT)) + (port en (direction INPUT)) + (port rstn (direction INPUT)) + (port IIR_out_14 (direction INPUT)) + (port IIR_out_13 (direction INPUT)) + (port IIR_out_12 (direction INPUT)) + (port IIR_out_11 (direction INPUT)) + (port IIR_out_9 (direction INPUT)) + (port IIR_out_8 (direction INPUT)) + (port IIR_out_7 (direction INPUT)) + (port IIR_out_6 (direction INPUT)) + (port IIR_out_2 (direction INPUT)) + (port IIR_out_1 (direction INPUT)) + ) + (contents + (instance un4_dout_r7_4_cry_13_outextlut (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h3")) + ) + (instance (rename mux_p_1_15 "mux_p_1[15]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_1_14 "mux_p_1[14]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_1_13 "mux_p_1[13]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_1_12 "mux_p_1[12]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_1_11 "mux_p_1[11]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_1_10 "mux_p_1[10]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_1_9 "mux_p_1[9]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_1_8 "mux_p_1[8]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_1_7 "mux_p_1[7]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_1_6 "mux_p_1[6]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_1_5 "mux_p_1[5]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_1_4 "mux_p_1[4]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_1_3 "mux_p_1[3]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_1_2 "mux_p_1[2]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_1_1 "mux_p_1[1]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_1_0 "mux_p_1[0]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_0_15 "mux_p_0[15]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_0_14 "mux_p_0[14]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_0_13 "mux_p_0[13]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_0_12 "mux_p_0[12]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_0_11 "mux_p_0[11]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_0_10 "mux_p_0[10]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_0_9 "mux_p_0[9]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_0_8 "mux_p_0[8]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_0_7 "mux_p_0[7]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_0_6 "mux_p_0[6]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_0_5 "mux_p_0[5]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_0_4 "mux_p_0[4]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_0_3 "mux_p_0[3]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_0_2 "mux_p_0[2]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_0_1 "mux_p_0[1]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_0_0 "mux_p_0[0]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_3_15 "mux_p_3[15]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_3_14 "mux_p_3[14]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_3_13 "mux_p_3[13]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_3_12 "mux_p_3[12]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_3_11 "mux_p_3[11]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_3_10 "mux_p_3[10]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_3_9 "mux_p_3[9]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_3_8 "mux_p_3[8]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_3_7 "mux_p_3[7]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_3_6 "mux_p_3[6]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_3_5 "mux_p_3[5]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_3_4 "mux_p_3[4]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_3_3 "mux_p_3[3]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_3_2 "mux_p_3[2]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_3_1 "mux_p_3[1]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_3_0 "mux_p_3[0]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_2_15 "mux_p_2[15]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_2_14 "mux_p_2[14]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_2_13 "mux_p_2[13]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_2_12 "mux_p_2[12]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_2_11 "mux_p_2[11]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_2_10 "mux_p_2[10]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_2_9 "mux_p_2[9]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_2_8 "mux_p_2[8]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_2_7 "mux_p_2[7]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_2_6 "mux_p_2[6]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_2_5 "mux_p_2[5]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_2_4 "mux_p_2[4]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_2_3 "mux_p_2[3]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_2_2 "mux_p_2[2]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_2_1 "mux_p_2[1]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_2_0 "mux_p_2[0]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_7_15 "mux_p_7[15]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_7_14 "mux_p_7[14]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_7_13 "mux_p_7[13]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_7_12 "mux_p_7[12]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_7_11 "mux_p_7[11]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_7_10 "mux_p_7[10]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_7_9 "mux_p_7[9]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_7_8 "mux_p_7[8]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_7_7 "mux_p_7[7]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_7_6 "mux_p_7[6]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_7_5 "mux_p_7[5]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_7_4 "mux_p_7[4]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_7_3 "mux_p_7[3]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_7_2 "mux_p_7[2]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_7_1 "mux_p_7[1]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_7_0 "mux_p_7[0]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_6_15 "mux_p_6[15]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_6_14 "mux_p_6[14]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_6_13 "mux_p_6[13]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_6_12 "mux_p_6[12]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_6_11 "mux_p_6[11]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_6_10 "mux_p_6[10]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_6_9 "mux_p_6[9]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_6_8 "mux_p_6[8]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_6_7 "mux_p_6[7]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_6_6 "mux_p_6[6]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_6_5 "mux_p_6[5]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_6_4 "mux_p_6[4]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_6_3 "mux_p_6[3]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_6_2 "mux_p_6[2]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_6_1 "mux_p_6[1]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_6_0 "mux_p_6[0]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_5_15 "mux_p_5[15]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_5_14 "mux_p_5[14]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_5_13 "mux_p_5[13]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_5_12 "mux_p_5[12]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_5_11 "mux_p_5[11]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_5_10 "mux_p_5[10]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_5_9 "mux_p_5[9]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_5_8 "mux_p_5[8]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_5_7 "mux_p_5[7]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_5_6 "mux_p_5[6]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_5_5 "mux_p_5[5]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_5_4 "mux_p_5[4]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_5_3 "mux_p_5[3]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_5_2 "mux_p_5[2]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_5_1 "mux_p_5[1]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_5_0 "mux_p_5[0]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_4_15 "mux_p_4[15]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_4_14 "mux_p_4[14]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_4_13 "mux_p_4[13]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_4_12 "mux_p_4[12]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_4_11 "mux_p_4[11]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_4_10 "mux_p_4[10]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_4_9 "mux_p_4[9]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_4_8 "mux_p_4[8]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_4_7 "mux_p_4[7]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_4_6 "mux_p_4[6]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_4_5 "mux_p_4[5]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_4_4 "mux_p_4[4]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_4_3 "mux_p_4[3]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_4_2 "mux_p_4[2]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_4_1 "mux_p_4[1]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_4_0 "mux_p_4[0]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_1_7_3_15 "mux_p_1_7_3[15]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hE6A2C480")) + ) + (instance (rename mux_p_1_7_3_14 "mux_p_1_7_3[14]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hE6A2C480")) + ) + (instance (rename mux_p_1_7_3_13 "mux_p_1_7_3[13]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hE6A2C480")) + ) + (instance (rename mux_p_1_7_3_12 "mux_p_1_7_3[12]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hE6C4A280")) + ) + (instance (rename mux_p_1_7_3_11 "mux_p_1_7_3[11]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hEAC86240")) + ) + (instance (rename mux_p_1_7_3_10 "mux_p_1_7_3[10]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hE6C4A280")) + ) + (instance (rename mux_p_1_7_3_9 "mux_p_1_7_3[9]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hE6A2C480")) + ) + (instance (rename mux_p_1_7_3_8 "mux_p_1_7_3[8]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hE6A2C480")) + ) + (instance (rename mux_p_1_7_3_7 "mux_p_1_7_3[7]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hE6C4A280")) + ) + (instance (rename mux_p_1_7_3_6 "mux_p_1_7_3[6]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hE6A2C480")) + ) + (instance (rename mux_p_1_7_3_0 "mux_p_1_7_3[0]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hE6A2C480")) + ) + (instance (rename mux_p_1_7_3_5 "mux_p_1_7_3[5]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hE6A2C480")) + ) + (instance (rename mux_p_1_7_3_4 "mux_p_1_7_3[4]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hE6A2C480")) + ) + (instance (rename mux_p_1_7_3_3 "mux_p_1_7_3[3]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hE6C4A280")) + ) + (instance (rename mux_p_1_7_3_2 "mux_p_1_7_3[2]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hE6A2C480")) + ) + (instance (rename mux_p_1_7_3_1 "mux_p_1_7_3[1]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hE6A2C480")) + ) + (instance mux_p_7_198 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h2AFF")) + ) + (instance un3_dout_r6_0_o5_2 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance un3_dout_r6_0_o5_3 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance un3_dout_r6_0_o5_4 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance un3_dout_r6_0_o5_5 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance un3_dout_r6_0_o5_6 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance un3_dout_r6_0_o5_7 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance un3_dout_r6_0_o5_8 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance un3_dout_r6_0_o5_9 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance un3_dout_r6_0_o5_10 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance un3_dout_r6_0_o5_11 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance un3_dout_r6_0_o5_12 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance un3_dout_r6_0_o5_13 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance un3_dout_r5_0_o5_2 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance un3_dout_r5_0_o5_3 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance un3_dout_r5_0_o5_4 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance un3_dout_r5_0_o5_5 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance un3_dout_r5_0_o5_6 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance un3_dout_r5_0_o5_7 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance un3_dout_r5_0_o5_8 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance un3_dout_r5_0_o5_9 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance un3_dout_r5_0_o5_10 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance un3_dout_r5_0_o5_11 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance un3_dout_r5_0_o5_12 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance un3_dout_r5_0_o5_13 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance un3_dout_r3_0_o5_2 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance un3_dout_r3_0_o5_3 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance un3_dout_r3_0_o5_4 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance un3_dout_r3_0_o5_5 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance un3_dout_r3_0_o5_6 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance un3_dout_r3_0_o5_7 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance un3_dout_r3_0_o5_8 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance un3_dout_r3_0_o5_9 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance un3_dout_r3_0_o5_10 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance un3_dout_r3_0_o5_11 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance un3_dout_r3_0_o5_12 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance mux_p_3_196 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h2F")) + ) + (instance (rename mux_p_2_6_0_15 "mux_p_2_6_0[15]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hD8")) + ) + (instance (rename mux_p_2_6_0_14 "mux_p_2_6_0[14]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hD8")) + ) + (instance (rename mux_p_2_6_0_0 "mux_p_2_6_0[0]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hD8")) + ) + (instance (rename mux_p_3_6_0_15 "mux_p_3_6_0[15]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hD8")) + ) + (instance (rename mux_p_3_6_0_2 "mux_p_3_6_0[2]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hD8")) + ) + (instance (rename mux_p_3_6_0_1 "mux_p_3_6_0[1]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hD8")) + ) + (instance (rename mux_p_3_6_0_0 "mux_p_3_6_0[0]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hD8")) + ) + (instance (rename mux_p_2_6_0_13 "mux_p_2_6_0[13]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hD8")) + ) + (instance (rename mux_p_2_6_0_12 "mux_p_2_6_0[12]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hD8")) + ) + (instance (rename mux_p_2_6_0_11 "mux_p_2_6_0[11]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hD8")) + ) + (instance (rename mux_p_3_6_0_14 "mux_p_3_6_0[14]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hD8")) + ) + (instance (rename mux_p_3_6_0_13 "mux_p_3_6_0[13]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hD8")) + ) + (instance (rename mux_p_3_6_0_12 "mux_p_3_6_0[12]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hD8")) + ) + (instance (rename mux_p_2_6_0_10 "mux_p_2_6_0[10]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hD8")) + ) + (instance (rename mux_p_2_6_0_9 "mux_p_2_6_0[9]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hD8")) + ) + (instance (rename mux_p_2_6_0_8 "mux_p_2_6_0[8]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hD8")) + ) + (instance (rename mux_p_2_6_0_7 "mux_p_2_6_0[7]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hD8")) + ) + (instance (rename mux_p_3_6_0_11 "mux_p_3_6_0[11]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hD8")) + ) + (instance (rename mux_p_3_6_0_10 "mux_p_3_6_0[10]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hD8")) + ) + (instance (rename mux_p_3_6_0_9 "mux_p_3_6_0[9]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hD8")) + ) + (instance (rename mux_p_2_6_0_6 "mux_p_2_6_0[6]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hD8")) + ) + (instance (rename mux_p_2_6_0_5 "mux_p_2_6_0[5]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hD8")) + ) + (instance (rename mux_p_2_6_0_4 "mux_p_2_6_0[4]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hD8")) + ) + (instance (rename mux_p_3_6_0_8 "mux_p_3_6_0[8]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hD8")) + ) + (instance (rename mux_p_3_6_0_7 "mux_p_3_6_0[7]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hD8")) + ) + (instance (rename mux_p_3_6_0_6 "mux_p_3_6_0[6]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hD8")) + ) + (instance (rename mux_p_2_6_0_3 "mux_p_2_6_0[3]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hD8")) + ) + (instance (rename mux_p_2_6_0_2 "mux_p_2_6_0[2]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hD8")) + ) + (instance (rename mux_p_2_6_0_1 "mux_p_2_6_0[1]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hD8")) + ) + (instance (rename mux_p_3_6_0_5 "mux_p_3_6_0[5]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hD8")) + ) + (instance (rename mux_p_3_6_0_4 "mux_p_3_6_0[4]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hD8")) + ) + (instance (rename mux_p_3_6_0_3 "mux_p_3_6_0[3]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hD8")) + ) + (instance un4_dout_r7_5_axb_15 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un4_dout_r7_axb_1 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un4_dout_r7_axb_2 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un4_dout_r7_axb_3 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un4_dout_r7_axb_4 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un4_dout_r7_axb_5 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un4_dout_r7_axb_6 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un4_dout_r7_axb_7 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un4_dout_r7_axb_8 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un4_dout_r7_axb_9 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un4_dout_r7_axb_10 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un4_dout_r7_axb_11 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un4_dout_r7_axb_12 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un4_dout_r7_axb_13 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un4_dout_r7_axb_14 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un4_dout_r7_axb_15 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un2_dout_r4_axb_1 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un2_dout_r1_axb_3 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un2_dout_r1_axb_7 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un3_dout_r6_0_axb_7 (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h3693C96C")) + ) + (instance un3_dout_r6_0_axb_8 (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h56A9956A")) + ) + (instance un3_dout_r6_0_axb_4 (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h56A9956A")) + ) + (instance un3_dout_r6_0_axb_12 (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h56A9956A")) + ) + (instance un3_dout_r6_0_axb_14 (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h5695A96A")) + ) + (instance un3_dout_r6_0_axb_11 (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h3693C96C")) + ) + (instance un3_dout_r3_0_axb_8 (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h59A6659A")) + ) + (instance un3_dout_r5_0_axb_11 (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h36C9C9366C93936C")) + ) + (instance un3_dout_r3_0_axb_5 (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h5695A96A")) + ) + (instance un3_dout_r3_0_axb_3 (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h56A9956A")) + ) + (instance un3_dout_r6_0_axb_3 (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h3693C96C")) + ) + (instance un3_dout_r5_0_axb_13 (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h5A6969A5A596965A")) + ) + (instance un3_dout_r5_0_axb_12 (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h366CC993C993366C")) + ) + (instance un3_dout_r3_0_axb_11 (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h36C9936C")) + ) + (instance un3_dout_r3_0_axb_10 (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h5695A96A")) + ) + (instance un3_dout_r3_0_axb_9 (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h5695A96A")) + ) + (instance un3_dout_r3_0_axb_13 (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h5695A96A")) + ) + (instance un3_dout_r5_0_axb_10 (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h56A96A95A956956A")) + ) + (instance un3_dout_r5_0_axb_7 (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h36C9C9366C93936C")) + ) + (instance un3_dout_r5_0_axb_2 (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h36C96C93C936936C")) + ) + (instance un3_dout_r5_0_axb_9 (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h5A6969A5A596965A")) + ) + (instance un3_dout_r5_0_axb_8 (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h566AA995A995566A")) + ) + (instance un3_dout_r5_0_axb_6 (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h56A96A95A956956A")) + ) + (instance un3_dout_r5_0_axb_5 (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h5A6969A5A596965A")) + ) + (instance un3_dout_r5_0_axb_4 (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h566AA995A995566A")) + ) + (instance un3_dout_r5_0_axb_3 (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h56A9A9566A95956A")) + ) + (instance (rename din_r1_0 "din_r1[0]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename din_r1_1 "din_r1[1]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename din_r1_2 "din_r1[2]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename din_r1_3 "din_r1[3]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename din_r1_4 "din_r1[4]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename din_r1_5 "din_r1[5]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename din_r1_6 "din_r1[6]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename din_r1_7 "din_r1[7]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename din_r1_8 "din_r1[8]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename din_r1_9 "din_r1[9]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename din_r1_10 "din_r1[10]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename din_r1_11 "din_r1[11]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename din_r1_12 "din_r1[12]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename din_r1_13 "din_r1[13]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename din_r1_14 "din_r1[14]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename din_r1_15 "din_r1[15]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r0_0 "dout_r0[0]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r0_1 "dout_r0[1]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r0_2 "dout_r0[2]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r0_3 "dout_r0[3]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r0_4 "dout_r0[4]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r0_5 "dout_r0[5]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r0_6 "dout_r0[6]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r0_7 "dout_r0[7]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r0_8 "dout_r0[8]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r0_9 "dout_r0[9]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r0_10 "dout_r0[10]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r0_11 "dout_r0[11]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r0_12 "dout_r0[12]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r0_13 "dout_r0[13]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r0_14 "dout_r0[14]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r0_15 "dout_r0[15]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r7_7 "dout_r7[7]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r7_8 "dout_r7[8]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r7_9 "dout_r7[9]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r7_10 "dout_r7[10]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r7_11 "dout_r7[11]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r7_12 "dout_r7[12]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r7_13 "dout_r7[13]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r7_14 "dout_r7[14]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r7_15 "dout_r7[15]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r6_8 "dout_r6[8]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r6_9 "dout_r6[9]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r6_10 "dout_r6[10]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r6_11 "dout_r6[11]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r6_12 "dout_r6[12]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r6_13 "dout_r6[13]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r6_14 "dout_r6[14]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r6_15 "dout_r6[15]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r7_0 "dout_r7[0]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r7_1 "dout_r7[1]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r7_2 "dout_r7[2]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r7_3 "dout_r7[3]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r7_4 "dout_r7[4]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r7_5 "dout_r7[5]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r7_6 "dout_r7[6]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r5_9 "dout_r5[9]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r5_10 "dout_r5[10]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r5_11 "dout_r5[11]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r5_12 "dout_r5[12]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r5_13 "dout_r5[13]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r5_14 "dout_r5[14]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r5_15 "dout_r5[15]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r6_0 "dout_r6[0]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r6_1 "dout_r6[1]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r6_2 "dout_r6[2]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r6_3 "dout_r6[3]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r6_4 "dout_r6[4]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r6_5 "dout_r6[5]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r6_6 "dout_r6[6]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r6_7 "dout_r6[7]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r3_10 "dout_r3[10]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r3_11 "dout_r3[11]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r3_12 "dout_r3[12]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r3_13 "dout_r3[13]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r3_14 "dout_r3[14]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r3_15 "dout_r3[15]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r5_0 "dout_r5[0]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r5_1 "dout_r5[1]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r5_2 "dout_r5[2]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r5_3 "dout_r5[3]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r5_4 "dout_r5[4]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r5_5 "dout_r5[5]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r5_6 "dout_r5[6]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r5_7 "dout_r5[7]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r5_8 "dout_r5[8]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r2_11 "dout_r2[11]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r2_12 "dout_r2[12]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r2_13 "dout_r2[13]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r2_14 "dout_r2[14]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r2_15 "dout_r2[15]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r3_0 "dout_r3[0]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r3_1 "dout_r3[1]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r3_2 "dout_r3[2]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r3_3 "dout_r3[3]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r3_4 "dout_r3[4]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r3_5 "dout_r3[5]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r3_6 "dout_r3[6]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r3_7 "dout_r3[7]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r3_8 "dout_r3[8]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r3_9 "dout_r3[9]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r1_12 "dout_r1[12]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r1_13 "dout_r1[13]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r1_14 "dout_r1[14]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r1_15 "dout_r1[15]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r2_0 "dout_r2[0]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r2_1 "dout_r2[1]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r2_2 "dout_r2[2]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r2_3 "dout_r2[3]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r2_4 "dout_r2[4]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r2_5 "dout_r2[5]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r2_6 "dout_r2[6]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r2_7 "dout_r2[7]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r2_8 "dout_r2[8]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r2_9 "dout_r2[9]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r2_10 "dout_r2[10]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r4_13 "dout_r4[13]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r4_14 "dout_r4[14]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r4_15 "dout_r4[15]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r1_0 "dout_r1[0]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r1_1 "dout_r1[1]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r1_2 "dout_r1[2]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r1_3 "dout_r1[3]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r1_4 "dout_r1[4]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r1_5 "dout_r1[5]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r1_6 "dout_r1[6]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r1_7 "dout_r1[7]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r1_8 "dout_r1[8]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r1_9 "dout_r1[9]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r1_10 "dout_r1[10]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r1_11 "dout_r1[11]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r4_0 "dout_r4[0]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r4_1 "dout_r4[1]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r4_2 "dout_r4[2]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r4_3 "dout_r4[3]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r4_4 "dout_r4[4]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r4_5 "dout_r4[5]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r4_6 "dout_r4[6]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r4_7 "dout_r4[7]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r4_8 "dout_r4[8]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r4_9 "dout_r4[9]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r4_10 "dout_r4[10]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r4_11 "dout_r4[11]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r4_12 "dout_r4[12]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance sum_0_1_cry_15_RNO (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h9")) + ) + (instance sum_0_1_axb_13 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h9")) + ) + (instance sum_0_1_axb_12 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h9")) + ) + (instance sum_0_1_axb_8 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h9")) + ) + (instance sum_0_1_axb_7 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h9")) + ) + (instance sum_0_1_axb_6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h9")) + ) + (instance sum_0_1_axb_5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h9")) + ) + (instance sum_0_1_axb_3 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h9")) + ) + (instance sum_0_1_axb_2 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h9")) + ) + (instance sum_0_1_axb_1 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h9")) + ) + (instance sum_0_1_axb_0 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h9")) + ) + (instance un4_dout_r7_4_cry_3 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + (property xcn_c4chain_base (integer 1)) + ) + (instance un4_dout_r7_4_cry_7 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un4_dout_r7_4_cry_11 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un4_dout_r7_4_cry_13_outext (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un2_dout_r2_cry_3 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + (property xcn_c4chain_base (integer 1)) + ) + (instance un2_dout_r2_cry_7 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un2_dout_r2_cry_11 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un2_dout_r2_s_15 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un2_dout_r1_cry_3 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + (property xcn_c4chain_base (integer 1)) + ) + (instance un2_dout_r1_cry_7 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un2_dout_r1_cry_11 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un2_dout_r1_s_15 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un2_dout_r4_cry_3 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + (property xcn_c4chain_base (integer 1)) + ) + (instance un2_dout_r4_cry_7 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un2_dout_r4_cry_11 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un2_dout_r4_s_15 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance sum_0_1_cry_3 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + (property xcn_c4chain_base (integer 1)) + ) + (instance sum_0_1_cry_7 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance sum_0_1_cry_11 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance sum_0_1_cry_15 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un4_dout_r7_cry_3 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + (property xcn_c4chain_base (integer 1)) + ) + (instance un4_dout_r7_cry_7 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un4_dout_r7_cry_11 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un4_dout_r7_s_15 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un4_dout_r7_5_cry_3 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + (property xcn_c4chain_base (integer 1)) + ) + (instance un4_dout_r7_5_cry_7 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un4_dout_r7_5_cry_11 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un4_dout_r7_5_s_15 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un3_dout_r6_0_cry_3 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + (property xcn_c4chain_base (integer 1)) + ) + (instance un3_dout_r6_0_cry_7 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un3_dout_r6_0_cry_11 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un3_dout_r6_0_s_15 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un3_dout_r5_0_cry_3 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + (property xcn_c4chain_base (integer 1)) + ) + (instance un3_dout_r5_0_cry_7 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un3_dout_r5_0_cry_11 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un3_dout_r5_0_s_15 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un3_dout_r3_0_cry_3 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + (property xcn_c4chain_base (integer 1)) + ) + (instance un3_dout_r3_0_cry_7 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un3_dout_r3_0_cry_11 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un3_dout_r3_0_s_15 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance VCC (viewRef PRIM (cellRef VCC (libraryRef UNILIB))) ) + (instance GND (viewRef PRIM (cellRef GND (libraryRef UNILIB))) ) + (instance un3_dout_r3_0_axb_1_lut6_2_o6 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h96")) + (property HLUTNM (string "z_dsp_lutnm000361")) + ) + (instance un3_dout_r3_0_axb_1_lut6_2_o5 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + (property HLUTNM (string "z_dsp_lutnm000361")) + ) + (instance un3_dout_r5_0_axb_1_lut6_2_o6 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h96")) + (property HLUTNM (string "z_dsp_lutnm000362")) + ) + (instance un3_dout_r5_0_axb_1_lut6_2_o5 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + (property HLUTNM (string "z_dsp_lutnm000362")) + ) + (instance un3_dout_r6_0_axb_1_lut6_2_o6 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h96")) + (property HLUTNM (string "z_dsp_lutnm000363")) + ) + (instance un3_dout_r6_0_axb_1_lut6_2_o5 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + (property HLUTNM (string "z_dsp_lutnm000363")) + ) + (instance un3_dout_r5_0_axb_0_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000364")) + ) + (instance un3_dout_r5_0_axb_0_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000364")) + ) + (instance un3_dout_r6_0_axb_0_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000365")) + ) + (instance un3_dout_r6_0_axb_0_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000365")) + ) + (instance un2_dout_r4_axb_14_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000366")) + ) + (instance un2_dout_r4_axb_14_lut6_2_o5 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h566A")) + (property HLUTNM (string "z_dsp_lutnm000366")) + ) + (instance un2_dout_r4_axb_15_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000367")) + ) + (instance un2_dout_r4_axb_15_lut6_2_o5 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h566A")) + (property HLUTNM (string "z_dsp_lutnm000367")) + ) + (instance un2_dout_r1_axb_12_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000368")) + ) + (instance un2_dout_r1_axb_12_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000368")) + ) + (instance un2_dout_r1_axb_14_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000369")) + ) + (instance un2_dout_r1_axb_14_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000369")) + ) + (instance un2_dout_r1_axb_15_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000370")) + ) + (instance un2_dout_r1_axb_15_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000370")) + ) + (instance un2_dout_r2_axb_0_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000371")) + ) + (instance un2_dout_r2_axb_0_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000371")) + ) + (instance un2_dout_r2_axb_1_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000372")) + ) + (instance un2_dout_r2_axb_1_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000372")) + ) + (instance un2_dout_r2_axb_2_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000373")) + ) + (instance un2_dout_r2_axb_2_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000373")) + ) + (instance un2_dout_r2_axb_3_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000374")) + ) + (instance un2_dout_r2_axb_3_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000374")) + ) + (instance un2_dout_r2_axb_4_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000375")) + ) + (instance un2_dout_r2_axb_4_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000375")) + ) + (instance un2_dout_r2_axb_7_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000376")) + ) + (instance un2_dout_r2_axb_7_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000376")) + ) + (instance un2_dout_r2_axb_15_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000377")) + ) + (instance un2_dout_r2_axb_15_lut6_2_o5 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h69")) + (property HLUTNM (string "z_dsp_lutnm000377")) + ) + (instance un3_dout_r6_0_axb_6_lut6_2_o6 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h3693C96C")) + (property HLUTNM (string "z_dsp_lutnm000378")) + ) + (instance un3_dout_r6_0_axb_6_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000378")) + ) + (instance un3_dout_r6_0_axb_13_lut6_2_o6 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h3C69963C")) + (property HLUTNM (string "z_dsp_lutnm000379")) + ) + (instance un3_dout_r6_0_axb_13_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000379")) + ) + (instance un3_dout_r6_0_axb_10_lut6_2_o6 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h5695A96A")) + (property HLUTNM (string "z_dsp_lutnm000380")) + ) + (instance un3_dout_r6_0_axb_10_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000380")) + ) + (instance un3_dout_r3_0_axb_7_lut6_2_o6 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h56A9956A")) + (property HLUTNM (string "z_dsp_lutnm000381")) + ) + (instance un3_dout_r3_0_axb_7_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000381")) + ) + (instance sum_0_1_axb_14_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h9")) + (property HLUTNM (string "z_dsp_lutnm000382")) + ) + (instance sum_0_1_axb_14_lut6_2_o5 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h566A")) + (property HLUTNM (string "z_dsp_lutnm000382")) + ) + (instance sum_0_1_axb_11_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h9")) + (property HLUTNM (string "z_dsp_lutnm000383")) + ) + (instance sum_0_1_axb_11_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000383")) + ) + (instance sum_0_1_axb_10_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h9")) + (property HLUTNM (string "z_dsp_lutnm000384")) + ) + (instance sum_0_1_axb_10_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000384")) + ) + (instance sum_0_1_axb_9_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h9")) + (property HLUTNM (string "z_dsp_lutnm000385")) + ) + (instance sum_0_1_axb_9_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000385")) + ) + (instance un4_dout_r7_4_axb_13_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000386")) + ) + (instance un4_dout_r7_4_axb_13_lut6_2_o5 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h36C9936C")) + (property HLUTNM (string "z_dsp_lutnm000386")) + ) + (instance un4_dout_r7_4_axb_12_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000387")) + ) + (instance un4_dout_r7_4_axb_12_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000387")) + ) + (instance un4_dout_r7_4_axb_11_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000388")) + ) + (instance un4_dout_r7_4_axb_11_lut6_2_o5 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h3C96693C")) + (property HLUTNM (string "z_dsp_lutnm000388")) + ) + (instance un4_dout_r7_4_axb_10_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000389")) + ) + (instance un4_dout_r7_4_axb_10_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000389")) + ) + (instance un4_dout_r7_4_axb_9_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000390")) + ) + (instance un4_dout_r7_4_axb_9_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000390")) + ) + (instance un4_dout_r7_4_axb_8_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000391")) + ) + (instance un4_dout_r7_4_axb_8_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000391")) + ) + (instance un4_dout_r7_4_axb_7_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000392")) + ) + (instance un4_dout_r7_4_axb_7_lut6_2_o5 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h5A96695A")) + (property HLUTNM (string "z_dsp_lutnm000392")) + ) + (instance un4_dout_r7_4_axb_6_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000393")) + ) + (instance un4_dout_r7_4_axb_6_lut6_2_o5 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h5695A96A")) + (property HLUTNM (string "z_dsp_lutnm000393")) + ) + (instance un4_dout_r7_4_axb_5_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000394")) + ) + (instance un4_dout_r7_4_axb_5_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000394")) + ) + (instance un4_dout_r7_4_axb_4_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000395")) + ) + (instance un4_dout_r7_4_axb_4_lut6_2_o5 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h5695A96A")) + (property HLUTNM (string "z_dsp_lutnm000395")) + ) + (instance un4_dout_r7_4_axb_3_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000396")) + ) + (instance un4_dout_r7_4_axb_3_lut6_2_o5 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h5A96695A")) + (property HLUTNM (string "z_dsp_lutnm000396")) + ) + (instance un4_dout_r7_4_axb_2_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000397")) + ) + (instance un4_dout_r7_4_axb_2_lut6_2_o5 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h5695A96A")) + (property HLUTNM (string "z_dsp_lutnm000397")) + ) + (instance un4_dout_r7_4_axb_1_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000398")) + ) + (instance un4_dout_r7_4_axb_1_lut6_2_o5 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h5695A96A")) + (property HLUTNM (string "z_dsp_lutnm000398")) + ) + (instance un4_dout_r7_4_axb_0_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000399")) + ) + (instance un4_dout_r7_4_axb_0_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000399")) + ) + (instance un4_dout_r7_5_axb_14_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000400")) + ) + (instance un4_dout_r7_5_axb_14_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000400")) + ) + (instance un4_dout_r7_5_axb_13_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000401")) + ) + (instance un4_dout_r7_5_axb_13_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000401")) + ) + (instance un4_dout_r7_5_axb_12_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000402")) + ) + (instance un4_dout_r7_5_axb_12_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000402")) + ) + (instance un4_dout_r7_5_axb_11_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000403")) + ) + (instance un4_dout_r7_5_axb_11_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000403")) + ) + (instance un4_dout_r7_5_axb_10_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000404")) + ) + (instance un4_dout_r7_5_axb_10_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000404")) + ) + (instance un4_dout_r7_5_axb_9_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000405")) + ) + (instance un4_dout_r7_5_axb_9_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000405")) + ) + (instance un4_dout_r7_5_axb_8_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000406")) + ) + (instance un4_dout_r7_5_axb_8_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000406")) + ) + (instance un4_dout_r7_5_axb_7_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000407")) + ) + (instance un4_dout_r7_5_axb_7_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000407")) + ) + (instance un4_dout_r7_5_axb_6_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000408")) + ) + (instance un4_dout_r7_5_axb_6_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000408")) + ) + (instance un4_dout_r7_5_axb_5_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000409")) + ) + (instance un4_dout_r7_5_axb_5_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000409")) + ) + (instance un4_dout_r7_5_axb_4_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000410")) + ) + (instance un4_dout_r7_5_axb_4_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h9")) + (property HLUTNM (string "z_dsp_lutnm000410")) + ) + (instance un4_dout_r7_5_axb_3_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000411")) + ) + (instance un4_dout_r7_5_axb_3_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000411")) + ) + (instance un4_dout_r7_5_axb_2_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000412")) + ) + (instance un4_dout_r7_5_axb_2_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000412")) + ) + (instance un4_dout_r7_5_axb_1_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000413")) + ) + (instance un4_dout_r7_5_axb_1_lut6_2_o5 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h6996")) + (property HLUTNM (string "z_dsp_lutnm000413")) + ) + (net (rename VCCZ0 "VCC") (joined + (portRef P (instanceRef VCC)) + (portRef CYINIT (instanceRef sum_0_1_cry_3)) + (portRef I0 (instanceRef un4_dout_r7_4_cry_13_outextlut)) + )) + (net (rename un4_dout_r7_4_1_14 "un4_dout_r7_4_1[14]") (joined + (portRef O (instanceRef un4_dout_r7_4_cry_13_outextlut)) + (portRef (member S 1) (instanceRef un4_dout_r7_4_cry_13_outext)) + )) + (net din_r1_13_0 (joined + (portRef Q (instanceRef din_r1_1)) + (portRef I0 (instanceRef un4_dout_r7_5_axb_1_lut6_2_o6)) + (portRef I0 (instanceRef un4_dout_r7_5_axb_3_lut6_2_o5)) + (portRef I1 (instanceRef un4_dout_r7_4_axb_1_lut6_2_o5)) + (portRef I1 (instanceRef un4_dout_r7_4_axb_2_lut6_2_o5)) + (portRef I0 (instanceRef un2_dout_r2_axb_1_lut6_2_o6)) + (portRef I0 (instanceRef un3_dout_r6_0_axb_1_lut6_2_o5)) + (portRef I0 (instanceRef un3_dout_r6_0_axb_1_lut6_2_o6)) + (portRef I0 (instanceRef un3_dout_r5_0_axb_1_lut6_2_o5)) + (portRef I0 (instanceRef un3_dout_r5_0_axb_1_lut6_2_o6)) + (portRef I0 (instanceRef un3_dout_r3_0_axb_1_lut6_2_o5)) + (portRef I0 (instanceRef un3_dout_r3_0_axb_1_lut6_2_o6)) + (portRef (member DI 2) (instanceRef un4_dout_r7_5_cry_3)) + (portRef (member DI 2) (instanceRef un2_dout_r1_cry_3)) + (portRef (member DI 2) (instanceRef un2_dout_r2_cry_3)) + (portRef I0 (instanceRef sum_0_1_axb_1)) + (portRef D (instanceRef dout_r0_1)) + (portRef I0 (instanceRef un3_dout_r5_0_axb_2)) + (portRef I0 (instanceRef un2_dout_r4_axb_1)) + )) + (net din_r1_14_0 (joined + (portRef Q (instanceRef din_r1_0)) + (portRef I0 (instanceRef un4_dout_r7_5_axb_1_lut6_2_o5)) + (portRef I0 (instanceRef un4_dout_r7_4_axb_0_lut6_2_o5)) + (portRef I0 (instanceRef un2_dout_r2_axb_0_lut6_2_o5)) + (portRef I0 (instanceRef un2_dout_r2_axb_0_lut6_2_o6)) + (portRef I0 (instanceRef un3_dout_r6_0_axb_0_lut6_2_o5)) + (portRef CYINIT (instanceRef un3_dout_r3_0_cry_3)) + (portRef CYINIT (instanceRef un3_dout_r5_0_cry_3)) + (portRef CYINIT (instanceRef un3_dout_r6_0_cry_3)) + (portRef (member DI 3) (instanceRef un2_dout_r1_cry_3)) + (portRef (member DI 3) (instanceRef un2_dout_r2_cry_3)) + (portRef I0 (instanceRef sum_0_1_axb_0)) + (portRef D (instanceRef dout_r0_0)) + )) + (net (rename sum_0_1_1 "sum_0_1[1]") (joined + (portRef (member O 2) (instanceRef sum_0_1_cry_3)) + (portRef I1 (instanceRef un4_dout_r7_5_axb_1_lut6_2_o5)) + (portRef I1 (instanceRef un2_dout_r2_axb_0_lut6_2_o5)) + (portRef I1 (instanceRef un3_dout_r6_0_axb_0_lut6_2_o5)) + (portRef I1 (instanceRef un3_dout_r6_0_axb_0_lut6_2_o6)) + (portRef I0 (instanceRef un3_dout_r5_0_axb_0_lut6_2_o6)) + (portRef (member DI 3) (instanceRef un3_dout_r5_0_cry_3)) + (portRef (member DI 3) (instanceRef un3_dout_r6_0_cry_3)) + (portRef (member DI 3) (instanceRef un4_dout_r7_5_cry_3)) + (portRef (member DI 3) (instanceRef un2_dout_r4_cry_3)) + )) + (net (rename sum_0_1_2 "sum_0_1[2]") (joined + (portRef (member O 1) (instanceRef sum_0_1_cry_3)) + (portRef I2 (instanceRef un4_dout_r7_5_axb_1_lut6_2_o5)) + (portRef I1 (instanceRef un4_dout_r7_5_axb_1_lut6_2_o6)) + (portRef I0 (instanceRef un4_dout_r7_4_axb_0_lut6_2_o6)) + (portRef I2 (instanceRef un4_dout_r7_4_axb_1_lut6_2_o5)) + (portRef I1 (instanceRef un2_dout_r2_axb_0_lut6_2_o6)) + (portRef I0 (instanceRef un3_dout_r6_0_axb_0_lut6_2_o6)) + (portRef I0 (instanceRef un3_dout_r5_0_axb_0_lut6_2_o5)) + (portRef I1 (instanceRef un3_dout_r6_0_axb_1_lut6_2_o5)) + (portRef I1 (instanceRef un3_dout_r6_0_axb_1_lut6_2_o6)) + (portRef I1 (instanceRef un3_dout_r5_0_axb_1_lut6_2_o5)) + (portRef I1 (instanceRef un3_dout_r5_0_axb_1_lut6_2_o6)) + (portRef (member DI 3) (instanceRef un3_dout_r3_0_cry_3)) + (portRef (member DI 2) (instanceRef un2_dout_r4_cry_3)) + (portRef (member DI 3) (instanceRef un4_dout_r7_4_cry_3)) + (portRef I2 (instanceRef un3_dout_r5_0_axb_2)) + (portRef I1 (instanceRef un2_dout_r4_axb_1)) + )) + (net (rename sum_0_1_3 "sum_0_1[3]") (joined + (portRef (member O 0) (instanceRef sum_0_1_cry_3)) + (portRef I3 (instanceRef un4_dout_r7_5_axb_1_lut6_2_o5)) + (portRef I1 (instanceRef un4_dout_r7_5_axb_2_lut6_2_o6)) + (portRef I1 (instanceRef un4_dout_r7_4_axb_0_lut6_2_o5)) + (portRef I1 (instanceRef un4_dout_r7_4_axb_0_lut6_2_o6)) + (portRef I3 (instanceRef un4_dout_r7_4_axb_1_lut6_2_o5)) + (portRef I0 (instanceRef un4_dout_r7_4_axb_1_lut6_2_o6)) + (portRef I2 (instanceRef un4_dout_r7_4_axb_2_lut6_2_o5)) + (portRef I1 (instanceRef un2_dout_r2_axb_1_lut6_2_o5)) + (portRef I1 (instanceRef un2_dout_r2_axb_1_lut6_2_o6)) + (portRef I1 (instanceRef un3_dout_r5_0_axb_0_lut6_2_o5)) + (portRef I1 (instanceRef un3_dout_r5_0_axb_0_lut6_2_o6)) + (portRef I2 (instanceRef un3_dout_r6_0_axb_1_lut6_2_o5)) + (portRef I2 (instanceRef un3_dout_r6_0_axb_1_lut6_2_o6)) + (portRef I1 (instanceRef un3_dout_r3_0_axb_1_lut6_2_o5)) + (portRef I1 (instanceRef un3_dout_r3_0_axb_1_lut6_2_o6)) + (portRef (member DI 1) (instanceRef un2_dout_r4_cry_3)) + (portRef (member DI 2) (instanceRef un4_dout_r7_4_cry_3)) + (portRef I2 (instanceRef un3_dout_r5_0_axb_3)) + (portRef I3 (instanceRef un3_dout_r5_0_axb_2)) + (portRef I2 (instanceRef un3_dout_r6_0_axb_3)) + (portRef I1 (instanceRef un3_dout_r5_0_o5_2)) + (portRef I1 (instanceRef un3_dout_r6_0_o5_2)) + )) + (net un4_dout_r7_5_axb_1 (joined + (portRef O (instanceRef un4_dout_r7_5_axb_1_lut6_2_o6)) + (portRef (member S 2) (instanceRef un4_dout_r7_5_cry_3)) + )) + (net un4_dout_r7_8 (joined + (portRef O (instanceRef un4_dout_r7_5_axb_1_lut6_2_o5)) + (portRef (member S 3) (instanceRef un4_dout_r7_cry_3)) + (portRef D (instanceRef dout_r7_0)) + )) + (net din_r1_12_0 (joined + (portRef Q (instanceRef din_r1_2)) + (portRef I0 (instanceRef un4_dout_r7_5_axb_2_lut6_2_o5)) + (portRef I0 (instanceRef un4_dout_r7_5_axb_2_lut6_2_o6)) + (portRef I0 (instanceRef un4_dout_r7_4_axb_1_lut6_2_o5)) + (portRef I0 (instanceRef un4_dout_r7_4_axb_2_lut6_2_o5)) + (portRef I0 (instanceRef un2_dout_r2_axb_2_lut6_2_o6)) + (portRef I0 (instanceRef un2_dout_r2_axb_1_lut6_2_o5)) + (portRef (member DI 1) (instanceRef un4_dout_r7_5_cry_3)) + (portRef (member DI 1) (instanceRef un2_dout_r1_cry_3)) + (portRef (member DI 1) (instanceRef un2_dout_r2_cry_3)) + (portRef I0 (instanceRef sum_0_1_axb_2)) + (portRef D (instanceRef dout_r0_2)) + (portRef I1 (instanceRef un3_dout_r5_0_axb_3)) + (portRef I1 (instanceRef un3_dout_r5_0_axb_2)) + (portRef I0 (instanceRef un3_dout_r6_0_axb_3)) + (portRef I1 (instanceRef un3_dout_r3_0_axb_3)) + (portRef I0 (instanceRef un3_dout_r3_0_o5_2)) + (portRef I0 (instanceRef un3_dout_r5_0_o5_2)) + (portRef I0 (instanceRef un3_dout_r6_0_o5_2)) + )) + (net (rename sum_0_1_5 "sum_0_1[5]") (joined + (portRef (member O 2) (instanceRef sum_0_1_cry_7)) + (portRef I1 (instanceRef un4_dout_r7_5_axb_2_lut6_2_o5)) + (portRef I1 (instanceRef un4_dout_r7_5_axb_4_lut6_2_o6)) + (portRef I4 (instanceRef un4_dout_r7_4_axb_2_lut6_2_o5)) + (portRef I1 (instanceRef un4_dout_r7_4_axb_2_lut6_2_o6)) + (portRef I4 (instanceRef un4_dout_r7_4_axb_3_lut6_2_o5)) + (portRef I1 (instanceRef un4_dout_r7_4_axb_3_lut6_2_o6)) + (portRef I2 (instanceRef un4_dout_r7_4_axb_4_lut6_2_o5)) + (portRef I1 (instanceRef un2_dout_r2_axb_3_lut6_2_o5)) + (portRef I1 (instanceRef un2_dout_r2_axb_3_lut6_2_o6)) + (portRef (member DI 3) (instanceRef un2_dout_r4_cry_7)) + (portRef (member DI 0) (instanceRef un4_dout_r7_4_cry_3)) + (portRef I5 (instanceRef un3_dout_r5_0_axb_3)) + (portRef I5 (instanceRef un3_dout_r5_0_axb_4)) + (portRef I4 (instanceRef un3_dout_r5_0_axb_5)) + (portRef I5 (instanceRef un3_dout_r5_0_axb_2)) + (portRef I4 (instanceRef un3_dout_r6_0_axb_3)) + (portRef I4 (instanceRef un3_dout_r3_0_axb_3)) + (portRef I4 (instanceRef un3_dout_r6_0_axb_4)) + (portRef I2 (instanceRef un3_dout_r3_0_o5_3)) + (portRef I2 (instanceRef un3_dout_r3_0_o5_2)) + (portRef I2 (instanceRef un3_dout_r5_0_o5_4)) + (portRef I2 (instanceRef un3_dout_r5_0_o5_2)) + (portRef I2 (instanceRef un3_dout_r6_0_o5_4)) + (portRef I2 (instanceRef un3_dout_r6_0_o5_3)) + )) + (net (rename GNDZ0 "GND") (joined + (portRef G (instanceRef GND)) + (portRef (member DI 0) (instanceRef un3_dout_r3_0_s_15)) + (portRef CYINIT (instanceRef un3_dout_r3_0_s_15)) + (portRef CYINIT (instanceRef un3_dout_r3_0_cry_11)) + (portRef CYINIT (instanceRef un3_dout_r3_0_cry_7)) + (portRef (member DI 2) (instanceRef un3_dout_r3_0_cry_3)) + (portRef CI (instanceRef un3_dout_r3_0_cry_3)) + (portRef (member DI 0) (instanceRef un3_dout_r5_0_s_15)) + (portRef CYINIT (instanceRef un3_dout_r5_0_s_15)) + (portRef CYINIT (instanceRef un3_dout_r5_0_cry_11)) + (portRef CYINIT (instanceRef un3_dout_r5_0_cry_7)) + (portRef (member DI 2) (instanceRef un3_dout_r5_0_cry_3)) + (portRef CI (instanceRef un3_dout_r5_0_cry_3)) + (portRef (member DI 0) (instanceRef un3_dout_r6_0_s_15)) + (portRef CYINIT (instanceRef un3_dout_r6_0_s_15)) + (portRef CYINIT (instanceRef un3_dout_r6_0_cry_11)) + (portRef CYINIT (instanceRef un3_dout_r6_0_cry_7)) + (portRef (member DI 2) (instanceRef un3_dout_r6_0_cry_3)) + (portRef CI (instanceRef un3_dout_r6_0_cry_3)) + (portRef (member DI 0) (instanceRef un4_dout_r7_5_s_15)) + (portRef CYINIT (instanceRef un4_dout_r7_5_s_15)) + (portRef CYINIT (instanceRef un4_dout_r7_5_cry_11)) + (portRef CYINIT (instanceRef un4_dout_r7_5_cry_7)) + (portRef CYINIT (instanceRef un4_dout_r7_5_cry_3)) + (portRef CI (instanceRef un4_dout_r7_5_cry_3)) + (portRef (member DI 0) (instanceRef un4_dout_r7_s_15)) + (portRef CYINIT (instanceRef un4_dout_r7_s_15)) + (portRef CYINIT (instanceRef un4_dout_r7_cry_11)) + (portRef CYINIT (instanceRef un4_dout_r7_cry_7)) + (portRef CYINIT (instanceRef un4_dout_r7_cry_3)) + (portRef CI (instanceRef un4_dout_r7_cry_3)) + (portRef CYINIT (instanceRef sum_0_1_cry_15)) + (portRef CYINIT (instanceRef sum_0_1_cry_11)) + (portRef CYINIT (instanceRef sum_0_1_cry_7)) + (portRef CI (instanceRef sum_0_1_cry_3)) + (portRef (member DI 0) (instanceRef un2_dout_r4_s_15)) + (portRef CYINIT (instanceRef un2_dout_r4_s_15)) + (portRef CYINIT (instanceRef un2_dout_r4_cry_11)) + (portRef CYINIT (instanceRef un2_dout_r4_cry_7)) + (portRef CYINIT (instanceRef un2_dout_r4_cry_3)) + (portRef CI (instanceRef un2_dout_r4_cry_3)) + (portRef (member DI 0) (instanceRef un2_dout_r1_s_15)) + (portRef CYINIT (instanceRef un2_dout_r1_s_15)) + (portRef CYINIT (instanceRef un2_dout_r1_cry_11)) + (portRef CYINIT (instanceRef un2_dout_r1_cry_7)) + (portRef CYINIT (instanceRef un2_dout_r1_cry_3)) + (portRef CI (instanceRef un2_dout_r1_cry_3)) + (portRef (member DI 0) (instanceRef un2_dout_r2_s_15)) + (portRef CYINIT (instanceRef un2_dout_r2_s_15)) + (portRef CYINIT (instanceRef un2_dout_r2_cry_11)) + (portRef CYINIT (instanceRef un2_dout_r2_cry_7)) + (portRef CYINIT (instanceRef un2_dout_r2_cry_3)) + (portRef CI (instanceRef un2_dout_r2_cry_3)) + (portRef (member S 0) (instanceRef un4_dout_r7_4_cry_13_outext)) + (portRef (member DI 0) (instanceRef un4_dout_r7_4_cry_13_outext)) + (portRef (member DI 1) (instanceRef un4_dout_r7_4_cry_13_outext)) + (portRef CYINIT (instanceRef un4_dout_r7_4_cry_13_outext)) + (portRef CYINIT (instanceRef un4_dout_r7_4_cry_11)) + (portRef CYINIT (instanceRef un4_dout_r7_4_cry_7)) + (portRef CYINIT (instanceRef un4_dout_r7_4_cry_3)) + (portRef CI (instanceRef un4_dout_r7_4_cry_3)) + )) + (net un4_dout_r7_5_axb_2 (joined + (portRef O (instanceRef un4_dout_r7_5_axb_2_lut6_2_o6)) + (portRef (member S 1) (instanceRef un4_dout_r7_5_cry_3)) + )) + (net un2_dout_r1_axb_2 (joined + (portRef O (instanceRef un4_dout_r7_5_axb_2_lut6_2_o5)) + (portRef (member S 1) (instanceRef un2_dout_r1_cry_3)) + )) + (net din_r1_11_0 (joined + (portRef Q (instanceRef din_r1_3)) + (portRef I0 (instanceRef un4_dout_r7_5_axb_3_lut6_2_o6)) + (portRef I1 (instanceRef un4_dout_r7_4_axb_3_lut6_2_o5)) + (portRef I0 (instanceRef un2_dout_r2_axb_3_lut6_2_o6)) + (portRef I0 (instanceRef un2_dout_r2_axb_2_lut6_2_o5)) + (portRef (member DI 0) (instanceRef un4_dout_r7_5_cry_3)) + (portRef (member DI 0) (instanceRef un2_dout_r1_cry_3)) + (portRef (member DI 0) (instanceRef un2_dout_r2_cry_3)) + (portRef I0 (instanceRef sum_0_1_axb_3)) + (portRef D (instanceRef dout_r0_3)) + (portRef I0 (instanceRef un3_dout_r5_0_axb_3)) + (portRef I1 (instanceRef un3_dout_r5_0_axb_4)) + (portRef I1 (instanceRef un3_dout_r6_0_axb_3)) + (portRef I0 (instanceRef un3_dout_r3_0_axb_3)) + (portRef I1 (instanceRef un3_dout_r6_0_axb_4)) + (portRef I0 (instanceRef un2_dout_r1_axb_3)) + (portRef I0 (instanceRef un3_dout_r3_0_o5_3)) + (portRef I0 (instanceRef un3_dout_r5_0_o5_3)) + (portRef I0 (instanceRef un3_dout_r6_0_o5_3)) + )) + (net (rename sum_0_1_4 "sum_0_1[4]") (joined + (portRef (member O 3) (instanceRef sum_0_1_cry_7)) + (portRef I1 (instanceRef un4_dout_r7_5_axb_3_lut6_2_o5)) + (portRef I1 (instanceRef un4_dout_r7_5_axb_3_lut6_2_o6)) + (portRef I4 (instanceRef un4_dout_r7_4_axb_1_lut6_2_o5)) + (portRef I1 (instanceRef un4_dout_r7_4_axb_1_lut6_2_o6)) + (portRef I3 (instanceRef un4_dout_r7_4_axb_2_lut6_2_o5)) + (portRef I0 (instanceRef un4_dout_r7_4_axb_2_lut6_2_o6)) + (portRef I1 (instanceRef un2_dout_r2_axb_2_lut6_2_o5)) + (portRef I1 (instanceRef un2_dout_r2_axb_2_lut6_2_o6)) + (portRef I2 (instanceRef un3_dout_r5_0_axb_1_lut6_2_o5)) + (portRef I2 (instanceRef un3_dout_r5_0_axb_1_lut6_2_o6)) + (portRef I2 (instanceRef un3_dout_r3_0_axb_1_lut6_2_o5)) + (portRef I2 (instanceRef un3_dout_r3_0_axb_1_lut6_2_o6)) + (portRef (member DI 0) (instanceRef un2_dout_r4_cry_3)) + (portRef (member DI 1) (instanceRef un4_dout_r7_4_cry_3)) + (portRef I3 (instanceRef un3_dout_r5_0_axb_3)) + (portRef I2 (instanceRef un3_dout_r5_0_axb_4)) + (portRef I4 (instanceRef un3_dout_r5_0_axb_2)) + (portRef I3 (instanceRef un3_dout_r6_0_axb_3)) + (portRef I2 (instanceRef un3_dout_r3_0_axb_3)) + (portRef I2 (instanceRef un3_dout_r6_0_axb_4)) + (portRef I1 (instanceRef un3_dout_r3_0_o5_2)) + (portRef I1 (instanceRef un3_dout_r5_0_o5_3)) + (portRef I1 (instanceRef un3_dout_r6_0_o5_3)) + (portRef I2 (instanceRef un3_dout_r6_0_o5_2)) + )) + (net un4_dout_r7_5_axb_3 (joined + (portRef O (instanceRef un4_dout_r7_5_axb_3_lut6_2_o6)) + (portRef (member S 0) (instanceRef un4_dout_r7_5_cry_3)) + )) + (net un2_dout_r1_axb_1 (joined + (portRef O (instanceRef un4_dout_r7_5_axb_3_lut6_2_o5)) + (portRef (member S 2) (instanceRef un2_dout_r1_cry_3)) + )) + (net din_r1_10_0 (joined + (portRef Q (instanceRef din_r1_4)) + (portRef I0 (instanceRef un4_dout_r7_5_axb_4_lut6_2_o5)) + (portRef I0 (instanceRef un4_dout_r7_5_axb_4_lut6_2_o6)) + (portRef I0 (instanceRef un4_dout_r7_4_axb_3_lut6_2_o5)) + (portRef I1 (instanceRef un4_dout_r7_4_axb_4_lut6_2_o5)) + (portRef I0 (instanceRef un4_dout_r7_4_axb_5_lut6_2_o5)) + (portRef I0 (instanceRef un2_dout_r2_axb_4_lut6_2_o6)) + (portRef I0 (instanceRef un2_dout_r2_axb_3_lut6_2_o5)) + (portRef (member DI 3) (instanceRef un4_dout_r7_5_cry_7)) + (portRef (member DI 3) (instanceRef un2_dout_r1_cry_7)) + (portRef (member DI 3) (instanceRef un2_dout_r2_cry_7)) + (portRef D (instanceRef dout_r0_4)) + (portRef I0 (instanceRef un3_dout_r5_0_axb_4)) + (portRef I1 (instanceRef un3_dout_r5_0_axb_5)) + (portRef I1 (instanceRef un3_dout_r3_0_axb_5)) + (portRef I0 (instanceRef un3_dout_r6_0_axb_4)) + (portRef I0 (instanceRef un3_dout_r3_0_o5_4)) + (portRef I0 (instanceRef un3_dout_r5_0_o5_4)) + (portRef I0 (instanceRef un3_dout_r6_0_o5_4)) + )) + (net (rename iir_out_10 "IIR_out_10") (joined + (portRef IIR_out_10) + (portRef I1 (instanceRef un4_dout_r7_5_axb_4_lut6_2_o5)) + (portRef (member DI 3) (instanceRef sum_0_1_cry_7)) + (portRef D (instanceRef din_r1_4)) + )) + (net un4_dout_r7_5_axb_4 (joined + (portRef O (instanceRef un4_dout_r7_5_axb_4_lut6_2_o6)) + (portRef (member S 3) (instanceRef un4_dout_r7_5_cry_7)) + )) + (net sum_0_1_axb_4 (joined + (portRef O (instanceRef un4_dout_r7_5_axb_4_lut6_2_o5)) + (portRef (member S 3) (instanceRef sum_0_1_cry_7)) + )) + (net din_r1_9_0 (joined + (portRef Q (instanceRef din_r1_5)) + (portRef I0 (instanceRef un4_dout_r7_5_axb_5_lut6_2_o5)) + (portRef I0 (instanceRef un4_dout_r7_5_axb_5_lut6_2_o6)) + (portRef I0 (instanceRef un4_dout_r7_5_axb_7_lut6_2_o5)) + (portRef I0 (instanceRef un4_dout_r7_4_axb_4_lut6_2_o5)) + (portRef I1 (instanceRef un4_dout_r7_4_axb_6_lut6_2_o5)) + (portRef I0 (instanceRef un3_dout_r6_0_axb_6_lut6_2_o6)) + (portRef I0 (instanceRef un2_dout_r2_axb_4_lut6_2_o5)) + (portRef (member DI 2) (instanceRef un4_dout_r7_5_cry_7)) + (portRef (member DI 2) (instanceRef un2_dout_r1_cry_7)) + (portRef (member DI 2) (instanceRef un2_dout_r2_cry_7)) + (portRef I0 (instanceRef sum_0_1_axb_5)) + (portRef D (instanceRef dout_r0_5)) + (portRef I0 (instanceRef un3_dout_r5_0_axb_5)) + (portRef I1 (instanceRef un3_dout_r5_0_axb_6)) + (portRef I0 (instanceRef un3_dout_r3_0_axb_5)) + (portRef I0 (instanceRef un3_dout_r3_0_o5_5)) + (portRef I0 (instanceRef un3_dout_r5_0_o5_5)) + (portRef I0 (instanceRef un3_dout_r6_0_o5_5)) + )) + (net (rename sum_0_1_6 "sum_0_1[6]") (joined + (portRef (member O 1) (instanceRef sum_0_1_cry_7)) + (portRef I1 (instanceRef un4_dout_r7_5_axb_5_lut6_2_o6)) + (portRef I3 (instanceRef un4_dout_r7_4_axb_3_lut6_2_o5)) + (portRef I0 (instanceRef un4_dout_r7_4_axb_3_lut6_2_o6)) + (portRef I3 (instanceRef un4_dout_r7_4_axb_4_lut6_2_o5)) + (portRef I0 (instanceRef un4_dout_r7_4_axb_4_lut6_2_o6)) + (portRef I2 (instanceRef un3_dout_r6_0_axb_6_lut6_2_o6)) + (portRef I1 (instanceRef un2_dout_r2_axb_4_lut6_2_o5)) + (portRef I1 (instanceRef un2_dout_r2_axb_4_lut6_2_o6)) + (portRef (member DI 2) (instanceRef un2_dout_r4_cry_7)) + (portRef (member DI 3) (instanceRef un4_dout_r7_4_cry_7)) + (portRef I4 (instanceRef un3_dout_r5_0_axb_3)) + (portRef I3 (instanceRef un3_dout_r5_0_axb_4)) + (portRef I2 (instanceRef un3_dout_r5_0_axb_5)) + (portRef I2 (instanceRef un3_dout_r5_0_axb_6)) + (portRef I3 (instanceRef un3_dout_r3_0_axb_3)) + (portRef I2 (instanceRef un3_dout_r3_0_axb_5)) + (portRef I3 (instanceRef un3_dout_r6_0_axb_4)) + (portRef I1 (instanceRef un2_dout_r1_axb_3)) + (portRef I1 (instanceRef un3_dout_r3_0_o5_4)) + (portRef I1 (instanceRef un3_dout_r3_0_o5_3)) + (portRef I1 (instanceRef un3_dout_r5_0_o5_5)) + (portRef I2 (instanceRef un3_dout_r5_0_o5_3)) + (portRef I1 (instanceRef un3_dout_r6_0_o5_5)) + (portRef I1 (instanceRef un3_dout_r6_0_o5_4)) + )) + (net (rename sum_0_1_7 "sum_0_1[7]") (joined + (portRef (member O 0) (instanceRef sum_0_1_cry_7)) + (portRef I1 (instanceRef un4_dout_r7_5_axb_5_lut6_2_o5)) + (portRef I1 (instanceRef un4_dout_r7_5_axb_6_lut6_2_o5)) + (portRef I1 (instanceRef un4_dout_r7_5_axb_6_lut6_2_o6)) + (portRef I2 (instanceRef un4_dout_r7_4_axb_3_lut6_2_o5)) + (portRef I4 (instanceRef un4_dout_r7_4_axb_4_lut6_2_o5)) + (portRef I1 (instanceRef un4_dout_r7_4_axb_4_lut6_2_o6)) + (portRef I1 (instanceRef un4_dout_r7_4_axb_5_lut6_2_o5)) + (portRef I1 (instanceRef un4_dout_r7_4_axb_5_lut6_2_o6)) + (portRef I2 (instanceRef un4_dout_r7_4_axb_6_lut6_2_o5)) + (portRef I3 (instanceRef un3_dout_r6_0_axb_6_lut6_2_o6)) + (portRef (member DI 1) (instanceRef un2_dout_r4_cry_7)) + (portRef (member DI 2) (instanceRef un4_dout_r7_4_cry_7)) + (portRef I4 (instanceRef un3_dout_r5_0_axb_4)) + (portRef I3 (instanceRef un3_dout_r5_0_axb_5)) + (portRef I3 (instanceRef un3_dout_r5_0_axb_6)) + (portRef I2 (instanceRef un3_dout_r5_0_axb_7)) + (portRef I3 (instanceRef un3_dout_r3_0_axb_5)) + (portRef I2 (instanceRef un3_dout_r6_0_axb_7)) + (portRef I1 (instanceRef un3_dout_r3_0_o5_5)) + (portRef I2 (instanceRef un3_dout_r3_0_o5_4)) + (portRef I1 (instanceRef un3_dout_r5_0_o5_6)) + (portRef I1 (instanceRef un3_dout_r5_0_o5_4)) + (portRef I1 (instanceRef un3_dout_r6_0_o5_6)) + (portRef I2 (instanceRef un3_dout_r6_0_o5_5)) + )) + (net un4_dout_r7_5_axb_5 (joined + (portRef O (instanceRef un4_dout_r7_5_axb_5_lut6_2_o6)) + (portRef (member S 2) (instanceRef un4_dout_r7_5_cry_7)) + )) + (net un2_dout_r2_axb_5 (joined + (portRef O (instanceRef un4_dout_r7_5_axb_5_lut6_2_o5)) + (portRef (member S 2) (instanceRef un2_dout_r2_cry_7)) + )) + (net din_r1_8_0 (joined + (portRef Q (instanceRef din_r1_6)) + (portRef I0 (instanceRef un4_dout_r7_5_axb_6_lut6_2_o5)) + (portRef I0 (instanceRef un4_dout_r7_5_axb_6_lut6_2_o6)) + (portRef I0 (instanceRef un4_dout_r7_4_axb_6_lut6_2_o5)) + (portRef I0 (instanceRef un3_dout_r3_0_axb_7_lut6_2_o5)) + (portRef I1 (instanceRef un3_dout_r3_0_axb_7_lut6_2_o6)) + (portRef I0 (instanceRef un3_dout_r6_0_axb_6_lut6_2_o5)) + (portRef I1 (instanceRef un3_dout_r6_0_axb_6_lut6_2_o6)) + (portRef (member DI 1) (instanceRef un4_dout_r7_5_cry_7)) + (portRef (member DI 1) (instanceRef un2_dout_r1_cry_7)) + (portRef (member DI 1) (instanceRef un2_dout_r2_cry_7)) + (portRef I0 (instanceRef sum_0_1_axb_6)) + (portRef D (instanceRef dout_r0_6)) + (portRef I0 (instanceRef un3_dout_r5_0_axb_6)) + (portRef I0 (instanceRef un3_dout_r5_0_axb_7)) + (portRef I0 (instanceRef un3_dout_r6_0_axb_7)) + (portRef I0 (instanceRef un3_dout_r3_0_o5_6)) + (portRef I0 (instanceRef un3_dout_r5_0_o5_6)) + (portRef I0 (instanceRef un3_dout_r6_0_o5_6)) + )) + (net un4_dout_r7_5_axb_6 (joined + (portRef O (instanceRef un4_dout_r7_5_axb_6_lut6_2_o6)) + (portRef (member S 1) (instanceRef un4_dout_r7_5_cry_7)) + )) + (net un2_dout_r4_axb_6 (joined + (portRef O (instanceRef un4_dout_r7_5_axb_6_lut6_2_o5)) + (portRef (member S 1) (instanceRef un2_dout_r4_cry_7)) + )) + (net din_r1_7_0 (joined + (portRef Q (instanceRef din_r1_7)) + (portRef I0 (instanceRef un4_dout_r7_5_axb_7_lut6_2_o6)) + (portRef I0 (instanceRef un3_dout_r3_0_axb_7_lut6_2_o6)) + (portRef I0 (instanceRef un2_dout_r2_axb_7_lut6_2_o5)) + (portRef I0 (instanceRef un2_dout_r2_axb_7_lut6_2_o6)) + (portRef (member DI 0) (instanceRef un4_dout_r7_5_cry_7)) + (portRef (member DI 0) (instanceRef un2_dout_r1_cry_7)) + (portRef (member DI 0) (instanceRef un2_dout_r2_cry_7)) + (portRef I0 (instanceRef sum_0_1_axb_7)) + (portRef D (instanceRef dout_r0_7)) + (portRef I1 (instanceRef un3_dout_r5_0_axb_8)) + (portRef I1 (instanceRef un3_dout_r5_0_axb_7)) + (portRef I1 (instanceRef un3_dout_r3_0_axb_8)) + (portRef I1 (instanceRef un3_dout_r6_0_axb_8)) + (portRef I1 (instanceRef un3_dout_r6_0_axb_7)) + (portRef I0 (instanceRef un2_dout_r1_axb_7)) + (portRef I0 (instanceRef un3_dout_r3_0_o5_7)) + (portRef I0 (instanceRef un3_dout_r5_0_o5_7)) + (portRef I0 (instanceRef un3_dout_r6_0_o5_7)) + )) + (net (rename sum_0_1_8 "sum_0_1[8]") (joined + (portRef (member O 3) (instanceRef sum_0_1_cry_11)) + (portRef I1 (instanceRef un4_dout_r7_5_axb_7_lut6_2_o5)) + (portRef I1 (instanceRef un4_dout_r7_5_axb_7_lut6_2_o6)) + (portRef I0 (instanceRef un4_dout_r7_4_axb_5_lut6_2_o6)) + (portRef I3 (instanceRef un4_dout_r7_4_axb_6_lut6_2_o5)) + (portRef I0 (instanceRef un4_dout_r7_4_axb_6_lut6_2_o6)) + (portRef I2 (instanceRef un3_dout_r3_0_axb_7_lut6_2_o6)) + (portRef I1 (instanceRef un3_dout_r6_0_axb_6_lut6_2_o5)) + (portRef I4 (instanceRef un3_dout_r6_0_axb_6_lut6_2_o6)) + (portRef I1 (instanceRef un2_dout_r2_axb_7_lut6_2_o5)) + (portRef (member DI 0) (instanceRef un2_dout_r4_cry_7)) + (portRef (member DI 1) (instanceRef un4_dout_r7_4_cry_7)) + (portRef I5 (instanceRef un3_dout_r5_0_axb_5)) + (portRef I4 (instanceRef un3_dout_r5_0_axb_6)) + (portRef I2 (instanceRef un3_dout_r5_0_axb_8)) + (portRef I3 (instanceRef un3_dout_r5_0_axb_7)) + (portRef I4 (instanceRef un3_dout_r3_0_axb_5)) + (portRef I2 (instanceRef un3_dout_r6_0_axb_8)) + (portRef I3 (instanceRef un3_dout_r6_0_axb_7)) + (portRef I1 (instanceRef un3_dout_r3_0_o5_6)) + (portRef I2 (instanceRef un3_dout_r3_0_o5_5)) + (portRef I1 (instanceRef un3_dout_r5_0_o5_7)) + (portRef I2 (instanceRef un3_dout_r5_0_o5_5)) + (portRef I1 (instanceRef un3_dout_r6_0_o5_7)) + (portRef I2 (instanceRef un3_dout_r6_0_o5_6)) + )) + (net un4_dout_r7_5_axb_7 (joined + (portRef O (instanceRef un4_dout_r7_5_axb_7_lut6_2_o6)) + (portRef (member S 0) (instanceRef un4_dout_r7_5_cry_7)) + )) + (net un2_dout_r1_axb_5 (joined + (portRef O (instanceRef un4_dout_r7_5_axb_7_lut6_2_o5)) + (portRef (member S 2) (instanceRef un2_dout_r1_cry_7)) + )) + (net din_r1_6_0 (joined + (portRef Q (instanceRef din_r1_8)) + (portRef I0 (instanceRef un4_dout_r7_5_axb_8_lut6_2_o5)) + (portRef I0 (instanceRef un4_dout_r7_5_axb_8_lut6_2_o6)) + (portRef I0 (instanceRef un4_dout_r7_5_axb_9_lut6_2_o5)) + (portRef I1 (instanceRef un4_dout_r7_4_axb_7_lut6_2_o5)) + (portRef I0 (instanceRef un4_dout_r7_4_axb_9_lut6_2_o5)) + (portRef (member DI 3) (instanceRef un4_dout_r7_5_cry_11)) + (portRef (member DI 3) (instanceRef un2_dout_r1_cry_11)) + (portRef (member DI 3) (instanceRef un2_dout_r2_cry_11)) + (portRef I0 (instanceRef sum_0_1_axb_8)) + (portRef D (instanceRef dout_r0_8)) + (portRef I0 (instanceRef un3_dout_r5_0_axb_8)) + (portRef I1 (instanceRef un3_dout_r5_0_axb_9)) + (portRef I1 (instanceRef un3_dout_r3_0_axb_9)) + (portRef I0 (instanceRef un3_dout_r3_0_axb_8)) + (portRef I0 (instanceRef un3_dout_r6_0_axb_8)) + (portRef I0 (instanceRef un3_dout_r3_0_o5_8)) + (portRef I0 (instanceRef un3_dout_r5_0_o5_8)) + (portRef I0 (instanceRef un3_dout_r6_0_o5_8)) + )) + (net (rename sum_0_1_9 "sum_0_1[9]") (joined + (portRef (member O 2) (instanceRef sum_0_1_cry_11)) + (portRef I1 (instanceRef un4_dout_r7_5_axb_8_lut6_2_o5)) + (portRef I1 (instanceRef un4_dout_r7_5_axb_8_lut6_2_o6)) + (portRef I4 (instanceRef un4_dout_r7_4_axb_6_lut6_2_o5)) + (portRef I1 (instanceRef un4_dout_r7_4_axb_6_lut6_2_o6)) + (portRef I4 (instanceRef un4_dout_r7_4_axb_7_lut6_2_o5)) + (portRef I1 (instanceRef un4_dout_r7_4_axb_7_lut6_2_o6)) + (portRef I1 (instanceRef un3_dout_r3_0_axb_7_lut6_2_o5)) + (portRef I4 (instanceRef un3_dout_r3_0_axb_7_lut6_2_o6)) + (portRef I1 (instanceRef un2_dout_r2_axb_7_lut6_2_o6)) + (portRef (member DI 3) (instanceRef un2_dout_r4_cry_11)) + (portRef (member DI 0) (instanceRef un4_dout_r7_4_cry_7)) + (portRef I5 (instanceRef un3_dout_r5_0_axb_6)) + (portRef I5 (instanceRef un3_dout_r5_0_axb_8)) + (portRef I4 (instanceRef un3_dout_r5_0_axb_9)) + (portRef I5 (instanceRef un3_dout_r5_0_axb_7)) + (portRef I4 (instanceRef un3_dout_r3_0_axb_8)) + (portRef I4 (instanceRef un3_dout_r6_0_axb_8)) + (portRef I4 (instanceRef un3_dout_r6_0_axb_7)) + (portRef I2 (instanceRef un3_dout_r3_0_o5_7)) + (portRef I2 (instanceRef un3_dout_r3_0_o5_6)) + (portRef I2 (instanceRef un3_dout_r5_0_o5_8)) + (portRef I2 (instanceRef un3_dout_r5_0_o5_6)) + (portRef I2 (instanceRef un3_dout_r6_0_o5_8)) + (portRef I2 (instanceRef un3_dout_r6_0_o5_7)) + )) + (net un4_dout_r7_5_axb_8 (joined + (portRef O (instanceRef un4_dout_r7_5_axb_8_lut6_2_o6)) + (portRef (member S 3) (instanceRef un4_dout_r7_5_cry_11)) + )) + (net un2_dout_r4_axb_8 (joined + (portRef O (instanceRef un4_dout_r7_5_axb_8_lut6_2_o5)) + (portRef (member S 3) (instanceRef un2_dout_r4_cry_11)) + )) + (net din_r1_5_0 (joined + (portRef Q (instanceRef din_r1_9)) + (portRef I0 (instanceRef un4_dout_r7_5_axb_9_lut6_2_o6)) + (portRef I0 (instanceRef un4_dout_r7_4_axb_7_lut6_2_o5)) + (portRef I0 (instanceRef un4_dout_r7_4_axb_8_lut6_2_o5)) + (portRef I0 (instanceRef un4_dout_r7_4_axb_10_lut6_2_o5)) + (portRef I0 (instanceRef sum_0_1_axb_9_lut6_2_o5)) + (portRef I1 (instanceRef sum_0_1_axb_9_lut6_2_o6)) + (portRef I1 (instanceRef un3_dout_r6_0_axb_10_lut6_2_o6)) + (portRef (member DI 2) (instanceRef un4_dout_r7_5_cry_11)) + (portRef (member DI 2) (instanceRef un2_dout_r1_cry_11)) + (portRef (member DI 2) (instanceRef un2_dout_r2_cry_11)) + (portRef D (instanceRef dout_r0_9)) + (portRef I0 (instanceRef un3_dout_r5_0_axb_9)) + (portRef I1 (instanceRef un3_dout_r5_0_axb_10)) + (portRef I0 (instanceRef un3_dout_r3_0_axb_9)) + (portRef I1 (instanceRef un3_dout_r3_0_axb_10)) + (portRef I0 (instanceRef un3_dout_r3_0_o5_9)) + (portRef I0 (instanceRef un3_dout_r5_0_o5_9)) + (portRef I0 (instanceRef un3_dout_r6_0_o5_9)) + )) + (net (rename sum_0_1_10 "sum_0_1[10]") (joined + (portRef (member O 1) (instanceRef sum_0_1_cry_11)) + (portRef I1 (instanceRef un4_dout_r7_5_axb_9_lut6_2_o5)) + (portRef I1 (instanceRef un4_dout_r7_5_axb_9_lut6_2_o6)) + (portRef I3 (instanceRef un4_dout_r7_4_axb_7_lut6_2_o5)) + (portRef I0 (instanceRef un4_dout_r7_4_axb_7_lut6_2_o6)) + (portRef I0 (instanceRef un4_dout_r7_4_axb_8_lut6_2_o6)) + (portRef I1 (instanceRef sum_0_1_axb_9_lut6_2_o5)) + (portRef I3 (instanceRef un3_dout_r3_0_axb_7_lut6_2_o6)) + (portRef I2 (instanceRef un3_dout_r6_0_axb_10_lut6_2_o6)) + (portRef (member DI 2) (instanceRef un2_dout_r4_cry_11)) + (portRef (member DI 3) (instanceRef un4_dout_r7_4_cry_11)) + (portRef I3 (instanceRef un3_dout_r5_0_axb_8)) + (portRef I2 (instanceRef un3_dout_r5_0_axb_9)) + (portRef I4 (instanceRef un3_dout_r5_0_axb_7)) + (portRef I2 (instanceRef un3_dout_r5_0_axb_10)) + (portRef I2 (instanceRef un3_dout_r3_0_axb_9)) + (portRef I2 (instanceRef un3_dout_r3_0_axb_8)) + (portRef I3 (instanceRef un3_dout_r6_0_axb_8)) + (portRef I1 (instanceRef un2_dout_r1_axb_7)) + (portRef I1 (instanceRef un3_dout_r3_0_o5_8)) + (portRef I1 (instanceRef un3_dout_r3_0_o5_7)) + (portRef I1 (instanceRef un3_dout_r5_0_o5_9)) + (portRef I2 (instanceRef un3_dout_r5_0_o5_7)) + (portRef I1 (instanceRef un3_dout_r6_0_o5_9)) + (portRef I1 (instanceRef un3_dout_r6_0_o5_8)) + )) + (net un4_dout_r7_5_axb_9 (joined + (portRef O (instanceRef un4_dout_r7_5_axb_9_lut6_2_o6)) + (portRef (member S 2) (instanceRef un4_dout_r7_5_cry_11)) + )) + (net un2_dout_r2_axb_8 (joined + (portRef O (instanceRef un4_dout_r7_5_axb_9_lut6_2_o5)) + (portRef (member S 3) (instanceRef un2_dout_r2_cry_11)) + )) + (net din_r1_4_0 (joined + (portRef Q (instanceRef din_r1_10)) + (portRef I0 (instanceRef un4_dout_r7_5_axb_10_lut6_2_o5)) + (portRef I0 (instanceRef un4_dout_r7_5_axb_10_lut6_2_o6)) + (portRef I0 (instanceRef sum_0_1_axb_10_lut6_2_o5)) + (portRef I1 (instanceRef sum_0_1_axb_10_lut6_2_o6)) + (portRef I0 (instanceRef un3_dout_r6_0_axb_10_lut6_2_o5)) + (portRef I0 (instanceRef un3_dout_r6_0_axb_10_lut6_2_o6)) + (portRef (member DI 1) (instanceRef un4_dout_r7_5_cry_11)) + (portRef (member DI 1) (instanceRef un2_dout_r1_cry_11)) + (portRef (member DI 1) (instanceRef un2_dout_r2_cry_11)) + (portRef D (instanceRef dout_r0_10)) + (portRef I0 (instanceRef un3_dout_r5_0_axb_10)) + (portRef I0 (instanceRef un3_dout_r3_0_axb_10)) + (portRef I0 (instanceRef un3_dout_r3_0_axb_11)) + (portRef I0 (instanceRef un3_dout_r5_0_axb_11)) + (portRef I0 (instanceRef un3_dout_r6_0_axb_11)) + (portRef I0 (instanceRef un3_dout_r3_0_o5_10)) + (portRef I0 (instanceRef un3_dout_r5_0_o5_10)) + (portRef I0 (instanceRef un3_dout_r6_0_o5_10)) + )) + (net (rename sum_0_1_11 "sum_0_1[11]") (joined + (portRef (member O 0) (instanceRef sum_0_1_cry_11)) + (portRef I1 (instanceRef un4_dout_r7_5_axb_10_lut6_2_o6)) + (portRef I2 (instanceRef un4_dout_r7_4_axb_7_lut6_2_o5)) + (portRef I1 (instanceRef un4_dout_r7_4_axb_8_lut6_2_o5)) + (portRef I1 (instanceRef un4_dout_r7_4_axb_8_lut6_2_o6)) + (portRef I1 (instanceRef un4_dout_r7_4_axb_9_lut6_2_o5)) + (portRef I0 (instanceRef un4_dout_r7_4_axb_9_lut6_2_o6)) + (portRef I1 (instanceRef un3_dout_r6_0_axb_10_lut6_2_o5)) + (portRef I3 (instanceRef un3_dout_r6_0_axb_10_lut6_2_o6)) + (portRef (member DI 1) (instanceRef un2_dout_r4_cry_11)) + (portRef (member DI 2) (instanceRef un4_dout_r7_4_cry_11)) + (portRef I4 (instanceRef un3_dout_r5_0_axb_8)) + (portRef I3 (instanceRef un3_dout_r5_0_axb_9)) + (portRef I3 (instanceRef un3_dout_r5_0_axb_10)) + (portRef I3 (instanceRef un3_dout_r3_0_axb_9)) + (portRef I2 (instanceRef un3_dout_r3_0_axb_10)) + (portRef I2 (instanceRef un3_dout_r5_0_axb_11)) + (portRef I3 (instanceRef un3_dout_r3_0_axb_8)) + (portRef I2 (instanceRef un3_dout_r6_0_axb_11)) + (portRef I1 (instanceRef un3_dout_r3_0_o5_9)) + (portRef I2 (instanceRef un3_dout_r3_0_o5_8)) + (portRef I1 (instanceRef un3_dout_r5_0_o5_10)) + (portRef I1 (instanceRef un3_dout_r5_0_o5_8)) + (portRef I1 (instanceRef un3_dout_r6_0_o5_10)) + (portRef I2 (instanceRef un3_dout_r6_0_o5_9)) + )) + (net (rename sum_0_1_12 "sum_0_1[12]") (joined + (portRef (member O 3) (instanceRef sum_0_1_cry_15)) + (portRef I1 (instanceRef un4_dout_r7_5_axb_10_lut6_2_o5)) + (portRef I1 (instanceRef un4_dout_r7_5_axb_11_lut6_2_o6)) + (portRef I1 (instanceRef un4_dout_r7_4_axb_9_lut6_2_o6)) + (portRef I1 (instanceRef un4_dout_r7_4_axb_10_lut6_2_o5)) + (portRef I0 (instanceRef un4_dout_r7_4_axb_10_lut6_2_o6)) + (portRef I1 (instanceRef sum_0_1_axb_11_lut6_2_o5)) + (portRef I4 (instanceRef un3_dout_r6_0_axb_10_lut6_2_o6)) + (portRef (member DI 0) (instanceRef un2_dout_r4_cry_11)) + (portRef (member DI 1) (instanceRef un4_dout_r7_4_cry_11)) + (portRef I5 (instanceRef un3_dout_r5_0_axb_9)) + (portRef I4 (instanceRef un3_dout_r5_0_axb_10)) + (portRef I4 (instanceRef un3_dout_r3_0_axb_9)) + (portRef I3 (instanceRef un3_dout_r3_0_axb_10)) + (portRef I2 (instanceRef un3_dout_r3_0_axb_11)) + (portRef I2 (instanceRef un3_dout_r5_0_axb_12)) + (portRef I3 (instanceRef un3_dout_r5_0_axb_11)) + (portRef I3 (instanceRef un3_dout_r6_0_axb_11)) + (portRef I2 (instanceRef un3_dout_r6_0_axb_12)) + (portRef I1 (instanceRef un3_dout_r3_0_o5_10)) + (portRef I2 (instanceRef un3_dout_r3_0_o5_9)) + (portRef I1 (instanceRef un3_dout_r5_0_o5_11)) + (portRef I2 (instanceRef un3_dout_r5_0_o5_9)) + (portRef I1 (instanceRef un3_dout_r6_0_o5_11)) + (portRef I2 (instanceRef un3_dout_r6_0_o5_10)) + )) + (net un4_dout_r7_5_axb_10 (joined + (portRef O (instanceRef un4_dout_r7_5_axb_10_lut6_2_o6)) + (portRef (member S 1) (instanceRef un4_dout_r7_5_cry_11)) + )) + (net un2_dout_r2_axb_10 (joined + (portRef O (instanceRef un4_dout_r7_5_axb_10_lut6_2_o5)) + (portRef (member S 1) (instanceRef un2_dout_r2_cry_11)) + )) + (net din_r1_3_0 (joined + (portRef Q (instanceRef din_r1_11)) + (portRef I0 (instanceRef un4_dout_r7_5_axb_11_lut6_2_o5)) + (portRef I0 (instanceRef un4_dout_r7_5_axb_11_lut6_2_o6)) + (portRef I0 (instanceRef un4_dout_r7_5_axb_12_lut6_2_o5)) + (portRef I0 (instanceRef un4_dout_r7_4_axb_11_lut6_2_o5)) + (portRef I0 (instanceRef sum_0_1_axb_11_lut6_2_o5)) + (portRef I0 (instanceRef sum_0_1_axb_11_lut6_2_o6)) + (portRef (member DI 0) (instanceRef un4_dout_r7_5_cry_11)) + (portRef (member DI 0) (instanceRef un2_dout_r1_cry_11)) + (portRef (member DI 0) (instanceRef un2_dout_r2_cry_11)) + (portRef D (instanceRef dout_r0_11)) + (portRef I1 (instanceRef un3_dout_r3_0_axb_11)) + (portRef I0 (instanceRef un3_dout_r5_0_axb_12)) + (portRef I1 (instanceRef un3_dout_r5_0_axb_11)) + (portRef I1 (instanceRef un3_dout_r6_0_axb_11)) + (portRef I1 (instanceRef un3_dout_r6_0_axb_12)) + (portRef I0 (instanceRef un3_dout_r3_0_o5_11)) + (portRef I0 (instanceRef un3_dout_r5_0_o5_11)) + (portRef I0 (instanceRef un3_dout_r6_0_o5_11)) + )) + (net (rename sum_0_1_14 "sum_0_1[14]") (joined + (portRef (member O 1) (instanceRef sum_0_1_cry_15)) + (portRef I1 (instanceRef un4_dout_r7_5_axb_11_lut6_2_o5)) + (portRef I1 (instanceRef un4_dout_r7_5_axb_13_lut6_2_o5)) + (portRef I1 (instanceRef un4_dout_r7_5_axb_13_lut6_2_o6)) + (portRef I3 (instanceRef un4_dout_r7_4_axb_11_lut6_2_o5)) + (portRef I0 (instanceRef un4_dout_r7_4_axb_11_lut6_2_o6)) + (portRef I0 (instanceRef un4_dout_r7_4_axb_12_lut6_2_o6)) + (portRef I2 (instanceRef un4_dout_r7_4_axb_13_lut6_2_o5)) + (portRef I1 (instanceRef un3_dout_r6_0_axb_13_lut6_2_o5)) + (portRef I4 (instanceRef un3_dout_r6_0_axb_13_lut6_2_o6)) + (portRef (member DI 2) (instanceRef un2_dout_r4_s_15)) + (portRef (member DI 3) (instanceRef un4_dout_r7_4_cry_13_outext)) + (portRef I2 (instanceRef un3_dout_r3_0_axb_13)) + (portRef I3 (instanceRef un3_dout_r3_0_axb_11)) + (portRef I3 (instanceRef un3_dout_r5_0_axb_12)) + (portRef I2 (instanceRef un3_dout_r5_0_axb_13)) + (portRef I4 (instanceRef un3_dout_r5_0_axb_11)) + (portRef I2 (instanceRef un3_dout_r6_0_axb_14)) + (portRef I3 (instanceRef un3_dout_r6_0_axb_12)) + (portRef I1 (instanceRef un3_dout_r3_0_o5_12)) + (portRef I1 (instanceRef un3_dout_r3_0_o5_11)) + (portRef I1 (instanceRef un3_dout_r5_0_o5_13)) + (portRef I2 (instanceRef un3_dout_r5_0_o5_11)) + (portRef I1 (instanceRef un3_dout_r6_0_o5_13)) + (portRef I1 (instanceRef un3_dout_r6_0_o5_12)) + )) + (net un4_dout_r7_5_axb_11 (joined + (portRef O (instanceRef un4_dout_r7_5_axb_11_lut6_2_o6)) + (portRef (member S 0) (instanceRef un4_dout_r7_5_cry_11)) + )) + (net un2_dout_r1_axb_11 (joined + (portRef O (instanceRef un4_dout_r7_5_axb_11_lut6_2_o5)) + (portRef (member S 0) (instanceRef un2_dout_r1_cry_11)) + )) + (net din_r1_2_0 (joined + (portRef Q (instanceRef din_r1_12)) + (portRef I0 (instanceRef un4_dout_r7_5_axb_12_lut6_2_o6)) + (portRef I0 (instanceRef un4_dout_r7_5_axb_13_lut6_2_o5)) + (portRef I1 (instanceRef un4_dout_r7_4_axb_11_lut6_2_o5)) + (portRef I0 (instanceRef un3_dout_r6_0_axb_13_lut6_2_o6)) + (portRef I0 (instanceRef un2_dout_r1_axb_12_lut6_2_o5)) + (portRef I0 (instanceRef un2_dout_r1_axb_12_lut6_2_o6)) + (portRef (member DI 3) (instanceRef un4_dout_r7_5_s_15)) + (portRef (member DI 3) (instanceRef un2_dout_r1_s_15)) + (portRef (member DI 3) (instanceRef un2_dout_r2_s_15)) + (portRef I1 (instanceRef sum_0_1_axb_12)) + (portRef D (instanceRef dout_r0_12)) + (portRef I1 (instanceRef un3_dout_r3_0_axb_13)) + (portRef I1 (instanceRef un3_dout_r5_0_axb_12)) + (portRef I1 (instanceRef un3_dout_r5_0_axb_13)) + (portRef I0 (instanceRef un3_dout_r6_0_axb_12)) + (portRef I0 (instanceRef un3_dout_r3_0_o5_12)) + (portRef I0 (instanceRef un3_dout_r5_0_o5_12)) + (portRef I0 (instanceRef un3_dout_r6_0_o5_12)) + )) + (net (rename sum_0_1_13 "sum_0_1[13]") (joined + (portRef (member O 2) (instanceRef sum_0_1_cry_15)) + (portRef I1 (instanceRef un4_dout_r7_5_axb_12_lut6_2_o5)) + (portRef I1 (instanceRef un4_dout_r7_5_axb_12_lut6_2_o6)) + (portRef I1 (instanceRef un4_dout_r7_4_axb_10_lut6_2_o6)) + (portRef I4 (instanceRef un4_dout_r7_4_axb_11_lut6_2_o5)) + (portRef I1 (instanceRef un4_dout_r7_4_axb_11_lut6_2_o6)) + (portRef I1 (instanceRef sum_0_1_axb_10_lut6_2_o5)) + (portRef I3 (instanceRef un3_dout_r6_0_axb_13_lut6_2_o6)) + (portRef I1 (instanceRef un2_dout_r1_axb_12_lut6_2_o5)) + (portRef (member DI 3) (instanceRef un2_dout_r4_s_15)) + (portRef (member DI 0) (instanceRef un4_dout_r7_4_cry_11)) + (portRef I5 (instanceRef un3_dout_r5_0_axb_10)) + (portRef I4 (instanceRef un3_dout_r3_0_axb_10)) + (portRef I4 (instanceRef un3_dout_r3_0_axb_11)) + (portRef I5 (instanceRef un3_dout_r5_0_axb_12)) + (portRef I4 (instanceRef un3_dout_r5_0_axb_13)) + (portRef I5 (instanceRef un3_dout_r5_0_axb_11)) + (portRef I4 (instanceRef un3_dout_r6_0_axb_11)) + (portRef I4 (instanceRef un3_dout_r6_0_axb_12)) + (portRef I2 (instanceRef un3_dout_r3_0_o5_11)) + (portRef I2 (instanceRef un3_dout_r3_0_o5_10)) + (portRef I2 (instanceRef un3_dout_r5_0_o5_12)) + (portRef I2 (instanceRef un3_dout_r5_0_o5_10)) + (portRef I2 (instanceRef un3_dout_r6_0_o5_12)) + (portRef I2 (instanceRef un3_dout_r6_0_o5_11)) + )) + (net un4_dout_r7_5_axb_12 (joined + (portRef O (instanceRef un4_dout_r7_5_axb_12_lut6_2_o6)) + (portRef (member S 3) (instanceRef un4_dout_r7_5_s_15)) + )) + (net un2_dout_r2_axb_11 (joined + (portRef O (instanceRef un4_dout_r7_5_axb_12_lut6_2_o5)) + (portRef (member S 0) (instanceRef un2_dout_r2_cry_11)) + )) + (net din_r1_1_0 (joined + (portRef Q (instanceRef din_r1_13)) + (portRef I0 (instanceRef un4_dout_r7_5_axb_13_lut6_2_o6)) + (portRef I0 (instanceRef un4_dout_r7_4_axb_12_lut6_2_o5)) + (portRef I0 (instanceRef un4_dout_r7_4_axb_13_lut6_2_o5)) + (portRef I0 (instanceRef un3_dout_r6_0_axb_13_lut6_2_o5)) + (portRef I1 (instanceRef un3_dout_r6_0_axb_13_lut6_2_o6)) + (portRef I0 (instanceRef un2_dout_r1_axb_14_lut6_2_o5)) + (portRef I1 (instanceRef un2_dout_r4_axb_15_lut6_2_o5)) + (portRef (member DI 2) (instanceRef un4_dout_r7_5_s_15)) + (portRef (member DI 2) (instanceRef un2_dout_r1_s_15)) + (portRef (member DI 2) (instanceRef un2_dout_r2_s_15)) + (portRef I0 (instanceRef sum_0_1_axb_13)) + (portRef D (instanceRef dout_r0_13)) + (portRef I0 (instanceRef un3_dout_r3_0_axb_13)) + (portRef I0 (instanceRef un3_dout_r5_0_axb_13)) + (portRef I1 (instanceRef un3_dout_r6_0_axb_14)) + (portRef I0 (instanceRef un3_dout_r5_0_o5_13)) + (portRef I0 (instanceRef un3_dout_r6_0_o5_13)) + )) + (net un4_dout_r7_5_axb_13 (joined + (portRef O (instanceRef un4_dout_r7_5_axb_13_lut6_2_o6)) + (portRef (member S 2) (instanceRef un4_dout_r7_5_s_15)) + )) + (net un2_dout_r2_axb_12 (joined + (portRef O (instanceRef un4_dout_r7_5_axb_13_lut6_2_o5)) + (portRef (member S 3) (instanceRef un2_dout_r2_s_15)) + )) + (net din_r1_0_0 (joined + (portRef Q (instanceRef din_r1_14)) + (portRef I0 (instanceRef un4_dout_r7_5_axb_14_lut6_2_o5)) + (portRef I0 (instanceRef un4_dout_r7_5_axb_14_lut6_2_o6)) + (portRef I1 (instanceRef un4_dout_r7_4_axb_13_lut6_2_o5)) + (portRef I1 (instanceRef sum_0_1_axb_14_lut6_2_o5)) + (portRef I0 (instanceRef sum_0_1_axb_14_lut6_2_o6)) + (portRef I0 (instanceRef un2_dout_r1_axb_14_lut6_2_o6)) + (portRef I0 (instanceRef un2_dout_r4_axb_15_lut6_2_o5)) + (portRef I1 (instanceRef un2_dout_r4_axb_14_lut6_2_o5)) + (portRef I0 (instanceRef un2_dout_r4_axb_14_lut6_2_o6)) + (portRef (member DI 1) (instanceRef un3_dout_r3_0_s_15)) + (portRef (member DI 1) (instanceRef un4_dout_r7_5_s_15)) + (portRef (member DI 1) (instanceRef un2_dout_r1_s_15)) + (portRef (member DI 1) (instanceRef un2_dout_r2_s_15)) + (portRef D (instanceRef dout_r0_14)) + (portRef I0 (instanceRef un3_dout_r6_0_axb_14)) + )) + (net (rename sum_0_1_15 "sum_0_1[15]") (joined + (portRef (member O 0) (instanceRef sum_0_1_cry_15)) + (portRef I1 (instanceRef un4_dout_r7_5_axb_14_lut6_2_o6)) + (portRef I2 (instanceRef un4_dout_r7_4_axb_11_lut6_2_o5)) + (portRef I1 (instanceRef un4_dout_r7_4_axb_12_lut6_2_o5)) + (portRef I1 (instanceRef un4_dout_r7_4_axb_12_lut6_2_o6)) + (portRef I3 (instanceRef un4_dout_r7_4_axb_13_lut6_2_o5)) + (portRef I0 (instanceRef un4_dout_r7_4_axb_13_lut6_2_o6)) + (portRef I2 (instanceRef sum_0_1_axb_14_lut6_2_o5)) + (portRef I2 (instanceRef un3_dout_r6_0_axb_13_lut6_2_o6)) + (portRef I1 (instanceRef un2_dout_r1_axb_12_lut6_2_o6)) + (portRef I2 (instanceRef un2_dout_r4_axb_15_lut6_2_o5)) + (portRef I2 (instanceRef un2_dout_r4_axb_14_lut6_2_o5)) + (portRef I1 (instanceRef un2_dout_r4_axb_14_lut6_2_o6)) + (portRef (member DI 1) (instanceRef un2_dout_r4_s_15)) + (portRef (member DI 2) (instanceRef un4_dout_r7_4_cry_13_outext)) + (portRef I3 (instanceRef un3_dout_r3_0_axb_13)) + (portRef I4 (instanceRef un3_dout_r5_0_axb_12)) + (portRef I3 (instanceRef un3_dout_r5_0_axb_13)) + (portRef I3 (instanceRef un3_dout_r6_0_axb_14)) + (portRef I2 (instanceRef un3_dout_r3_0_o5_12)) + (portRef I1 (instanceRef un3_dout_r5_0_o5_12)) + (portRef I2 (instanceRef un3_dout_r6_0_o5_13)) + )) + (net (rename sum_0_1_16 "sum_0_1[16]") (joined + (portRef O (instanceRef un2_dout_r2_axb_15_lut6_2_o5)) + (portRef I1 (instanceRef un4_dout_r7_5_axb_14_lut6_2_o5)) + (portRef I4 (instanceRef un4_dout_r7_4_axb_13_lut6_2_o5)) + (portRef I1 (instanceRef un4_dout_r7_4_axb_13_lut6_2_o6)) + (portRef I3 (instanceRef sum_0_1_axb_14_lut6_2_o5)) + (portRef I1 (instanceRef un2_dout_r2_axb_15_lut6_2_o6)) + (portRef I1 (instanceRef un2_dout_r1_axb_15_lut6_2_o5)) + (portRef I1 (instanceRef un2_dout_r1_axb_15_lut6_2_o6)) + (portRef I1 (instanceRef un2_dout_r1_axb_14_lut6_2_o5)) + (portRef I1 (instanceRef un2_dout_r1_axb_14_lut6_2_o6)) + (portRef I3 (instanceRef un2_dout_r4_axb_15_lut6_2_o5)) + (portRef I1 (instanceRef un2_dout_r4_axb_15_lut6_2_o6)) + (portRef I3 (instanceRef un2_dout_r4_axb_14_lut6_2_o5)) + (portRef I4 (instanceRef un3_dout_r3_0_axb_13)) + (portRef I5 (instanceRef un3_dout_r5_0_axb_13)) + (portRef I4 (instanceRef un3_dout_r6_0_axb_14)) + (portRef I2 (instanceRef un3_dout_r5_0_o5_13)) + )) + (net un4_dout_r7_5_axb_14 (joined + (portRef O (instanceRef un4_dout_r7_5_axb_14_lut6_2_o6)) + (portRef (member S 1) (instanceRef un4_dout_r7_5_s_15)) + )) + (net un2_dout_r2_axb_14 (joined + (portRef O (instanceRef un4_dout_r7_5_axb_14_lut6_2_o5)) + (portRef (member S 1) (instanceRef un2_dout_r2_s_15)) + )) + (net (rename un4_dout_r7_4_0 "un4_dout_r7_4[0]") (joined + (portRef O (instanceRef un4_dout_r7_4_axb_0_lut6_2_o6)) + (portRef (member S 3) (instanceRef un4_dout_r7_4_cry_3)) + )) + (net un2_dout_r1_3 (joined + (portRef O (instanceRef un4_dout_r7_4_axb_0_lut6_2_o5)) + (portRef (member S 3) (instanceRef un2_dout_r1_cry_3)) + (portRef D (instanceRef dout_r1_0)) + )) + (net un4_dout_r7_4_axb_1 (joined + (portRef O (instanceRef un4_dout_r7_4_axb_1_lut6_2_o6)) + (portRef (member S 2) (instanceRef un4_dout_r7_4_cry_3)) + )) + (net un3_dout_r6_0_axb_2 (joined + (portRef O (instanceRef un4_dout_r7_4_axb_1_lut6_2_o5)) + (portRef (member S 1) (instanceRef un3_dout_r6_0_cry_3)) + )) + (net un4_dout_r7_4_axb_2 (joined + (portRef O (instanceRef un4_dout_r7_4_axb_2_lut6_2_o6)) + (portRef (member S 1) (instanceRef un4_dout_r7_4_cry_3)) + )) + (net un3_dout_r3_0_axb_2 (joined + (portRef O (instanceRef un4_dout_r7_4_axb_2_lut6_2_o5)) + (portRef (member S 1) (instanceRef un3_dout_r3_0_cry_3)) + )) + (net un4_dout_r7_4_axb_3 (joined + (portRef O (instanceRef un4_dout_r7_4_axb_3_lut6_2_o6)) + (portRef (member S 0) (instanceRef un4_dout_r7_4_cry_3)) + )) + (net un3_dout_r3_0_axb_4 (joined + (portRef O (instanceRef un4_dout_r7_4_axb_3_lut6_2_o5)) + (portRef (member S 3) (instanceRef un3_dout_r3_0_cry_7)) + )) + (net un4_dout_r7_4_axb_4 (joined + (portRef O (instanceRef un4_dout_r7_4_axb_4_lut6_2_o6)) + (portRef (member S 3) (instanceRef un4_dout_r7_4_cry_7)) + )) + (net un3_dout_r6_0_axb_5 (joined + (portRef O (instanceRef un4_dout_r7_4_axb_4_lut6_2_o5)) + (portRef (member S 2) (instanceRef un3_dout_r6_0_cry_7)) + )) + (net un4_dout_r7_4_axb_5 (joined + (portRef O (instanceRef un4_dout_r7_4_axb_5_lut6_2_o6)) + (portRef (member S 2) (instanceRef un4_dout_r7_4_cry_7)) + )) + (net un2_dout_r1_axb_4 (joined + (portRef O (instanceRef un4_dout_r7_4_axb_5_lut6_2_o5)) + (portRef (member S 3) (instanceRef un2_dout_r1_cry_7)) + )) + (net un4_dout_r7_4_axb_6 (joined + (portRef O (instanceRef un4_dout_r7_4_axb_6_lut6_2_o6)) + (portRef (member S 1) (instanceRef un4_dout_r7_4_cry_7)) + )) + (net un3_dout_r3_0_axb_6 (joined + (portRef O (instanceRef un4_dout_r7_4_axb_6_lut6_2_o5)) + (portRef (member S 1) (instanceRef un3_dout_r3_0_cry_7)) + )) + (net un4_dout_r7_4_axb_7 (joined + (portRef O (instanceRef un4_dout_r7_4_axb_7_lut6_2_o6)) + (portRef (member S 0) (instanceRef un4_dout_r7_4_cry_7)) + )) + (net un3_dout_r6_0_axb_9 (joined + (portRef O (instanceRef un4_dout_r7_4_axb_7_lut6_2_o5)) + (portRef (member S 2) (instanceRef un3_dout_r6_0_cry_11)) + )) + (net un4_dout_r7_4_axb_8 (joined + (portRef O (instanceRef un4_dout_r7_4_axb_8_lut6_2_o6)) + (portRef (member S 3) (instanceRef un4_dout_r7_4_cry_11)) + )) + (net un2_dout_r2_axb_9 (joined + (portRef O (instanceRef un4_dout_r7_4_axb_8_lut6_2_o5)) + (portRef (member S 2) (instanceRef un2_dout_r2_cry_11)) + )) + (net un4_dout_r7_4_axb_9 (joined + (portRef O (instanceRef un4_dout_r7_4_axb_9_lut6_2_o6)) + (portRef (member S 2) (instanceRef un4_dout_r7_4_cry_11)) + )) + (net un2_dout_r1_axb_8 (joined + (portRef O (instanceRef un4_dout_r7_4_axb_9_lut6_2_o5)) + (portRef (member S 3) (instanceRef un2_dout_r1_cry_11)) + )) + (net un4_dout_r7_4_axb_10 (joined + (portRef O (instanceRef un4_dout_r7_4_axb_10_lut6_2_o6)) + (portRef (member S 1) (instanceRef un4_dout_r7_4_cry_11)) + )) + (net un2_dout_r1_axb_9 (joined + (portRef O (instanceRef un4_dout_r7_4_axb_10_lut6_2_o5)) + (portRef (member S 2) (instanceRef un2_dout_r1_cry_11)) + )) + (net un4_dout_r7_4_axb_11 (joined + (portRef O (instanceRef un4_dout_r7_4_axb_11_lut6_2_o6)) + (portRef (member S 0) (instanceRef un4_dout_r7_4_cry_11)) + )) + (net un3_dout_r3_0_axb_12 (joined + (portRef O (instanceRef un4_dout_r7_4_axb_11_lut6_2_o5)) + (portRef (member S 3) (instanceRef un3_dout_r3_0_s_15)) + )) + (net un4_dout_r7_4_axb_12 (joined + (portRef O (instanceRef un4_dout_r7_4_axb_12_lut6_2_o6)) + (portRef (member S 3) (instanceRef un4_dout_r7_4_cry_13_outext)) + )) + (net un2_dout_r2_axb_13 (joined + (portRef O (instanceRef un4_dout_r7_4_axb_12_lut6_2_o5)) + (portRef (member S 2) (instanceRef un2_dout_r2_s_15)) + )) + (net un4_dout_r7_4_axb_13 (joined + (portRef O (instanceRef un4_dout_r7_4_axb_13_lut6_2_o6)) + (portRef (member S 2) (instanceRef un4_dout_r7_4_cry_13_outext)) + )) + (net un3_dout_r5_0_axb_14 (joined + (portRef O (instanceRef un4_dout_r7_4_axb_13_lut6_2_o5)) + (portRef (member S 1) (instanceRef un3_dout_r5_0_s_15)) + )) + (net (rename iir_out_5 "IIR_out_5") (joined + (portRef IIR_out_5) + (portRef I0 (instanceRef sum_0_1_axb_9_lut6_2_o6)) + (portRef (member DI 2) (instanceRef sum_0_1_cry_11)) + (portRef D (instanceRef din_r1_9)) + )) + (net sum_0_1_axb_9 (joined + (portRef O (instanceRef sum_0_1_axb_9_lut6_2_o6)) + (portRef (member S 2) (instanceRef sum_0_1_cry_11)) + )) + (net un2_dout_r4_axb_9 (joined + (portRef O (instanceRef sum_0_1_axb_9_lut6_2_o5)) + (portRef (member S 2) (instanceRef un2_dout_r4_cry_11)) + )) + (net (rename iir_out_4 "IIR_out_4") (joined + (portRef IIR_out_4) + (portRef I0 (instanceRef sum_0_1_axb_10_lut6_2_o6)) + (portRef (member DI 1) (instanceRef sum_0_1_cry_11)) + (portRef D (instanceRef din_r1_10)) + )) + (net sum_0_1_axb_10 (joined + (portRef O (instanceRef sum_0_1_axb_10_lut6_2_o6)) + (portRef (member S 1) (instanceRef sum_0_1_cry_11)) + )) + (net un2_dout_r1_axb_10 (joined + (portRef O (instanceRef sum_0_1_axb_10_lut6_2_o5)) + (portRef (member S 1) (instanceRef un2_dout_r1_cry_11)) + )) + (net (rename iir_out_3 "IIR_out_3") (joined + (portRef IIR_out_3) + (portRef I1 (instanceRef sum_0_1_axb_11_lut6_2_o6)) + (portRef (member DI 0) (instanceRef sum_0_1_cry_11)) + (portRef D (instanceRef din_r1_11)) + )) + (net sum_0_1_axb_11 (joined + (portRef O (instanceRef sum_0_1_axb_11_lut6_2_o6)) + (portRef (member S 0) (instanceRef sum_0_1_cry_11)) + )) + (net un2_dout_r4_axb_11 (joined + (portRef O (instanceRef sum_0_1_axb_11_lut6_2_o5)) + (portRef (member S 0) (instanceRef un2_dout_r4_cry_11)) + )) + (net (rename din_r1Z0Z_15 "din_r1_15") (joined + (portRef Q (instanceRef din_r1_15)) + (portRef I0 (instanceRef sum_0_1_axb_14_lut6_2_o5)) + (portRef I1 (instanceRef un2_dout_r2_axb_15_lut6_2_o5)) + (portRef I0 (instanceRef un2_dout_r2_axb_15_lut6_2_o6)) + (portRef I0 (instanceRef un2_dout_r1_axb_15_lut6_2_o5)) + (portRef I0 (instanceRef un2_dout_r1_axb_15_lut6_2_o6)) + (portRef I0 (instanceRef un2_dout_r4_axb_15_lut6_2_o6)) + (portRef I0 (instanceRef un2_dout_r4_axb_14_lut6_2_o5)) + (portRef I0 (instanceRef sum_0_1_cry_15_RNO)) + (portRef D (instanceRef dout_r0_15)) + (portRef I0 (instanceRef un4_dout_r7_5_axb_15)) + )) + (net (rename iir_out_0 "IIR_out_0") (joined + (portRef IIR_out_0) + (portRef I1 (instanceRef sum_0_1_axb_14_lut6_2_o6)) + (portRef (member DI 1) (instanceRef sum_0_1_cry_15)) + (portRef D (instanceRef din_r1_14)) + )) + (net sum_0_1_axb_14 (joined + (portRef O (instanceRef sum_0_1_axb_14_lut6_2_o6)) + (portRef (member S 1) (instanceRef sum_0_1_cry_15)) + )) + (net un3_dout_r6_0_axb_15 (joined + (portRef O (instanceRef sum_0_1_axb_14_lut6_2_o5)) + (portRef (member S 0) (instanceRef un3_dout_r6_0_s_15)) + )) + (net un3_dout_r3_0_axb_7 (joined + (portRef O (instanceRef un3_dout_r3_0_axb_7_lut6_2_o6)) + (portRef (member S 0) (instanceRef un3_dout_r3_0_cry_7)) + )) + (net un2_dout_r1_axb_6 (joined + (portRef O (instanceRef un3_dout_r3_0_axb_7_lut6_2_o5)) + (portRef (member S 1) (instanceRef un2_dout_r1_cry_7)) + )) + (net un3_dout_r6_0_axb_10 (joined + (portRef O (instanceRef un3_dout_r6_0_axb_10_lut6_2_o6)) + (portRef (member S 1) (instanceRef un3_dout_r6_0_cry_11)) + )) + (net un2_dout_r4_axb_10 (joined + (portRef O (instanceRef un3_dout_r6_0_axb_10_lut6_2_o5)) + (portRef (member S 1) (instanceRef un2_dout_r4_cry_11)) + )) + (net un3_dout_r6_0_axb_13 (joined + (portRef O (instanceRef un3_dout_r6_0_axb_13_lut6_2_o6)) + (portRef (member S 2) (instanceRef un3_dout_r6_0_s_15)) + )) + (net un2_dout_r4_axb_13 (joined + (portRef O (instanceRef un3_dout_r6_0_axb_13_lut6_2_o5)) + (portRef (member S 2) (instanceRef un2_dout_r4_s_15)) + )) + (net un3_dout_r6_0_axb_6 (joined + (portRef O (instanceRef un3_dout_r6_0_axb_6_lut6_2_o6)) + (portRef (member S 1) (instanceRef un3_dout_r6_0_cry_7)) + )) + (net un2_dout_r2_axb_6 (joined + (portRef O (instanceRef un3_dout_r6_0_axb_6_lut6_2_o5)) + (portRef (member S 1) (instanceRef un2_dout_r2_cry_7)) + )) + (net (rename iir_out "IIR_out") (joined + (portRef IIR_out) + (portRef I0 (instanceRef un2_dout_r2_axb_15_lut6_2_o5)) + (portRef (member DI 0) (instanceRef sum_0_1_cry_15)) + (portRef I1 (instanceRef sum_0_1_cry_15_RNO)) + (portRef D (instanceRef din_r1_15)) + )) + (net (rename sum_0_1_cryZ0Z_15 "sum_0_1_cry_15") (joined + (portRef (member CO 0) (instanceRef sum_0_1_cry_15)) + (portRef I2 (instanceRef un2_dout_r2_axb_15_lut6_2_o5)) + )) + (net un2_dout_r2_axb_15 (joined + (portRef O (instanceRef un2_dout_r2_axb_15_lut6_2_o6)) + (portRef (member S 0) (instanceRef un2_dout_r2_s_15)) + )) + (net un2_dout_r2_axb_7 (joined + (portRef O (instanceRef un2_dout_r2_axb_7_lut6_2_o6)) + (portRef (member S 0) (instanceRef un2_dout_r2_cry_7)) + )) + (net un2_dout_r4_axb_7 (joined + (portRef O (instanceRef un2_dout_r2_axb_7_lut6_2_o5)) + (portRef (member S 0) (instanceRef un2_dout_r4_cry_7)) + )) + (net un2_dout_r2_axb_4 (joined + (portRef O (instanceRef un2_dout_r2_axb_4_lut6_2_o6)) + (portRef (member S 3) (instanceRef un2_dout_r2_cry_7)) + )) + (net un2_dout_r4_axb_5 (joined + (portRef O (instanceRef un2_dout_r2_axb_4_lut6_2_o5)) + (portRef (member S 2) (instanceRef un2_dout_r4_cry_7)) + )) + (net un2_dout_r2_axb_3 (joined + (portRef O (instanceRef un2_dout_r2_axb_3_lut6_2_o6)) + (portRef (member S 0) (instanceRef un2_dout_r2_cry_3)) + )) + (net un2_dout_r4_axb_4 (joined + (portRef O (instanceRef un2_dout_r2_axb_3_lut6_2_o5)) + (portRef (member S 3) (instanceRef un2_dout_r4_cry_7)) + )) + (net un2_dout_r2_axb_2 (joined + (portRef O (instanceRef un2_dout_r2_axb_2_lut6_2_o6)) + (portRef (member S 1) (instanceRef un2_dout_r2_cry_3)) + )) + (net un2_dout_r4_axb_3 (joined + (portRef O (instanceRef un2_dout_r2_axb_2_lut6_2_o5)) + (portRef (member S 0) (instanceRef un2_dout_r4_cry_3)) + )) + (net un2_dout_r2_axb_1 (joined + (portRef O (instanceRef un2_dout_r2_axb_1_lut6_2_o6)) + (portRef (member S 2) (instanceRef un2_dout_r2_cry_3)) + )) + (net un2_dout_r4_axb_2 (joined + (portRef O (instanceRef un2_dout_r2_axb_1_lut6_2_o5)) + (portRef (member S 1) (instanceRef un2_dout_r4_cry_3)) + )) + (net un2_dout_r2_4 (joined + (portRef O (instanceRef un2_dout_r2_axb_0_lut6_2_o6)) + (portRef (member S 3) (instanceRef un2_dout_r2_cry_3)) + (portRef D (instanceRef dout_r2_0)) + )) + (net un2_dout_r4_2 (joined + (portRef O (instanceRef un2_dout_r2_axb_0_lut6_2_o5)) + (portRef (member S 3) (instanceRef un2_dout_r4_cry_3)) + (portRef D (instanceRef dout_r4_0)) + )) + (net un2_dout_r1_axb_15 (joined + (portRef O (instanceRef un2_dout_r1_axb_15_lut6_2_o6)) + (portRef (member S 0) (instanceRef un2_dout_r1_s_15)) + )) + (net un3_dout_r3_0_axb_15 (joined + (portRef O (instanceRef un2_dout_r1_axb_15_lut6_2_o5)) + (portRef (member S 0) (instanceRef un3_dout_r3_0_s_15)) + )) + (net un2_dout_r1_axb_14 (joined + (portRef O (instanceRef un2_dout_r1_axb_14_lut6_2_o6)) + (portRef (member S 1) (instanceRef un2_dout_r1_s_15)) + )) + (net un2_dout_r1_axb_13 (joined + (portRef O (instanceRef un2_dout_r1_axb_14_lut6_2_o5)) + (portRef (member S 2) (instanceRef un2_dout_r1_s_15)) + )) + (net un2_dout_r1_axb_12 (joined + (portRef O (instanceRef un2_dout_r1_axb_12_lut6_2_o6)) + (portRef (member S 3) (instanceRef un2_dout_r1_s_15)) + )) + (net un2_dout_r4_axb_12 (joined + (portRef O (instanceRef un2_dout_r1_axb_12_lut6_2_o5)) + (portRef (member S 3) (instanceRef un2_dout_r4_s_15)) + )) + (net un2_dout_r4_axb_15 (joined + (portRef O (instanceRef un2_dout_r4_axb_15_lut6_2_o6)) + (portRef (member S 0) (instanceRef un2_dout_r4_s_15)) + )) + (net un3_dout_r3_0_axb_14 (joined + (portRef O (instanceRef un2_dout_r4_axb_15_lut6_2_o5)) + (portRef (member S 1) (instanceRef un3_dout_r3_0_s_15)) + )) + (net un2_dout_r4_axb_14 (joined + (portRef O (instanceRef un2_dout_r4_axb_14_lut6_2_o6)) + (portRef (member S 1) (instanceRef un2_dout_r4_s_15)) + )) + (net un3_dout_r5_0_axb_15 (joined + (portRef O (instanceRef un2_dout_r4_axb_14_lut6_2_o5)) + (portRef (member S 0) (instanceRef un3_dout_r5_0_s_15)) + )) + (net un3_dout_r6_0_axb_0 (joined + (portRef O (instanceRef un3_dout_r6_0_axb_0_lut6_2_o6)) + (portRef (member S 3) (instanceRef un3_dout_r6_0_cry_3)) + )) + (net (rename un4_dout_r7_5_0 "un4_dout_r7_5[0]") (joined + (portRef O (instanceRef un3_dout_r6_0_axb_0_lut6_2_o5)) + (portRef (member S 3) (instanceRef un4_dout_r7_5_cry_3)) + (portRef (member DI 3) (instanceRef un4_dout_r7_cry_3)) + )) + (net un3_dout_r5_0_axb_0 (joined + (portRef O (instanceRef un3_dout_r5_0_axb_0_lut6_2_o6)) + (portRef (member S 3) (instanceRef un3_dout_r5_0_cry_3)) + )) + (net un3_dout_r3_0_axb_0 (joined + (portRef O (instanceRef un3_dout_r5_0_axb_0_lut6_2_o5)) + (portRef (member S 3) (instanceRef un3_dout_r3_0_cry_3)) + )) + (net un3_dout_r6_0_axb_1 (joined + (portRef O (instanceRef un3_dout_r6_0_axb_1_lut6_2_o6)) + (portRef (member S 2) (instanceRef un3_dout_r6_0_cry_3)) + )) + (net un3_dout_r6_0_o5_1 (joined + (portRef O (instanceRef un3_dout_r6_0_axb_1_lut6_2_o5)) + (portRef (member DI 1) (instanceRef un3_dout_r6_0_cry_3)) + )) + (net un3_dout_r5_0_axb_1 (joined + (portRef O (instanceRef un3_dout_r5_0_axb_1_lut6_2_o6)) + (portRef (member S 2) (instanceRef un3_dout_r5_0_cry_3)) + )) + (net un3_dout_r5_0_o5_1 (joined + (portRef O (instanceRef un3_dout_r5_0_axb_1_lut6_2_o5)) + (portRef (member DI 1) (instanceRef un3_dout_r5_0_cry_3)) + )) + (net un3_dout_r3_0_axb_1 (joined + (portRef O (instanceRef un3_dout_r3_0_axb_1_lut6_2_o6)) + (portRef (member S 2) (instanceRef un3_dout_r3_0_cry_3)) + )) + (net un3_dout_r3_0_o5_1 (joined + (portRef O (instanceRef un3_dout_r3_0_axb_1_lut6_2_o5)) + (portRef (member DI 1) (instanceRef un3_dout_r3_0_cry_3)) + )) + (net (rename dout_1_15 "dout_1[15]") (joined + (portRef Q (instanceRef mux_p_1_15)) + (portRef (member dout_1 0)) + )) + (net (rename mux_p_1_7_15 "mux_p_1_7[15]") (joined + (portRef LO (instanceRef mux_p_1_7_3_15)) + (portRef D (instanceRef mux_p_1_15)) + )) + (net clk (joined + (portRef clk) + (portRef C (instanceRef dout_r4_12)) + (portRef C (instanceRef dout_r4_11)) + (portRef C (instanceRef dout_r4_10)) + (portRef C (instanceRef dout_r4_9)) + (portRef C (instanceRef dout_r4_8)) + (portRef C (instanceRef dout_r4_7)) + (portRef C (instanceRef dout_r4_6)) + (portRef C (instanceRef dout_r4_5)) + (portRef C (instanceRef dout_r4_4)) + (portRef C (instanceRef dout_r4_3)) + (portRef C (instanceRef dout_r4_2)) + (portRef C (instanceRef dout_r4_1)) + (portRef C (instanceRef dout_r4_0)) + (portRef C (instanceRef dout_r1_11)) + (portRef C (instanceRef dout_r1_10)) + (portRef C (instanceRef dout_r1_9)) + (portRef C (instanceRef dout_r1_8)) + (portRef C (instanceRef dout_r1_7)) + (portRef C (instanceRef dout_r1_6)) + (portRef C (instanceRef dout_r1_5)) + (portRef C (instanceRef dout_r1_4)) + (portRef C (instanceRef dout_r1_3)) + (portRef C (instanceRef dout_r1_2)) + (portRef C (instanceRef dout_r1_1)) + (portRef C (instanceRef dout_r1_0)) + (portRef C (instanceRef dout_r4_15)) + (portRef C (instanceRef dout_r4_14)) + (portRef C (instanceRef dout_r4_13)) + (portRef C (instanceRef dout_r2_10)) + (portRef C (instanceRef dout_r2_9)) + (portRef C (instanceRef dout_r2_8)) + (portRef C (instanceRef dout_r2_7)) + (portRef C (instanceRef dout_r2_6)) + (portRef C (instanceRef dout_r2_5)) + (portRef C (instanceRef dout_r2_4)) + (portRef C (instanceRef dout_r2_3)) + (portRef C (instanceRef dout_r2_2)) + (portRef C (instanceRef dout_r2_1)) + (portRef C (instanceRef dout_r2_0)) + (portRef C (instanceRef dout_r1_15)) + (portRef C (instanceRef dout_r1_14)) + (portRef C (instanceRef dout_r1_13)) + (portRef C (instanceRef dout_r1_12)) + (portRef C (instanceRef dout_r3_9)) + (portRef C (instanceRef dout_r3_8)) + (portRef C (instanceRef dout_r3_7)) + (portRef C (instanceRef dout_r3_6)) + (portRef C (instanceRef dout_r3_5)) + (portRef C (instanceRef dout_r3_4)) + (portRef C (instanceRef dout_r3_3)) + (portRef C (instanceRef dout_r3_2)) + (portRef C (instanceRef dout_r3_1)) + (portRef C (instanceRef dout_r3_0)) + (portRef C (instanceRef dout_r2_15)) + (portRef C (instanceRef dout_r2_14)) + (portRef C (instanceRef dout_r2_13)) + (portRef C (instanceRef dout_r2_12)) + (portRef C (instanceRef dout_r2_11)) + (portRef C (instanceRef dout_r5_8)) + (portRef C (instanceRef dout_r5_7)) + (portRef C (instanceRef dout_r5_6)) + (portRef C (instanceRef dout_r5_5)) + (portRef C (instanceRef dout_r5_4)) + (portRef C (instanceRef dout_r5_3)) + (portRef C (instanceRef dout_r5_2)) + (portRef C (instanceRef dout_r5_1)) + (portRef C (instanceRef dout_r5_0)) + (portRef C (instanceRef dout_r3_15)) + (portRef C (instanceRef dout_r3_14)) + (portRef C (instanceRef dout_r3_13)) + (portRef C (instanceRef dout_r3_12)) + (portRef C (instanceRef dout_r3_11)) + (portRef C (instanceRef dout_r3_10)) + (portRef C (instanceRef dout_r6_7)) + (portRef C (instanceRef dout_r6_6)) + (portRef C (instanceRef dout_r6_5)) + (portRef C (instanceRef dout_r6_4)) + (portRef C (instanceRef dout_r6_3)) + (portRef C (instanceRef dout_r6_2)) + (portRef C (instanceRef dout_r6_1)) + (portRef C (instanceRef dout_r6_0)) + (portRef C (instanceRef dout_r5_15)) + (portRef C (instanceRef dout_r5_14)) + (portRef C (instanceRef dout_r5_13)) + (portRef C (instanceRef dout_r5_12)) + (portRef C (instanceRef dout_r5_11)) + (portRef C (instanceRef dout_r5_10)) + (portRef C (instanceRef dout_r5_9)) + (portRef C (instanceRef dout_r7_6)) + (portRef C (instanceRef dout_r7_5)) + (portRef C (instanceRef dout_r7_4)) + (portRef C (instanceRef dout_r7_3)) + (portRef C (instanceRef dout_r7_2)) + (portRef C (instanceRef dout_r7_1)) + (portRef C (instanceRef dout_r7_0)) + (portRef C (instanceRef dout_r6_15)) + (portRef C (instanceRef dout_r6_14)) + (portRef C (instanceRef dout_r6_13)) + (portRef C (instanceRef dout_r6_12)) + (portRef C (instanceRef dout_r6_11)) + (portRef C (instanceRef dout_r6_10)) + (portRef C (instanceRef dout_r6_9)) + (portRef C (instanceRef dout_r6_8)) + (portRef C (instanceRef dout_r7_15)) + (portRef C (instanceRef dout_r7_14)) + (portRef C (instanceRef dout_r7_13)) + (portRef C (instanceRef dout_r7_12)) + (portRef C (instanceRef dout_r7_11)) + (portRef C (instanceRef dout_r7_10)) + (portRef C (instanceRef dout_r7_9)) + (portRef C (instanceRef dout_r7_8)) + (portRef C (instanceRef dout_r7_7)) + (portRef C (instanceRef dout_r0_15)) + (portRef C (instanceRef dout_r0_14)) + (portRef C (instanceRef dout_r0_13)) + (portRef C (instanceRef dout_r0_12)) + (portRef C (instanceRef dout_r0_11)) + (portRef C (instanceRef dout_r0_10)) + (portRef C (instanceRef dout_r0_9)) + (portRef C (instanceRef dout_r0_8)) + (portRef C (instanceRef dout_r0_7)) + (portRef C (instanceRef dout_r0_6)) + (portRef C (instanceRef dout_r0_5)) + (portRef C (instanceRef dout_r0_4)) + (portRef C (instanceRef dout_r0_3)) + (portRef C (instanceRef dout_r0_2)) + (portRef C (instanceRef dout_r0_1)) + (portRef C (instanceRef dout_r0_0)) + (portRef C (instanceRef din_r1_15)) + (portRef C (instanceRef din_r1_14)) + (portRef C (instanceRef din_r1_13)) + (portRef C (instanceRef din_r1_12)) + (portRef C (instanceRef din_r1_11)) + (portRef C (instanceRef din_r1_10)) + (portRef C (instanceRef din_r1_9)) + (portRef C (instanceRef din_r1_8)) + (portRef C (instanceRef din_r1_7)) + (portRef C (instanceRef din_r1_6)) + (portRef C (instanceRef din_r1_5)) + (portRef C (instanceRef din_r1_4)) + (portRef C (instanceRef din_r1_3)) + (portRef C (instanceRef din_r1_2)) + (portRef C (instanceRef din_r1_1)) + (portRef C (instanceRef din_r1_0)) + (portRef C (instanceRef mux_p_4_0)) + (portRef C (instanceRef mux_p_4_1)) + (portRef C (instanceRef mux_p_4_2)) + (portRef C (instanceRef mux_p_4_3)) + (portRef C (instanceRef mux_p_4_4)) + (portRef C (instanceRef mux_p_4_5)) + (portRef C (instanceRef mux_p_4_6)) + (portRef C (instanceRef mux_p_4_7)) + (portRef C (instanceRef mux_p_4_8)) + (portRef C (instanceRef mux_p_4_9)) + (portRef C (instanceRef mux_p_4_10)) + (portRef C (instanceRef mux_p_4_11)) + (portRef C (instanceRef mux_p_4_12)) + (portRef C (instanceRef mux_p_4_13)) + (portRef C (instanceRef mux_p_4_14)) + (portRef C (instanceRef mux_p_4_15)) + (portRef C (instanceRef mux_p_5_0)) + (portRef C (instanceRef mux_p_5_1)) + (portRef C (instanceRef mux_p_5_2)) + (portRef C (instanceRef mux_p_5_3)) + (portRef C (instanceRef mux_p_5_4)) + (portRef C (instanceRef mux_p_5_5)) + (portRef C (instanceRef mux_p_5_6)) + (portRef C (instanceRef mux_p_5_7)) + (portRef C (instanceRef mux_p_5_8)) + (portRef C (instanceRef mux_p_5_9)) + (portRef C (instanceRef mux_p_5_10)) + (portRef C (instanceRef mux_p_5_11)) + (portRef C (instanceRef mux_p_5_12)) + (portRef C (instanceRef mux_p_5_13)) + (portRef C (instanceRef mux_p_5_14)) + (portRef C (instanceRef mux_p_5_15)) + (portRef C (instanceRef mux_p_6_0)) + (portRef C (instanceRef mux_p_6_1)) + (portRef C (instanceRef mux_p_6_2)) + (portRef C (instanceRef mux_p_6_3)) + (portRef C (instanceRef mux_p_6_4)) + (portRef C (instanceRef mux_p_6_5)) + (portRef C (instanceRef mux_p_6_6)) + (portRef C (instanceRef mux_p_6_7)) + (portRef C (instanceRef mux_p_6_8)) + (portRef C (instanceRef mux_p_6_9)) + (portRef C (instanceRef mux_p_6_10)) + (portRef C (instanceRef mux_p_6_11)) + (portRef C (instanceRef mux_p_6_12)) + (portRef C (instanceRef mux_p_6_13)) + (portRef C (instanceRef mux_p_6_14)) + (portRef C (instanceRef mux_p_6_15)) + (portRef C (instanceRef mux_p_7_0)) + (portRef C (instanceRef mux_p_7_1)) + (portRef C (instanceRef mux_p_7_2)) + (portRef C (instanceRef mux_p_7_3)) + (portRef C (instanceRef mux_p_7_4)) + (portRef C (instanceRef mux_p_7_5)) + (portRef C (instanceRef mux_p_7_6)) + (portRef C (instanceRef mux_p_7_7)) + (portRef C (instanceRef mux_p_7_8)) + (portRef C (instanceRef mux_p_7_9)) + (portRef C (instanceRef mux_p_7_10)) + (portRef C (instanceRef mux_p_7_11)) + (portRef C (instanceRef mux_p_7_12)) + (portRef C (instanceRef mux_p_7_13)) + (portRef C (instanceRef mux_p_7_14)) + (portRef C (instanceRef mux_p_7_15)) + (portRef C (instanceRef mux_p_2_0)) + (portRef C (instanceRef mux_p_2_1)) + (portRef C (instanceRef mux_p_2_2)) + (portRef C (instanceRef mux_p_2_3)) + (portRef C (instanceRef mux_p_2_4)) + (portRef C (instanceRef mux_p_2_5)) + (portRef C (instanceRef mux_p_2_6)) + (portRef C (instanceRef mux_p_2_7)) + (portRef C (instanceRef mux_p_2_8)) + (portRef C (instanceRef mux_p_2_9)) + (portRef C (instanceRef mux_p_2_10)) + (portRef C (instanceRef mux_p_2_11)) + (portRef C (instanceRef mux_p_2_12)) + (portRef C (instanceRef mux_p_2_13)) + (portRef C (instanceRef mux_p_2_14)) + (portRef C (instanceRef mux_p_2_15)) + (portRef C (instanceRef mux_p_3_0)) + (portRef C (instanceRef mux_p_3_1)) + (portRef C (instanceRef mux_p_3_2)) + (portRef C (instanceRef mux_p_3_3)) + (portRef C (instanceRef mux_p_3_4)) + (portRef C (instanceRef mux_p_3_5)) + (portRef C (instanceRef mux_p_3_6)) + (portRef C (instanceRef mux_p_3_7)) + (portRef C (instanceRef mux_p_3_8)) + (portRef C (instanceRef mux_p_3_9)) + (portRef C (instanceRef mux_p_3_10)) + (portRef C (instanceRef mux_p_3_11)) + (portRef C (instanceRef mux_p_3_12)) + (portRef C (instanceRef mux_p_3_13)) + (portRef C (instanceRef mux_p_3_14)) + (portRef C (instanceRef mux_p_3_15)) + (portRef C (instanceRef mux_p_0_0)) + (portRef C (instanceRef mux_p_0_1)) + (portRef C (instanceRef mux_p_0_2)) + (portRef C (instanceRef mux_p_0_3)) + (portRef C (instanceRef mux_p_0_4)) + (portRef C (instanceRef mux_p_0_5)) + (portRef C (instanceRef mux_p_0_6)) + (portRef C (instanceRef mux_p_0_7)) + (portRef C (instanceRef mux_p_0_8)) + (portRef C (instanceRef mux_p_0_9)) + (portRef C (instanceRef mux_p_0_10)) + (portRef C (instanceRef mux_p_0_11)) + (portRef C (instanceRef mux_p_0_12)) + (portRef C (instanceRef mux_p_0_13)) + (portRef C (instanceRef mux_p_0_14)) + (portRef C (instanceRef mux_p_0_15)) + (portRef C (instanceRef mux_p_1_0)) + (portRef C (instanceRef mux_p_1_1)) + (portRef C (instanceRef mux_p_1_2)) + (portRef C (instanceRef mux_p_1_3)) + (portRef C (instanceRef mux_p_1_4)) + (portRef C (instanceRef mux_p_1_5)) + (portRef C (instanceRef mux_p_1_6)) + (portRef C (instanceRef mux_p_1_7)) + (portRef C (instanceRef mux_p_1_8)) + (portRef C (instanceRef mux_p_1_9)) + (portRef C (instanceRef mux_p_1_10)) + (portRef C (instanceRef mux_p_1_11)) + (portRef C (instanceRef mux_p_1_12)) + (portRef C (instanceRef mux_p_1_13)) + (portRef C (instanceRef mux_p_1_14)) + (portRef C (instanceRef mux_p_1_15)) + )) + (net rstn_i (joined + (portRef rstn_i) + (portRef CLR (instanceRef dout_r4_12)) + (portRef CLR (instanceRef dout_r4_11)) + (portRef CLR (instanceRef dout_r4_10)) + (portRef CLR (instanceRef dout_r4_9)) + (portRef CLR (instanceRef dout_r4_8)) + (portRef CLR (instanceRef dout_r4_7)) + (portRef CLR (instanceRef dout_r4_6)) + (portRef CLR (instanceRef dout_r4_5)) + (portRef CLR (instanceRef dout_r4_4)) + (portRef CLR (instanceRef dout_r4_3)) + (portRef CLR (instanceRef dout_r4_2)) + (portRef CLR (instanceRef dout_r4_1)) + (portRef CLR (instanceRef dout_r4_0)) + (portRef CLR (instanceRef dout_r1_11)) + (portRef CLR (instanceRef dout_r1_10)) + (portRef CLR (instanceRef dout_r1_9)) + (portRef CLR (instanceRef dout_r1_8)) + (portRef CLR (instanceRef dout_r1_7)) + (portRef CLR (instanceRef dout_r1_6)) + (portRef CLR (instanceRef dout_r1_5)) + (portRef CLR (instanceRef dout_r1_4)) + (portRef CLR (instanceRef dout_r1_3)) + (portRef CLR (instanceRef dout_r1_2)) + (portRef CLR (instanceRef dout_r1_1)) + (portRef CLR (instanceRef dout_r1_0)) + (portRef CLR (instanceRef dout_r4_15)) + (portRef CLR (instanceRef dout_r4_14)) + (portRef CLR (instanceRef dout_r4_13)) + (portRef CLR (instanceRef dout_r2_10)) + (portRef CLR (instanceRef dout_r2_9)) + (portRef CLR (instanceRef dout_r2_8)) + (portRef CLR (instanceRef dout_r2_7)) + (portRef CLR (instanceRef dout_r2_6)) + (portRef CLR (instanceRef dout_r2_5)) + (portRef CLR (instanceRef dout_r2_4)) + (portRef CLR (instanceRef dout_r2_3)) + (portRef CLR (instanceRef dout_r2_2)) + (portRef CLR (instanceRef dout_r2_1)) + (portRef CLR (instanceRef dout_r2_0)) + (portRef CLR (instanceRef dout_r1_15)) + (portRef CLR (instanceRef dout_r1_14)) + (portRef CLR (instanceRef dout_r1_13)) + (portRef CLR (instanceRef dout_r1_12)) + (portRef CLR (instanceRef dout_r3_9)) + (portRef CLR (instanceRef dout_r3_8)) + (portRef CLR (instanceRef dout_r3_7)) + (portRef CLR (instanceRef dout_r3_6)) + (portRef CLR (instanceRef dout_r3_5)) + (portRef CLR (instanceRef dout_r3_4)) + (portRef CLR (instanceRef dout_r3_3)) + (portRef CLR (instanceRef dout_r3_2)) + (portRef CLR (instanceRef dout_r3_1)) + (portRef CLR (instanceRef dout_r3_0)) + (portRef CLR (instanceRef dout_r2_15)) + (portRef CLR (instanceRef dout_r2_14)) + (portRef CLR (instanceRef dout_r2_13)) + (portRef CLR (instanceRef dout_r2_12)) + (portRef CLR (instanceRef dout_r2_11)) + (portRef CLR (instanceRef dout_r5_8)) + (portRef CLR (instanceRef dout_r5_7)) + (portRef CLR (instanceRef dout_r5_6)) + (portRef CLR (instanceRef dout_r5_5)) + (portRef CLR (instanceRef dout_r5_4)) + (portRef CLR (instanceRef dout_r5_3)) + (portRef CLR (instanceRef dout_r5_2)) + (portRef CLR (instanceRef dout_r5_1)) + (portRef CLR (instanceRef dout_r5_0)) + (portRef CLR (instanceRef dout_r3_15)) + (portRef CLR (instanceRef dout_r3_14)) + (portRef CLR (instanceRef dout_r3_13)) + (portRef CLR (instanceRef dout_r3_12)) + (portRef CLR (instanceRef dout_r3_11)) + (portRef CLR (instanceRef dout_r3_10)) + (portRef CLR (instanceRef dout_r6_7)) + (portRef CLR (instanceRef dout_r6_6)) + (portRef CLR (instanceRef dout_r6_5)) + (portRef CLR (instanceRef dout_r6_4)) + (portRef CLR (instanceRef dout_r6_3)) + (portRef CLR (instanceRef dout_r6_2)) + (portRef CLR (instanceRef dout_r6_1)) + (portRef CLR (instanceRef dout_r6_0)) + (portRef CLR (instanceRef dout_r5_15)) + (portRef CLR (instanceRef dout_r5_14)) + (portRef CLR (instanceRef dout_r5_13)) + (portRef CLR (instanceRef dout_r5_12)) + (portRef CLR (instanceRef dout_r5_11)) + (portRef CLR (instanceRef dout_r5_10)) + (portRef CLR (instanceRef dout_r5_9)) + (portRef CLR (instanceRef dout_r7_6)) + (portRef CLR (instanceRef dout_r7_5)) + (portRef CLR (instanceRef dout_r7_4)) + (portRef CLR (instanceRef dout_r7_3)) + (portRef CLR (instanceRef dout_r7_2)) + (portRef CLR (instanceRef dout_r7_1)) + (portRef CLR (instanceRef dout_r7_0)) + (portRef CLR (instanceRef dout_r6_15)) + (portRef CLR (instanceRef dout_r6_14)) + (portRef CLR (instanceRef dout_r6_13)) + (portRef CLR (instanceRef dout_r6_12)) + (portRef CLR (instanceRef dout_r6_11)) + (portRef CLR (instanceRef dout_r6_10)) + (portRef CLR (instanceRef dout_r6_9)) + (portRef CLR (instanceRef dout_r6_8)) + (portRef CLR (instanceRef dout_r7_15)) + (portRef CLR (instanceRef dout_r7_14)) + (portRef CLR (instanceRef dout_r7_13)) + (portRef CLR (instanceRef dout_r7_12)) + (portRef CLR (instanceRef dout_r7_11)) + (portRef CLR (instanceRef dout_r7_10)) + (portRef CLR (instanceRef dout_r7_9)) + (portRef CLR (instanceRef dout_r7_8)) + (portRef CLR (instanceRef dout_r7_7)) + (portRef CLR (instanceRef dout_r0_15)) + (portRef CLR (instanceRef dout_r0_14)) + (portRef CLR (instanceRef dout_r0_13)) + (portRef CLR (instanceRef dout_r0_12)) + (portRef CLR (instanceRef dout_r0_11)) + (portRef CLR (instanceRef dout_r0_10)) + (portRef CLR (instanceRef dout_r0_9)) + (portRef CLR (instanceRef dout_r0_8)) + (portRef CLR (instanceRef dout_r0_7)) + (portRef CLR (instanceRef dout_r0_6)) + (portRef CLR (instanceRef dout_r0_5)) + (portRef CLR (instanceRef dout_r0_4)) + (portRef CLR (instanceRef dout_r0_3)) + (portRef CLR (instanceRef dout_r0_2)) + (portRef CLR (instanceRef dout_r0_1)) + (portRef CLR (instanceRef dout_r0_0)) + (portRef CLR (instanceRef din_r1_15)) + (portRef CLR (instanceRef din_r1_14)) + (portRef CLR (instanceRef din_r1_13)) + (portRef CLR (instanceRef din_r1_12)) + (portRef CLR (instanceRef din_r1_11)) + (portRef CLR (instanceRef din_r1_10)) + (portRef CLR (instanceRef din_r1_9)) + (portRef CLR (instanceRef din_r1_8)) + (portRef CLR (instanceRef din_r1_7)) + (portRef CLR (instanceRef din_r1_6)) + (portRef CLR (instanceRef din_r1_5)) + (portRef CLR (instanceRef din_r1_4)) + (portRef CLR (instanceRef din_r1_3)) + (portRef CLR (instanceRef din_r1_2)) + (portRef CLR (instanceRef din_r1_1)) + (portRef CLR (instanceRef din_r1_0)) + (portRef R (instanceRef mux_p_0_0)) + (portRef R (instanceRef mux_p_0_1)) + (portRef R (instanceRef mux_p_0_2)) + (portRef R (instanceRef mux_p_0_3)) + (portRef R (instanceRef mux_p_0_4)) + (portRef R (instanceRef mux_p_0_5)) + (portRef R (instanceRef mux_p_0_6)) + (portRef R (instanceRef mux_p_0_7)) + (portRef R (instanceRef mux_p_0_8)) + (portRef R (instanceRef mux_p_0_9)) + (portRef R (instanceRef mux_p_0_10)) + (portRef R (instanceRef mux_p_0_11)) + (portRef R (instanceRef mux_p_0_12)) + (portRef R (instanceRef mux_p_0_13)) + (portRef R (instanceRef mux_p_0_14)) + (portRef R (instanceRef mux_p_0_15)) + (portRef R (instanceRef mux_p_1_0)) + (portRef R (instanceRef mux_p_1_1)) + (portRef R (instanceRef mux_p_1_2)) + (portRef R (instanceRef mux_p_1_3)) + (portRef R (instanceRef mux_p_1_4)) + (portRef R (instanceRef mux_p_1_5)) + (portRef R (instanceRef mux_p_1_6)) + (portRef R (instanceRef mux_p_1_7)) + (portRef R (instanceRef mux_p_1_8)) + (portRef R (instanceRef mux_p_1_9)) + (portRef R (instanceRef mux_p_1_10)) + (portRef R (instanceRef mux_p_1_11)) + (portRef R (instanceRef mux_p_1_12)) + (portRef R (instanceRef mux_p_1_13)) + (portRef R (instanceRef mux_p_1_14)) + (portRef R (instanceRef mux_p_1_15)) + )) + (net en (joined + (portRef en) + (portRef CE (instanceRef dout_r4_12)) + (portRef CE (instanceRef dout_r4_11)) + (portRef CE (instanceRef dout_r4_10)) + (portRef CE (instanceRef dout_r4_9)) + (portRef CE (instanceRef dout_r4_8)) + (portRef CE (instanceRef dout_r4_7)) + (portRef CE (instanceRef dout_r4_6)) + (portRef CE (instanceRef dout_r4_5)) + (portRef CE (instanceRef dout_r4_4)) + (portRef CE (instanceRef dout_r4_3)) + (portRef CE (instanceRef dout_r4_2)) + (portRef CE (instanceRef dout_r4_1)) + (portRef CE (instanceRef dout_r4_0)) + (portRef CE (instanceRef dout_r1_11)) + (portRef CE (instanceRef dout_r1_10)) + (portRef CE (instanceRef dout_r1_9)) + (portRef CE (instanceRef dout_r1_8)) + (portRef CE (instanceRef dout_r1_7)) + (portRef CE (instanceRef dout_r1_6)) + (portRef CE (instanceRef dout_r1_5)) + (portRef CE (instanceRef dout_r1_4)) + (portRef CE (instanceRef dout_r1_3)) + (portRef CE (instanceRef dout_r1_2)) + (portRef CE (instanceRef dout_r1_1)) + (portRef CE (instanceRef dout_r1_0)) + (portRef CE (instanceRef dout_r4_15)) + (portRef CE (instanceRef dout_r4_14)) + (portRef CE (instanceRef dout_r4_13)) + (portRef CE (instanceRef dout_r2_10)) + (portRef CE (instanceRef dout_r2_9)) + (portRef CE (instanceRef dout_r2_8)) + (portRef CE (instanceRef dout_r2_7)) + (portRef CE (instanceRef dout_r2_6)) + (portRef CE (instanceRef dout_r2_5)) + (portRef CE (instanceRef dout_r2_4)) + (portRef CE (instanceRef dout_r2_3)) + (portRef CE (instanceRef dout_r2_2)) + (portRef CE (instanceRef dout_r2_1)) + (portRef CE (instanceRef dout_r2_0)) + (portRef CE (instanceRef dout_r1_15)) + (portRef CE (instanceRef dout_r1_14)) + (portRef CE (instanceRef dout_r1_13)) + (portRef CE (instanceRef dout_r1_12)) + (portRef CE (instanceRef dout_r3_9)) + (portRef CE (instanceRef dout_r3_8)) + (portRef CE (instanceRef dout_r3_7)) + (portRef CE (instanceRef dout_r3_6)) + (portRef CE (instanceRef dout_r3_5)) + (portRef CE (instanceRef dout_r3_4)) + (portRef CE (instanceRef dout_r3_3)) + (portRef CE (instanceRef dout_r3_2)) + (portRef CE (instanceRef dout_r3_1)) + (portRef CE (instanceRef dout_r3_0)) + (portRef CE (instanceRef dout_r2_15)) + (portRef CE (instanceRef dout_r2_14)) + (portRef CE (instanceRef dout_r2_13)) + (portRef CE (instanceRef dout_r2_12)) + (portRef CE (instanceRef dout_r2_11)) + (portRef CE (instanceRef dout_r5_8)) + (portRef CE (instanceRef dout_r5_7)) + (portRef CE (instanceRef dout_r5_6)) + (portRef CE (instanceRef dout_r5_5)) + (portRef CE (instanceRef dout_r5_4)) + (portRef CE (instanceRef dout_r5_3)) + (portRef CE (instanceRef dout_r5_2)) + (portRef CE (instanceRef dout_r5_1)) + (portRef CE (instanceRef dout_r5_0)) + (portRef CE (instanceRef dout_r3_15)) + (portRef CE (instanceRef dout_r3_14)) + (portRef CE (instanceRef dout_r3_13)) + (portRef CE (instanceRef dout_r3_12)) + (portRef CE (instanceRef dout_r3_11)) + (portRef CE (instanceRef dout_r3_10)) + (portRef CE (instanceRef dout_r6_7)) + (portRef CE (instanceRef dout_r6_6)) + (portRef CE (instanceRef dout_r6_5)) + (portRef CE (instanceRef dout_r6_4)) + (portRef CE (instanceRef dout_r6_3)) + (portRef CE (instanceRef dout_r6_2)) + (portRef CE (instanceRef dout_r6_1)) + (portRef CE (instanceRef dout_r6_0)) + (portRef CE (instanceRef dout_r5_15)) + (portRef CE (instanceRef dout_r5_14)) + (portRef CE (instanceRef dout_r5_13)) + (portRef CE (instanceRef dout_r5_12)) + (portRef CE (instanceRef dout_r5_11)) + (portRef CE (instanceRef dout_r5_10)) + (portRef CE (instanceRef dout_r5_9)) + (portRef CE (instanceRef dout_r7_6)) + (portRef CE (instanceRef dout_r7_5)) + (portRef CE (instanceRef dout_r7_4)) + (portRef CE (instanceRef dout_r7_3)) + (portRef CE (instanceRef dout_r7_2)) + (portRef CE (instanceRef dout_r7_1)) + (portRef CE (instanceRef dout_r7_0)) + (portRef CE (instanceRef dout_r6_15)) + (portRef CE (instanceRef dout_r6_14)) + (portRef CE (instanceRef dout_r6_13)) + (portRef CE (instanceRef dout_r6_12)) + (portRef CE (instanceRef dout_r6_11)) + (portRef CE (instanceRef dout_r6_10)) + (portRef CE (instanceRef dout_r6_9)) + (portRef CE (instanceRef dout_r6_8)) + (portRef CE (instanceRef dout_r7_15)) + (portRef CE (instanceRef dout_r7_14)) + (portRef CE (instanceRef dout_r7_13)) + (portRef CE (instanceRef dout_r7_12)) + (portRef CE (instanceRef dout_r7_11)) + (portRef CE (instanceRef dout_r7_10)) + (portRef CE (instanceRef dout_r7_9)) + (portRef CE (instanceRef dout_r7_8)) + (portRef CE (instanceRef dout_r7_7)) + (portRef CE (instanceRef dout_r0_15)) + (portRef CE (instanceRef dout_r0_14)) + (portRef CE (instanceRef dout_r0_13)) + (portRef CE (instanceRef dout_r0_12)) + (portRef CE (instanceRef dout_r0_11)) + (portRef CE (instanceRef dout_r0_10)) + (portRef CE (instanceRef dout_r0_9)) + (portRef CE (instanceRef dout_r0_8)) + (portRef CE (instanceRef dout_r0_7)) + (portRef CE (instanceRef dout_r0_6)) + (portRef CE (instanceRef dout_r0_5)) + (portRef CE (instanceRef dout_r0_4)) + (portRef CE (instanceRef dout_r0_3)) + (portRef CE (instanceRef dout_r0_2)) + (portRef CE (instanceRef dout_r0_1)) + (portRef CE (instanceRef dout_r0_0)) + (portRef CE (instanceRef din_r1_15)) + (portRef CE (instanceRef din_r1_14)) + (portRef CE (instanceRef din_r1_13)) + (portRef CE (instanceRef din_r1_12)) + (portRef CE (instanceRef din_r1_11)) + (portRef CE (instanceRef din_r1_10)) + (portRef CE (instanceRef din_r1_9)) + (portRef CE (instanceRef din_r1_8)) + (portRef CE (instanceRef din_r1_7)) + (portRef CE (instanceRef din_r1_6)) + (portRef CE (instanceRef din_r1_5)) + (portRef CE (instanceRef din_r1_4)) + (portRef CE (instanceRef din_r1_3)) + (portRef CE (instanceRef din_r1_2)) + (portRef CE (instanceRef din_r1_1)) + (portRef CE (instanceRef din_r1_0)) + (portRef I0 (instanceRef mux_p_3_196)) + (portRef I0 (instanceRef mux_p_7_198)) + (portRef CE (instanceRef mux_p_4_0)) + (portRef CE (instanceRef mux_p_4_1)) + (portRef CE (instanceRef mux_p_4_2)) + (portRef CE (instanceRef mux_p_4_3)) + (portRef CE (instanceRef mux_p_4_4)) + (portRef CE (instanceRef mux_p_4_5)) + (portRef CE (instanceRef mux_p_4_6)) + (portRef CE (instanceRef mux_p_4_7)) + (portRef CE (instanceRef mux_p_4_8)) + (portRef CE (instanceRef mux_p_4_9)) + (portRef CE (instanceRef mux_p_4_10)) + (portRef CE (instanceRef mux_p_4_11)) + (portRef CE (instanceRef mux_p_4_12)) + (portRef CE (instanceRef mux_p_4_13)) + (portRef CE (instanceRef mux_p_4_14)) + (portRef CE (instanceRef mux_p_4_15)) + (portRef CE (instanceRef mux_p_5_0)) + (portRef CE (instanceRef mux_p_5_1)) + (portRef CE (instanceRef mux_p_5_2)) + (portRef CE (instanceRef mux_p_5_3)) + (portRef CE (instanceRef mux_p_5_4)) + (portRef CE (instanceRef mux_p_5_5)) + (portRef CE (instanceRef mux_p_5_6)) + (portRef CE (instanceRef mux_p_5_7)) + (portRef CE (instanceRef mux_p_5_8)) + (portRef CE (instanceRef mux_p_5_9)) + (portRef CE (instanceRef mux_p_5_10)) + (portRef CE (instanceRef mux_p_5_11)) + (portRef CE (instanceRef mux_p_5_12)) + (portRef CE (instanceRef mux_p_5_13)) + (portRef CE (instanceRef mux_p_5_14)) + (portRef CE (instanceRef mux_p_5_15)) + (portRef CE (instanceRef mux_p_6_0)) + (portRef CE (instanceRef mux_p_6_1)) + (portRef CE (instanceRef mux_p_6_2)) + (portRef CE (instanceRef mux_p_6_3)) + (portRef CE (instanceRef mux_p_6_4)) + (portRef CE (instanceRef mux_p_6_5)) + (portRef CE (instanceRef mux_p_6_6)) + (portRef CE (instanceRef mux_p_6_7)) + (portRef CE (instanceRef mux_p_6_8)) + (portRef CE (instanceRef mux_p_6_9)) + (portRef CE (instanceRef mux_p_6_10)) + (portRef CE (instanceRef mux_p_6_11)) + (portRef CE (instanceRef mux_p_6_12)) + (portRef CE (instanceRef mux_p_6_13)) + (portRef CE (instanceRef mux_p_6_14)) + (portRef CE (instanceRef mux_p_6_15)) + (portRef CE (instanceRef mux_p_7_0)) + (portRef CE (instanceRef mux_p_7_1)) + (portRef CE (instanceRef mux_p_7_2)) + (portRef CE (instanceRef mux_p_7_3)) + (portRef CE (instanceRef mux_p_7_4)) + (portRef CE (instanceRef mux_p_7_5)) + (portRef CE (instanceRef mux_p_7_6)) + (portRef CE (instanceRef mux_p_7_7)) + (portRef CE (instanceRef mux_p_7_8)) + (portRef CE (instanceRef mux_p_7_9)) + (portRef CE (instanceRef mux_p_7_10)) + (portRef CE (instanceRef mux_p_7_11)) + (portRef CE (instanceRef mux_p_7_12)) + (portRef CE (instanceRef mux_p_7_13)) + (portRef CE (instanceRef mux_p_7_14)) + (portRef CE (instanceRef mux_p_7_15)) + (portRef CE (instanceRef mux_p_2_0)) + (portRef CE (instanceRef mux_p_2_1)) + (portRef CE (instanceRef mux_p_2_2)) + (portRef CE (instanceRef mux_p_2_3)) + (portRef CE (instanceRef mux_p_2_4)) + (portRef CE (instanceRef mux_p_2_5)) + (portRef CE (instanceRef mux_p_2_6)) + (portRef CE (instanceRef mux_p_2_7)) + (portRef CE (instanceRef mux_p_2_8)) + (portRef CE (instanceRef mux_p_2_9)) + (portRef CE (instanceRef mux_p_2_10)) + (portRef CE (instanceRef mux_p_2_11)) + (portRef CE (instanceRef mux_p_2_12)) + (portRef CE (instanceRef mux_p_2_13)) + (portRef CE (instanceRef mux_p_2_14)) + (portRef CE (instanceRef mux_p_2_15)) + (portRef CE (instanceRef mux_p_3_0)) + (portRef CE (instanceRef mux_p_3_1)) + (portRef CE (instanceRef mux_p_3_2)) + (portRef CE (instanceRef mux_p_3_3)) + (portRef CE (instanceRef mux_p_3_4)) + (portRef CE (instanceRef mux_p_3_5)) + (portRef CE (instanceRef mux_p_3_6)) + (portRef CE (instanceRef mux_p_3_7)) + (portRef CE (instanceRef mux_p_3_8)) + (portRef CE (instanceRef mux_p_3_9)) + (portRef CE (instanceRef mux_p_3_10)) + (portRef CE (instanceRef mux_p_3_11)) + (portRef CE (instanceRef mux_p_3_12)) + (portRef CE (instanceRef mux_p_3_13)) + (portRef CE (instanceRef mux_p_3_14)) + (portRef CE (instanceRef mux_p_3_15)) + (portRef CE (instanceRef mux_p_0_0)) + (portRef CE (instanceRef mux_p_0_1)) + (portRef CE (instanceRef mux_p_0_2)) + (portRef CE (instanceRef mux_p_0_3)) + (portRef CE (instanceRef mux_p_0_4)) + (portRef CE (instanceRef mux_p_0_5)) + (portRef CE (instanceRef mux_p_0_6)) + (portRef CE (instanceRef mux_p_0_7)) + (portRef CE (instanceRef mux_p_0_8)) + (portRef CE (instanceRef mux_p_0_9)) + (portRef CE (instanceRef mux_p_0_10)) + (portRef CE (instanceRef mux_p_0_11)) + (portRef CE (instanceRef mux_p_0_12)) + (portRef CE (instanceRef mux_p_0_13)) + (portRef CE (instanceRef mux_p_0_14)) + (portRef CE (instanceRef mux_p_0_15)) + (portRef CE (instanceRef mux_p_1_0)) + (portRef CE (instanceRef mux_p_1_1)) + (portRef CE (instanceRef mux_p_1_2)) + (portRef CE (instanceRef mux_p_1_3)) + (portRef CE (instanceRef mux_p_1_4)) + (portRef CE (instanceRef mux_p_1_5)) + (portRef CE (instanceRef mux_p_1_6)) + (portRef CE (instanceRef mux_p_1_7)) + (portRef CE (instanceRef mux_p_1_8)) + (portRef CE (instanceRef mux_p_1_9)) + (portRef CE (instanceRef mux_p_1_10)) + (portRef CE (instanceRef mux_p_1_11)) + (portRef CE (instanceRef mux_p_1_12)) + (portRef CE (instanceRef mux_p_1_13)) + (portRef CE (instanceRef mux_p_1_14)) + (portRef CE (instanceRef mux_p_1_15)) + )) + (net (rename dout_1_14 "dout_1[14]") (joined + (portRef Q (instanceRef mux_p_1_14)) + (portRef (member dout_1 1)) + )) + (net (rename mux_p_1_7_14 "mux_p_1_7[14]") (joined + (portRef LO (instanceRef mux_p_1_7_3_14)) + (portRef D (instanceRef mux_p_1_14)) + )) + (net (rename dout_1_13 "dout_1[13]") (joined + (portRef Q (instanceRef mux_p_1_13)) + (portRef (member dout_1 2)) + )) + (net (rename mux_p_1_7_13 "mux_p_1_7[13]") (joined + (portRef LO (instanceRef mux_p_1_7_3_13)) + (portRef D (instanceRef mux_p_1_13)) + )) + (net (rename dout_1_12 "dout_1[12]") (joined + (portRef Q (instanceRef mux_p_1_12)) + (portRef (member dout_1 3)) + )) + (net (rename mux_p_1_7_12 "mux_p_1_7[12]") (joined + (portRef LO (instanceRef mux_p_1_7_3_12)) + (portRef D (instanceRef mux_p_1_12)) + )) + (net (rename dout_1_11 "dout_1[11]") (joined + (portRef Q (instanceRef mux_p_1_11)) + (portRef (member dout_1 4)) + )) + (net (rename mux_p_1_7_11 "mux_p_1_7[11]") (joined + (portRef LO (instanceRef mux_p_1_7_3_11)) + (portRef D (instanceRef mux_p_1_11)) + )) + (net (rename dout_1_10 "dout_1[10]") (joined + (portRef Q (instanceRef mux_p_1_10)) + (portRef (member dout_1 5)) + )) + (net (rename mux_p_1_7_10 "mux_p_1_7[10]") (joined + (portRef LO (instanceRef mux_p_1_7_3_10)) + (portRef D (instanceRef mux_p_1_10)) + )) + (net (rename dout_1_9 "dout_1[9]") (joined + (portRef Q (instanceRef mux_p_1_9)) + (portRef (member dout_1 6)) + )) + (net (rename mux_p_1_7_9 "mux_p_1_7[9]") (joined + (portRef LO (instanceRef mux_p_1_7_3_9)) + (portRef D (instanceRef mux_p_1_9)) + )) + (net (rename dout_1_8 "dout_1[8]") (joined + (portRef Q (instanceRef mux_p_1_8)) + (portRef (member dout_1 7)) + )) + (net (rename mux_p_1_7_8 "mux_p_1_7[8]") (joined + (portRef LO (instanceRef mux_p_1_7_3_8)) + (portRef D (instanceRef mux_p_1_8)) + )) + (net (rename dout_1_7 "dout_1[7]") (joined + (portRef Q (instanceRef mux_p_1_7)) + (portRef (member dout_1 8)) + )) + (net (rename mux_p_1_7_7 "mux_p_1_7[7]") (joined + (portRef LO (instanceRef mux_p_1_7_3_7)) + (portRef D (instanceRef mux_p_1_7)) + )) + (net (rename dout_1_6 "dout_1[6]") (joined + (portRef Q (instanceRef mux_p_1_6)) + (portRef (member dout_1 9)) + )) + (net (rename mux_p_1_7_6 "mux_p_1_7[6]") (joined + (portRef LO (instanceRef mux_p_1_7_3_6)) + (portRef D (instanceRef mux_p_1_6)) + )) + (net (rename dout_1_5 "dout_1[5]") (joined + (portRef Q (instanceRef mux_p_1_5)) + (portRef (member dout_1 10)) + )) + (net (rename mux_p_1_7_5 "mux_p_1_7[5]") (joined + (portRef LO (instanceRef mux_p_1_7_3_5)) + (portRef D (instanceRef mux_p_1_5)) + )) + (net (rename dout_1_4 "dout_1[4]") (joined + (portRef Q (instanceRef mux_p_1_4)) + (portRef (member dout_1 11)) + )) + (net (rename mux_p_1_7_4 "mux_p_1_7[4]") (joined + (portRef LO (instanceRef mux_p_1_7_3_4)) + (portRef D (instanceRef mux_p_1_4)) + )) + (net (rename dout_1_3 "dout_1[3]") (joined + (portRef Q (instanceRef mux_p_1_3)) + (portRef (member dout_1 12)) + )) + (net (rename mux_p_1_7_3 "mux_p_1_7[3]") (joined + (portRef LO (instanceRef mux_p_1_7_3_3)) + (portRef D (instanceRef mux_p_1_3)) + )) + (net (rename dout_1_2 "dout_1[2]") (joined + (portRef Q (instanceRef mux_p_1_2)) + (portRef (member dout_1 13)) + )) + (net (rename mux_p_1_7_2 "mux_p_1_7[2]") (joined + (portRef LO (instanceRef mux_p_1_7_3_2)) + (portRef D (instanceRef mux_p_1_2)) + )) + (net (rename dout_1_1 "dout_1[1]") (joined + (portRef Q (instanceRef mux_p_1_1)) + (portRef (member dout_1 14)) + )) + (net (rename mux_p_1_7_1 "mux_p_1_7[1]") (joined + (portRef LO (instanceRef mux_p_1_7_3_1)) + (portRef D (instanceRef mux_p_1_1)) + )) + (net (rename dout_1_0 "dout_1[0]") (joined + (portRef Q (instanceRef mux_p_1_0)) + (portRef (member dout_1 15)) + )) + (net (rename mux_p_1_7_0 "mux_p_1_7[0]") (joined + (portRef LO (instanceRef mux_p_1_7_3_0)) + (portRef D (instanceRef mux_p_1_0)) + )) + (net (rename dout_0_15 "dout_0[15]") (joined + (portRef Q (instanceRef mux_p_0_15)) + (portRef (member dout_0 0)) + )) + (net (rename dout_r0Z0Z_15 "dout_r0[15]") (joined + (portRef Q (instanceRef dout_r0_15)) + (portRef D (instanceRef mux_p_0_15)) + )) + (net (rename dout_0_14 "dout_0[14]") (joined + (portRef Q (instanceRef mux_p_0_14)) + (portRef (member dout_0 1)) + )) + (net (rename dout_r0Z0Z_14 "dout_r0[14]") (joined + (portRef Q (instanceRef dout_r0_14)) + (portRef D (instanceRef mux_p_0_14)) + )) + (net (rename dout_0_13 "dout_0[13]") (joined + (portRef Q (instanceRef mux_p_0_13)) + (portRef (member dout_0 2)) + )) + (net (rename dout_r0Z0Z_13 "dout_r0[13]") (joined + (portRef Q (instanceRef dout_r0_13)) + (portRef D (instanceRef mux_p_0_13)) + )) + (net (rename dout_0_12 "dout_0[12]") (joined + (portRef Q (instanceRef mux_p_0_12)) + (portRef (member dout_0 3)) + )) + (net (rename dout_r0Z0Z_12 "dout_r0[12]") (joined + (portRef Q (instanceRef dout_r0_12)) + (portRef D (instanceRef mux_p_0_12)) + )) + (net (rename dout_0_11 "dout_0[11]") (joined + (portRef Q (instanceRef mux_p_0_11)) + (portRef (member dout_0 4)) + )) + (net (rename dout_r0Z0Z_11 "dout_r0[11]") (joined + (portRef Q (instanceRef dout_r0_11)) + (portRef D (instanceRef mux_p_0_11)) + )) + (net (rename dout_0_10 "dout_0[10]") (joined + (portRef Q (instanceRef mux_p_0_10)) + (portRef (member dout_0 5)) + )) + (net (rename dout_r0Z0Z_10 "dout_r0[10]") (joined + (portRef Q (instanceRef dout_r0_10)) + (portRef D (instanceRef mux_p_0_10)) + )) + (net (rename dout_0_9 "dout_0[9]") (joined + (portRef Q (instanceRef mux_p_0_9)) + (portRef (member dout_0 6)) + )) + (net (rename dout_r0Z0Z_9 "dout_r0[9]") (joined + (portRef Q (instanceRef dout_r0_9)) + (portRef D (instanceRef mux_p_0_9)) + )) + (net (rename dout_0_8 "dout_0[8]") (joined + (portRef Q (instanceRef mux_p_0_8)) + (portRef (member dout_0 7)) + )) + (net (rename dout_r0Z0Z_8 "dout_r0[8]") (joined + (portRef Q (instanceRef dout_r0_8)) + (portRef D (instanceRef mux_p_0_8)) + )) + (net (rename dout_0_7 "dout_0[7]") (joined + (portRef Q (instanceRef mux_p_0_7)) + (portRef (member dout_0 8)) + )) + (net (rename dout_r0Z0Z_7 "dout_r0[7]") (joined + (portRef Q (instanceRef dout_r0_7)) + (portRef D (instanceRef mux_p_0_7)) + )) + (net (rename dout_0_6 "dout_0[6]") (joined + (portRef Q (instanceRef mux_p_0_6)) + (portRef (member dout_0 9)) + )) + (net (rename dout_r0Z0Z_6 "dout_r0[6]") (joined + (portRef Q (instanceRef dout_r0_6)) + (portRef D (instanceRef mux_p_0_6)) + )) + (net (rename dout_0_5 "dout_0[5]") (joined + (portRef Q (instanceRef mux_p_0_5)) + (portRef (member dout_0 10)) + )) + (net (rename dout_r0Z0Z_5 "dout_r0[5]") (joined + (portRef Q (instanceRef dout_r0_5)) + (portRef D (instanceRef mux_p_0_5)) + )) + (net (rename dout_0_4 "dout_0[4]") (joined + (portRef Q (instanceRef mux_p_0_4)) + (portRef (member dout_0 11)) + )) + (net (rename dout_r0Z0Z_4 "dout_r0[4]") (joined + (portRef Q (instanceRef dout_r0_4)) + (portRef D (instanceRef mux_p_0_4)) + )) + (net (rename dout_0_3 "dout_0[3]") (joined + (portRef Q (instanceRef mux_p_0_3)) + (portRef (member dout_0 12)) + )) + (net (rename dout_r0Z0Z_3 "dout_r0[3]") (joined + (portRef Q (instanceRef dout_r0_3)) + (portRef D (instanceRef mux_p_0_3)) + )) + (net (rename dout_0_2 "dout_0[2]") (joined + (portRef Q (instanceRef mux_p_0_2)) + (portRef (member dout_0 13)) + )) + (net (rename dout_r0Z0Z_2 "dout_r0[2]") (joined + (portRef Q (instanceRef dout_r0_2)) + (portRef D (instanceRef mux_p_0_2)) + )) + (net (rename dout_0_1 "dout_0[1]") (joined + (portRef Q (instanceRef mux_p_0_1)) + (portRef (member dout_0 14)) + )) + (net (rename dout_r0Z0Z_1 "dout_r0[1]") (joined + (portRef Q (instanceRef dout_r0_1)) + (portRef D (instanceRef mux_p_0_1)) + )) + (net (rename dout_0_0 "dout_0[0]") (joined + (portRef Q (instanceRef mux_p_0_0)) + (portRef (member dout_0 15)) + )) + (net (rename dout_r0Z0Z_0 "dout_r0[0]") (joined + (portRef Q (instanceRef dout_r0_0)) + (portRef D (instanceRef mux_p_0_0)) + )) + (net (rename dout_3_15 "dout_3[15]") (joined + (portRef Q (instanceRef mux_p_3_15)) + (portRef (member dout_3 0)) + )) + (net N_264 (joined + (portRef LO (instanceRef mux_p_3_6_0_15)) + (portRef D (instanceRef mux_p_3_15)) + )) + (net (rename mux_p_3Z0Z_196 "mux_p_3_196") (joined + (portRef O (instanceRef mux_p_3_196)) + (portRef R (instanceRef mux_p_2_0)) + (portRef R (instanceRef mux_p_2_1)) + (portRef R (instanceRef mux_p_2_2)) + (portRef R (instanceRef mux_p_2_3)) + (portRef R (instanceRef mux_p_2_4)) + (portRef R (instanceRef mux_p_2_5)) + (portRef R (instanceRef mux_p_2_6)) + (portRef R (instanceRef mux_p_2_7)) + (portRef R (instanceRef mux_p_2_8)) + (portRef R (instanceRef mux_p_2_9)) + (portRef R (instanceRef mux_p_2_10)) + (portRef R (instanceRef mux_p_2_11)) + (portRef R (instanceRef mux_p_2_12)) + (portRef R (instanceRef mux_p_2_13)) + (portRef R (instanceRef mux_p_2_14)) + (portRef R (instanceRef mux_p_2_15)) + (portRef R (instanceRef mux_p_3_0)) + (portRef R (instanceRef mux_p_3_1)) + (portRef R (instanceRef mux_p_3_2)) + (portRef R (instanceRef mux_p_3_3)) + (portRef R (instanceRef mux_p_3_4)) + (portRef R (instanceRef mux_p_3_5)) + (portRef R (instanceRef mux_p_3_6)) + (portRef R (instanceRef mux_p_3_7)) + (portRef R (instanceRef mux_p_3_8)) + (portRef R (instanceRef mux_p_3_9)) + (portRef R (instanceRef mux_p_3_10)) + (portRef R (instanceRef mux_p_3_11)) + (portRef R (instanceRef mux_p_3_12)) + (portRef R (instanceRef mux_p_3_13)) + (portRef R (instanceRef mux_p_3_14)) + (portRef R (instanceRef mux_p_3_15)) + )) + (net (rename dout_3_14 "dout_3[14]") (joined + (portRef Q (instanceRef mux_p_3_14)) + (portRef (member dout_3 1)) + )) + (net N_263 (joined + (portRef LO (instanceRef mux_p_3_6_0_14)) + (portRef D (instanceRef mux_p_3_14)) + )) + (net (rename dout_3_13 "dout_3[13]") (joined + (portRef Q (instanceRef mux_p_3_13)) + (portRef (member dout_3 2)) + )) + (net N_262 (joined + (portRef LO (instanceRef mux_p_3_6_0_13)) + (portRef D (instanceRef mux_p_3_13)) + )) + (net (rename dout_3_12 "dout_3[12]") (joined + (portRef Q (instanceRef mux_p_3_12)) + (portRef (member dout_3 3)) + )) + (net N_261 (joined + (portRef LO (instanceRef mux_p_3_6_0_12)) + (portRef D (instanceRef mux_p_3_12)) + )) + (net (rename dout_3_11 "dout_3[11]") (joined + (portRef Q (instanceRef mux_p_3_11)) + (portRef (member dout_3 4)) + )) + (net N_260 (joined + (portRef LO (instanceRef mux_p_3_6_0_11)) + (portRef D (instanceRef mux_p_3_11)) + )) + (net (rename dout_3_10 "dout_3[10]") (joined + (portRef Q (instanceRef mux_p_3_10)) + (portRef (member dout_3 5)) + )) + (net N_259 (joined + (portRef LO (instanceRef mux_p_3_6_0_10)) + (portRef D (instanceRef mux_p_3_10)) + )) + (net (rename dout_3_9 "dout_3[9]") (joined + (portRef Q (instanceRef mux_p_3_9)) + (portRef (member dout_3 6)) + )) + (net N_258 (joined + (portRef LO (instanceRef mux_p_3_6_0_9)) + (portRef D (instanceRef mux_p_3_9)) + )) + (net (rename dout_3_8 "dout_3[8]") (joined + (portRef Q (instanceRef mux_p_3_8)) + (portRef (member dout_3 7)) + )) + (net N_257 (joined + (portRef LO (instanceRef mux_p_3_6_0_8)) + (portRef D (instanceRef mux_p_3_8)) + )) + (net (rename dout_3_7 "dout_3[7]") (joined + (portRef Q (instanceRef mux_p_3_7)) + (portRef (member dout_3 8)) + )) + (net N_256 (joined + (portRef LO (instanceRef mux_p_3_6_0_7)) + (portRef D (instanceRef mux_p_3_7)) + )) + (net (rename dout_3_6 "dout_3[6]") (joined + (portRef Q (instanceRef mux_p_3_6)) + (portRef (member dout_3 9)) + )) + (net N_255 (joined + (portRef LO (instanceRef mux_p_3_6_0_6)) + (portRef D (instanceRef mux_p_3_6)) + )) + (net (rename dout_3_5 "dout_3[5]") (joined + (portRef Q (instanceRef mux_p_3_5)) + (portRef (member dout_3 10)) + )) + (net N_254 (joined + (portRef LO (instanceRef mux_p_3_6_0_5)) + (portRef D (instanceRef mux_p_3_5)) + )) + (net (rename dout_3_4 "dout_3[4]") (joined + (portRef Q (instanceRef mux_p_3_4)) + (portRef (member dout_3 11)) + )) + (net N_253 (joined + (portRef LO (instanceRef mux_p_3_6_0_4)) + (portRef D (instanceRef mux_p_3_4)) + )) + (net (rename dout_3_3 "dout_3[3]") (joined + (portRef Q (instanceRef mux_p_3_3)) + (portRef (member dout_3 12)) + )) + (net N_252 (joined + (portRef LO (instanceRef mux_p_3_6_0_3)) + (portRef D (instanceRef mux_p_3_3)) + )) + (net (rename dout_3_2 "dout_3[2]") (joined + (portRef Q (instanceRef mux_p_3_2)) + (portRef (member dout_3 13)) + )) + (net N_251 (joined + (portRef LO (instanceRef mux_p_3_6_0_2)) + (portRef D (instanceRef mux_p_3_2)) + )) + (net (rename dout_3_1 "dout_3[1]") (joined + (portRef Q (instanceRef mux_p_3_1)) + (portRef (member dout_3 14)) + )) + (net N_250 (joined + (portRef LO (instanceRef mux_p_3_6_0_1)) + (portRef D (instanceRef mux_p_3_1)) + )) + (net (rename dout_3_0 "dout_3[0]") (joined + (portRef Q (instanceRef mux_p_3_0)) + (portRef (member dout_3 15)) + )) + (net N_249 (joined + (portRef LO (instanceRef mux_p_3_6_0_0)) + (portRef D (instanceRef mux_p_3_0)) + )) + (net (rename dout_2_15 "dout_2[15]") (joined + (portRef Q (instanceRef mux_p_2_15)) + (portRef (member dout_2 0)) + )) + (net N_300 (joined + (portRef LO (instanceRef mux_p_2_6_0_15)) + (portRef D (instanceRef mux_p_2_15)) + )) + (net (rename dout_2_14 "dout_2[14]") (joined + (portRef Q (instanceRef mux_p_2_14)) + (portRef (member dout_2 1)) + )) + (net N_299 (joined + (portRef LO (instanceRef mux_p_2_6_0_14)) + (portRef D (instanceRef mux_p_2_14)) + )) + (net (rename dout_2_13 "dout_2[13]") (joined + (portRef Q (instanceRef mux_p_2_13)) + (portRef (member dout_2 2)) + )) + (net N_298 (joined + (portRef LO (instanceRef mux_p_2_6_0_13)) + (portRef D (instanceRef mux_p_2_13)) + )) + (net (rename dout_2_12 "dout_2[12]") (joined + (portRef Q (instanceRef mux_p_2_12)) + (portRef (member dout_2 3)) + )) + (net N_297 (joined + (portRef LO (instanceRef mux_p_2_6_0_12)) + (portRef D (instanceRef mux_p_2_12)) + )) + (net (rename dout_2_11 "dout_2[11]") (joined + (portRef Q (instanceRef mux_p_2_11)) + (portRef (member dout_2 4)) + )) + (net N_296 (joined + (portRef LO (instanceRef mux_p_2_6_0_11)) + (portRef D (instanceRef mux_p_2_11)) + )) + (net (rename dout_2_10 "dout_2[10]") (joined + (portRef Q (instanceRef mux_p_2_10)) + (portRef (member dout_2 5)) + )) + (net N_295 (joined + (portRef LO (instanceRef mux_p_2_6_0_10)) + (portRef D (instanceRef mux_p_2_10)) + )) + (net (rename dout_2_9 "dout_2[9]") (joined + (portRef Q (instanceRef mux_p_2_9)) + (portRef (member dout_2 6)) + )) + (net N_294 (joined + (portRef LO (instanceRef mux_p_2_6_0_9)) + (portRef D (instanceRef mux_p_2_9)) + )) + (net (rename dout_2_8 "dout_2[8]") (joined + (portRef Q (instanceRef mux_p_2_8)) + (portRef (member dout_2 7)) + )) + (net N_293 (joined + (portRef LO (instanceRef mux_p_2_6_0_8)) + (portRef D (instanceRef mux_p_2_8)) + )) + (net (rename dout_2_7 "dout_2[7]") (joined + (portRef Q (instanceRef mux_p_2_7)) + (portRef (member dout_2 8)) + )) + (net N_292 (joined + (portRef LO (instanceRef mux_p_2_6_0_7)) + (portRef D (instanceRef mux_p_2_7)) + )) + (net (rename dout_2_6 "dout_2[6]") (joined + (portRef Q (instanceRef mux_p_2_6)) + (portRef (member dout_2 9)) + )) + (net N_291 (joined + (portRef LO (instanceRef mux_p_2_6_0_6)) + (portRef D (instanceRef mux_p_2_6)) + )) + (net (rename dout_2_5 "dout_2[5]") (joined + (portRef Q (instanceRef mux_p_2_5)) + (portRef (member dout_2 10)) + )) + (net N_290 (joined + (portRef LO (instanceRef mux_p_2_6_0_5)) + (portRef D (instanceRef mux_p_2_5)) + )) + (net (rename dout_2_4 "dout_2[4]") (joined + (portRef Q (instanceRef mux_p_2_4)) + (portRef (member dout_2 11)) + )) + (net N_289 (joined + (portRef LO (instanceRef mux_p_2_6_0_4)) + (portRef D (instanceRef mux_p_2_4)) + )) + (net (rename dout_2_3 "dout_2[3]") (joined + (portRef Q (instanceRef mux_p_2_3)) + (portRef (member dout_2 12)) + )) + (net N_288 (joined + (portRef LO (instanceRef mux_p_2_6_0_3)) + (portRef D (instanceRef mux_p_2_3)) + )) + (net (rename dout_2_2 "dout_2[2]") (joined + (portRef Q (instanceRef mux_p_2_2)) + (portRef (member dout_2 13)) + )) + (net N_287 (joined + (portRef LO (instanceRef mux_p_2_6_0_2)) + (portRef D (instanceRef mux_p_2_2)) + )) + (net (rename dout_2_1 "dout_2[1]") (joined + (portRef Q (instanceRef mux_p_2_1)) + (portRef (member dout_2 14)) + )) + (net N_286 (joined + (portRef LO (instanceRef mux_p_2_6_0_1)) + (portRef D (instanceRef mux_p_2_1)) + )) + (net (rename dout_2_0 "dout_2[0]") (joined + (portRef Q (instanceRef mux_p_2_0)) + (portRef (member dout_2 15)) + )) + (net N_285 (joined + (portRef LO (instanceRef mux_p_2_6_0_0)) + (portRef D (instanceRef mux_p_2_0)) + )) + (net (rename dout_7_15 "dout_7[15]") (joined + (portRef Q (instanceRef mux_p_7_15)) + (portRef (member dout_7 0)) + )) + (net (rename dout_r7Z0Z_15 "dout_r7[15]") (joined + (portRef Q (instanceRef dout_r7_15)) + (portRef D (instanceRef mux_p_7_15)) + )) + (net (rename mux_p_7Z0Z_198 "mux_p_7_198") (joined + (portRef O (instanceRef mux_p_7_198)) + (portRef R (instanceRef mux_p_4_0)) + (portRef R (instanceRef mux_p_4_1)) + (portRef R (instanceRef mux_p_4_2)) + (portRef R (instanceRef mux_p_4_3)) + (portRef R (instanceRef mux_p_4_4)) + (portRef R (instanceRef mux_p_4_5)) + (portRef R (instanceRef mux_p_4_6)) + (portRef R (instanceRef mux_p_4_7)) + (portRef R (instanceRef mux_p_4_8)) + (portRef R (instanceRef mux_p_4_9)) + (portRef R (instanceRef mux_p_4_10)) + (portRef R (instanceRef mux_p_4_11)) + (portRef R (instanceRef mux_p_4_12)) + (portRef R (instanceRef mux_p_4_13)) + (portRef R (instanceRef mux_p_4_14)) + (portRef R (instanceRef mux_p_4_15)) + (portRef R (instanceRef mux_p_5_0)) + (portRef R (instanceRef mux_p_5_1)) + (portRef R (instanceRef mux_p_5_2)) + (portRef R (instanceRef mux_p_5_3)) + (portRef R (instanceRef mux_p_5_4)) + (portRef R (instanceRef mux_p_5_5)) + (portRef R (instanceRef mux_p_5_6)) + (portRef R (instanceRef mux_p_5_7)) + (portRef R (instanceRef mux_p_5_8)) + (portRef R (instanceRef mux_p_5_9)) + (portRef R (instanceRef mux_p_5_10)) + (portRef R (instanceRef mux_p_5_11)) + (portRef R (instanceRef mux_p_5_12)) + (portRef R (instanceRef mux_p_5_13)) + (portRef R (instanceRef mux_p_5_14)) + (portRef R (instanceRef mux_p_5_15)) + (portRef R (instanceRef mux_p_6_0)) + (portRef R (instanceRef mux_p_6_1)) + (portRef R (instanceRef mux_p_6_2)) + (portRef R (instanceRef mux_p_6_3)) + (portRef R (instanceRef mux_p_6_4)) + (portRef R (instanceRef mux_p_6_5)) + (portRef R (instanceRef mux_p_6_6)) + (portRef R (instanceRef mux_p_6_7)) + (portRef R (instanceRef mux_p_6_8)) + (portRef R (instanceRef mux_p_6_9)) + (portRef R (instanceRef mux_p_6_10)) + (portRef R (instanceRef mux_p_6_11)) + (portRef R (instanceRef mux_p_6_12)) + (portRef R (instanceRef mux_p_6_13)) + (portRef R (instanceRef mux_p_6_14)) + (portRef R (instanceRef mux_p_6_15)) + (portRef R (instanceRef mux_p_7_0)) + (portRef R (instanceRef mux_p_7_1)) + (portRef R (instanceRef mux_p_7_2)) + (portRef R (instanceRef mux_p_7_3)) + (portRef R (instanceRef mux_p_7_4)) + (portRef R (instanceRef mux_p_7_5)) + (portRef R (instanceRef mux_p_7_6)) + (portRef R (instanceRef mux_p_7_7)) + (portRef R (instanceRef mux_p_7_8)) + (portRef R (instanceRef mux_p_7_9)) + (portRef R (instanceRef mux_p_7_10)) + (portRef R (instanceRef mux_p_7_11)) + (portRef R (instanceRef mux_p_7_12)) + (portRef R (instanceRef mux_p_7_13)) + (portRef R (instanceRef mux_p_7_14)) + (portRef R (instanceRef mux_p_7_15)) + )) + (net (rename dout_7_14 "dout_7[14]") (joined + (portRef Q (instanceRef mux_p_7_14)) + (portRef (member dout_7 1)) + )) + (net (rename dout_r7Z0Z_14 "dout_r7[14]") (joined + (portRef Q (instanceRef dout_r7_14)) + (portRef D (instanceRef mux_p_7_14)) + )) + (net (rename dout_7_13 "dout_7[13]") (joined + (portRef Q (instanceRef mux_p_7_13)) + (portRef (member dout_7 2)) + )) + (net (rename dout_r7Z0Z_13 "dout_r7[13]") (joined + (portRef Q (instanceRef dout_r7_13)) + (portRef D (instanceRef mux_p_7_13)) + )) + (net (rename dout_7_12 "dout_7[12]") (joined + (portRef Q (instanceRef mux_p_7_12)) + (portRef (member dout_7 3)) + )) + (net (rename dout_r7Z0Z_12 "dout_r7[12]") (joined + (portRef Q (instanceRef dout_r7_12)) + (portRef D (instanceRef mux_p_7_12)) + )) + (net (rename dout_7_11 "dout_7[11]") (joined + (portRef Q (instanceRef mux_p_7_11)) + (portRef (member dout_7 4)) + )) + (net (rename dout_r7Z0Z_11 "dout_r7[11]") (joined + (portRef Q (instanceRef dout_r7_11)) + (portRef D (instanceRef mux_p_7_11)) + )) + (net (rename dout_7_10 "dout_7[10]") (joined + (portRef Q (instanceRef mux_p_7_10)) + (portRef (member dout_7 5)) + )) + (net (rename dout_r7Z0Z_10 "dout_r7[10]") (joined + (portRef Q (instanceRef dout_r7_10)) + (portRef D (instanceRef mux_p_7_10)) + )) + (net (rename dout_7_9 "dout_7[9]") (joined + (portRef Q (instanceRef mux_p_7_9)) + (portRef (member dout_7 6)) + )) + (net (rename dout_r7Z0Z_9 "dout_r7[9]") (joined + (portRef Q (instanceRef dout_r7_9)) + (portRef D (instanceRef mux_p_7_9)) + )) + (net (rename dout_7_8 "dout_7[8]") (joined + (portRef Q (instanceRef mux_p_7_8)) + (portRef (member dout_7 7)) + )) + (net (rename dout_r7Z0Z_8 "dout_r7[8]") (joined + (portRef Q (instanceRef dout_r7_8)) + (portRef D (instanceRef mux_p_7_8)) + )) + (net (rename dout_7_7 "dout_7[7]") (joined + (portRef Q (instanceRef mux_p_7_7)) + (portRef (member dout_7 8)) + )) + (net (rename dout_r7Z0Z_7 "dout_r7[7]") (joined + (portRef Q (instanceRef dout_r7_7)) + (portRef D (instanceRef mux_p_7_7)) + )) + (net (rename dout_7_6 "dout_7[6]") (joined + (portRef Q (instanceRef mux_p_7_6)) + (portRef (member dout_7 9)) + )) + (net (rename dout_r7Z0Z_6 "dout_r7[6]") (joined + (portRef Q (instanceRef dout_r7_6)) + (portRef D (instanceRef mux_p_7_6)) + )) + (net (rename dout_7_5 "dout_7[5]") (joined + (portRef Q (instanceRef mux_p_7_5)) + (portRef (member dout_7 10)) + )) + (net (rename dout_r7Z0Z_5 "dout_r7[5]") (joined + (portRef Q (instanceRef dout_r7_5)) + (portRef D (instanceRef mux_p_7_5)) + )) + (net (rename dout_7_4 "dout_7[4]") (joined + (portRef Q (instanceRef mux_p_7_4)) + (portRef (member dout_7 11)) + )) + (net (rename dout_r7Z0Z_4 "dout_r7[4]") (joined + (portRef Q (instanceRef dout_r7_4)) + (portRef D (instanceRef mux_p_7_4)) + )) + (net (rename dout_7_3 "dout_7[3]") (joined + (portRef Q (instanceRef mux_p_7_3)) + (portRef (member dout_7 12)) + )) + (net (rename dout_r7Z0Z_3 "dout_r7[3]") (joined + (portRef Q (instanceRef dout_r7_3)) + (portRef D (instanceRef mux_p_7_3)) + )) + (net (rename dout_7_2 "dout_7[2]") (joined + (portRef Q (instanceRef mux_p_7_2)) + (portRef (member dout_7 13)) + )) + (net (rename dout_r7Z0Z_2 "dout_r7[2]") (joined + (portRef Q (instanceRef dout_r7_2)) + (portRef D (instanceRef mux_p_7_2)) + )) + (net (rename dout_7_1 "dout_7[1]") (joined + (portRef Q (instanceRef mux_p_7_1)) + (portRef (member dout_7 14)) + )) + (net (rename dout_r7Z0Z_1 "dout_r7[1]") (joined + (portRef Q (instanceRef dout_r7_1)) + (portRef D (instanceRef mux_p_7_1)) + )) + (net (rename dout_7_0 "dout_7[0]") (joined + (portRef Q (instanceRef mux_p_7_0)) + (portRef (member dout_7 15)) + )) + (net (rename dout_r7Z0Z_0 "dout_r7[0]") (joined + (portRef Q (instanceRef dout_r7_0)) + (portRef D (instanceRef mux_p_7_0)) + )) + (net (rename dout_6_15 "dout_6[15]") (joined + (portRef Q (instanceRef mux_p_6_15)) + (portRef (member dout_6 0)) + )) + (net (rename dout_r6Z0Z_15 "dout_r6[15]") (joined + (portRef Q (instanceRef dout_r6_15)) + (portRef I2 (instanceRef mux_p_3_6_0_15)) + (portRef D (instanceRef mux_p_6_15)) + )) + (net (rename dout_6_14 "dout_6[14]") (joined + (portRef Q (instanceRef mux_p_6_14)) + (portRef (member dout_6 1)) + )) + (net (rename dout_r6Z0Z_14 "dout_r6[14]") (joined + (portRef Q (instanceRef dout_r6_14)) + (portRef I2 (instanceRef mux_p_3_6_0_14)) + (portRef D (instanceRef mux_p_6_14)) + )) + (net (rename dout_6_13 "dout_6[13]") (joined + (portRef Q (instanceRef mux_p_6_13)) + (portRef (member dout_6 2)) + )) + (net (rename dout_r6Z0Z_13 "dout_r6[13]") (joined + (portRef Q (instanceRef dout_r6_13)) + (portRef I2 (instanceRef mux_p_3_6_0_13)) + (portRef D (instanceRef mux_p_6_13)) + )) + (net (rename dout_6_12 "dout_6[12]") (joined + (portRef Q (instanceRef mux_p_6_12)) + (portRef (member dout_6 3)) + )) + (net (rename dout_r6Z0Z_12 "dout_r6[12]") (joined + (portRef Q (instanceRef dout_r6_12)) + (portRef I2 (instanceRef mux_p_3_6_0_12)) + (portRef D (instanceRef mux_p_6_12)) + )) + (net (rename dout_6_11 "dout_6[11]") (joined + (portRef Q (instanceRef mux_p_6_11)) + (portRef (member dout_6 4)) + )) + (net (rename dout_r6Z0Z_11 "dout_r6[11]") (joined + (portRef Q (instanceRef dout_r6_11)) + (portRef I2 (instanceRef mux_p_3_6_0_11)) + (portRef D (instanceRef mux_p_6_11)) + )) + (net (rename dout_6_10 "dout_6[10]") (joined + (portRef Q (instanceRef mux_p_6_10)) + (portRef (member dout_6 5)) + )) + (net (rename dout_r6Z0Z_10 "dout_r6[10]") (joined + (portRef Q (instanceRef dout_r6_10)) + (portRef I2 (instanceRef mux_p_3_6_0_10)) + (portRef D (instanceRef mux_p_6_10)) + )) + (net (rename dout_6_9 "dout_6[9]") (joined + (portRef Q (instanceRef mux_p_6_9)) + (portRef (member dout_6 6)) + )) + (net (rename dout_r6Z0Z_9 "dout_r6[9]") (joined + (portRef Q (instanceRef dout_r6_9)) + (portRef I2 (instanceRef mux_p_3_6_0_9)) + (portRef D (instanceRef mux_p_6_9)) + )) + (net (rename dout_6_8 "dout_6[8]") (joined + (portRef Q (instanceRef mux_p_6_8)) + (portRef (member dout_6 7)) + )) + (net (rename dout_r6Z0Z_8 "dout_r6[8]") (joined + (portRef Q (instanceRef dout_r6_8)) + (portRef I2 (instanceRef mux_p_3_6_0_8)) + (portRef D (instanceRef mux_p_6_8)) + )) + (net (rename dout_6_7 "dout_6[7]") (joined + (portRef Q (instanceRef mux_p_6_7)) + (portRef (member dout_6 8)) + )) + (net (rename dout_r6Z0Z_7 "dout_r6[7]") (joined + (portRef Q (instanceRef dout_r6_7)) + (portRef I2 (instanceRef mux_p_3_6_0_7)) + (portRef D (instanceRef mux_p_6_7)) + )) + (net (rename dout_6_6 "dout_6[6]") (joined + (portRef Q (instanceRef mux_p_6_6)) + (portRef (member dout_6 9)) + )) + (net (rename dout_r6Z0Z_6 "dout_r6[6]") (joined + (portRef Q (instanceRef dout_r6_6)) + (portRef I2 (instanceRef mux_p_3_6_0_6)) + (portRef D (instanceRef mux_p_6_6)) + )) + (net (rename dout_6_5 "dout_6[5]") (joined + (portRef Q (instanceRef mux_p_6_5)) + (portRef (member dout_6 10)) + )) + (net (rename dout_r6Z0Z_5 "dout_r6[5]") (joined + (portRef Q (instanceRef dout_r6_5)) + (portRef I2 (instanceRef mux_p_3_6_0_5)) + (portRef D (instanceRef mux_p_6_5)) + )) + (net (rename dout_6_4 "dout_6[4]") (joined + (portRef Q (instanceRef mux_p_6_4)) + (portRef (member dout_6 11)) + )) + (net (rename dout_r6Z0Z_4 "dout_r6[4]") (joined + (portRef Q (instanceRef dout_r6_4)) + (portRef I2 (instanceRef mux_p_3_6_0_4)) + (portRef D (instanceRef mux_p_6_4)) + )) + (net (rename dout_6_3 "dout_6[3]") (joined + (portRef Q (instanceRef mux_p_6_3)) + (portRef (member dout_6 12)) + )) + (net (rename dout_r6Z0Z_3 "dout_r6[3]") (joined + (portRef Q (instanceRef dout_r6_3)) + (portRef I2 (instanceRef mux_p_3_6_0_3)) + (portRef D (instanceRef mux_p_6_3)) + )) + (net (rename dout_6_2 "dout_6[2]") (joined + (portRef Q (instanceRef mux_p_6_2)) + (portRef (member dout_6 13)) + )) + (net (rename dout_r6Z0Z_2 "dout_r6[2]") (joined + (portRef Q (instanceRef dout_r6_2)) + (portRef I2 (instanceRef mux_p_3_6_0_2)) + (portRef D (instanceRef mux_p_6_2)) + )) + (net (rename dout_6_1 "dout_6[1]") (joined + (portRef Q (instanceRef mux_p_6_1)) + (portRef (member dout_6 14)) + )) + (net (rename dout_r6Z0Z_1 "dout_r6[1]") (joined + (portRef Q (instanceRef dout_r6_1)) + (portRef I2 (instanceRef mux_p_3_6_0_1)) + (portRef D (instanceRef mux_p_6_1)) + )) + (net (rename dout_6_0 "dout_6[0]") (joined + (portRef Q (instanceRef mux_p_6_0)) + (portRef (member dout_6 15)) + )) + (net (rename dout_r6Z0Z_0 "dout_r6[0]") (joined + (portRef Q (instanceRef dout_r6_0)) + (portRef I2 (instanceRef mux_p_3_6_0_0)) + (portRef D (instanceRef mux_p_6_0)) + )) + (net (rename dout_5_15 "dout_5[15]") (joined + (portRef Q (instanceRef mux_p_5_15)) + (portRef (member dout_5 0)) + )) + (net (rename dout_r5Z0Z_15 "dout_r5[15]") (joined + (portRef Q (instanceRef dout_r5_15)) + (portRef D (instanceRef mux_p_5_15)) + )) + (net (rename dout_5_14 "dout_5[14]") (joined + (portRef Q (instanceRef mux_p_5_14)) + (portRef (member dout_5 1)) + )) + (net (rename dout_r5Z0Z_14 "dout_r5[14]") (joined + (portRef Q (instanceRef dout_r5_14)) + (portRef D (instanceRef mux_p_5_14)) + )) + (net (rename dout_5_13 "dout_5[13]") (joined + (portRef Q (instanceRef mux_p_5_13)) + (portRef (member dout_5 2)) + )) + (net (rename dout_r5Z0Z_13 "dout_r5[13]") (joined + (portRef Q (instanceRef dout_r5_13)) + (portRef D (instanceRef mux_p_5_13)) + )) + (net (rename dout_5_12 "dout_5[12]") (joined + (portRef Q (instanceRef mux_p_5_12)) + (portRef (member dout_5 3)) + )) + (net (rename dout_r5Z0Z_12 "dout_r5[12]") (joined + (portRef Q (instanceRef dout_r5_12)) + (portRef D (instanceRef mux_p_5_12)) + )) + (net (rename dout_5_11 "dout_5[11]") (joined + (portRef Q (instanceRef mux_p_5_11)) + (portRef (member dout_5 4)) + )) + (net (rename dout_r5Z0Z_11 "dout_r5[11]") (joined + (portRef Q (instanceRef dout_r5_11)) + (portRef D (instanceRef mux_p_5_11)) + )) + (net (rename dout_5_10 "dout_5[10]") (joined + (portRef Q (instanceRef mux_p_5_10)) + (portRef (member dout_5 5)) + )) + (net (rename dout_r5Z0Z_10 "dout_r5[10]") (joined + (portRef Q (instanceRef dout_r5_10)) + (portRef D (instanceRef mux_p_5_10)) + )) + (net (rename dout_5_9 "dout_5[9]") (joined + (portRef Q (instanceRef mux_p_5_9)) + (portRef (member dout_5 6)) + )) + (net (rename dout_r5Z0Z_9 "dout_r5[9]") (joined + (portRef Q (instanceRef dout_r5_9)) + (portRef D (instanceRef mux_p_5_9)) + )) + (net (rename dout_5_8 "dout_5[8]") (joined + (portRef Q (instanceRef mux_p_5_8)) + (portRef (member dout_5 7)) + )) + (net (rename dout_r5Z0Z_8 "dout_r5[8]") (joined + (portRef Q (instanceRef dout_r5_8)) + (portRef D (instanceRef mux_p_5_8)) + )) + (net (rename dout_5_7 "dout_5[7]") (joined + (portRef Q (instanceRef mux_p_5_7)) + (portRef (member dout_5 8)) + )) + (net (rename dout_r5Z0Z_7 "dout_r5[7]") (joined + (portRef Q (instanceRef dout_r5_7)) + (portRef D (instanceRef mux_p_5_7)) + )) + (net (rename dout_5_6 "dout_5[6]") (joined + (portRef Q (instanceRef mux_p_5_6)) + (portRef (member dout_5 9)) + )) + (net (rename dout_r5Z0Z_6 "dout_r5[6]") (joined + (portRef Q (instanceRef dout_r5_6)) + (portRef D (instanceRef mux_p_5_6)) + )) + (net (rename dout_5_5 "dout_5[5]") (joined + (portRef Q (instanceRef mux_p_5_5)) + (portRef (member dout_5 10)) + )) + (net (rename dout_r5Z0Z_5 "dout_r5[5]") (joined + (portRef Q (instanceRef dout_r5_5)) + (portRef D (instanceRef mux_p_5_5)) + )) + (net (rename dout_5_4 "dout_5[4]") (joined + (portRef Q (instanceRef mux_p_5_4)) + (portRef (member dout_5 11)) + )) + (net (rename dout_r5Z0Z_4 "dout_r5[4]") (joined + (portRef Q (instanceRef dout_r5_4)) + (portRef D (instanceRef mux_p_5_4)) + )) + (net (rename dout_5_3 "dout_5[3]") (joined + (portRef Q (instanceRef mux_p_5_3)) + (portRef (member dout_5 12)) + )) + (net (rename dout_r5Z0Z_3 "dout_r5[3]") (joined + (portRef Q (instanceRef dout_r5_3)) + (portRef D (instanceRef mux_p_5_3)) + )) + (net (rename dout_5_2 "dout_5[2]") (joined + (portRef Q (instanceRef mux_p_5_2)) + (portRef (member dout_5 13)) + )) + (net (rename dout_r5Z0Z_2 "dout_r5[2]") (joined + (portRef Q (instanceRef dout_r5_2)) + (portRef D (instanceRef mux_p_5_2)) + )) + (net (rename dout_5_1 "dout_5[1]") (joined + (portRef Q (instanceRef mux_p_5_1)) + (portRef (member dout_5 14)) + )) + (net (rename dout_r5Z0Z_1 "dout_r5[1]") (joined + (portRef Q (instanceRef dout_r5_1)) + (portRef D (instanceRef mux_p_5_1)) + )) + (net (rename dout_5_0 "dout_5[0]") (joined + (portRef Q (instanceRef mux_p_5_0)) + (portRef (member dout_5 15)) + )) + (net (rename dout_r5Z0Z_0 "dout_r5[0]") (joined + (portRef Q (instanceRef dout_r5_0)) + (portRef D (instanceRef mux_p_5_0)) + )) + (net (rename dout_4_15 "dout_4[15]") (joined + (portRef Q (instanceRef mux_p_4_15)) + (portRef (member dout_4 0)) + )) + (net (rename dout_r4Z0Z_15 "dout_r4[15]") (joined + (portRef Q (instanceRef dout_r4_15)) + (portRef I2 (instanceRef mux_p_2_6_0_15)) + (portRef I4 (instanceRef mux_p_1_7_3_15)) + (portRef D (instanceRef mux_p_4_15)) + )) + (net (rename dout_4_14 "dout_4[14]") (joined + (portRef Q (instanceRef mux_p_4_14)) + (portRef (member dout_4 1)) + )) + (net (rename dout_r4Z0Z_14 "dout_r4[14]") (joined + (portRef Q (instanceRef dout_r4_14)) + (portRef I2 (instanceRef mux_p_2_6_0_14)) + (portRef I4 (instanceRef mux_p_1_7_3_14)) + (portRef D (instanceRef mux_p_4_14)) + )) + (net (rename dout_4_13 "dout_4[13]") (joined + (portRef Q (instanceRef mux_p_4_13)) + (portRef (member dout_4 2)) + )) + (net (rename dout_r4Z0Z_13 "dout_r4[13]") (joined + (portRef Q (instanceRef dout_r4_13)) + (portRef I2 (instanceRef mux_p_2_6_0_13)) + (portRef I4 (instanceRef mux_p_1_7_3_13)) + (portRef D (instanceRef mux_p_4_13)) + )) + (net (rename dout_4_12 "dout_4[12]") (joined + (portRef Q (instanceRef mux_p_4_12)) + (portRef (member dout_4 3)) + )) + (net (rename dout_r4Z0Z_12 "dout_r4[12]") (joined + (portRef Q (instanceRef dout_r4_12)) + (portRef I2 (instanceRef mux_p_2_6_0_12)) + (portRef I3 (instanceRef mux_p_1_7_3_12)) + (portRef D (instanceRef mux_p_4_12)) + )) + (net (rename dout_4_11 "dout_4[11]") (joined + (portRef Q (instanceRef mux_p_4_11)) + (portRef (member dout_4 4)) + )) + (net (rename dout_r4Z0Z_11 "dout_r4[11]") (joined + (portRef Q (instanceRef dout_r4_11)) + (portRef I2 (instanceRef mux_p_2_6_0_11)) + (portRef I3 (instanceRef mux_p_1_7_3_11)) + (portRef D (instanceRef mux_p_4_11)) + )) + (net (rename dout_4_10 "dout_4[10]") (joined + (portRef Q (instanceRef mux_p_4_10)) + (portRef (member dout_4 5)) + )) + (net (rename dout_r4Z0Z_10 "dout_r4[10]") (joined + (portRef Q (instanceRef dout_r4_10)) + (portRef I2 (instanceRef mux_p_2_6_0_10)) + (portRef I3 (instanceRef mux_p_1_7_3_10)) + (portRef D (instanceRef mux_p_4_10)) + )) + (net (rename dout_4_9 "dout_4[9]") (joined + (portRef Q (instanceRef mux_p_4_9)) + (portRef (member dout_4 6)) + )) + (net (rename dout_r4Z0Z_9 "dout_r4[9]") (joined + (portRef Q (instanceRef dout_r4_9)) + (portRef I2 (instanceRef mux_p_2_6_0_9)) + (portRef I4 (instanceRef mux_p_1_7_3_9)) + (portRef D (instanceRef mux_p_4_9)) + )) + (net (rename dout_4_8 "dout_4[8]") (joined + (portRef Q (instanceRef mux_p_4_8)) + (portRef (member dout_4 7)) + )) + (net (rename dout_r4Z0Z_8 "dout_r4[8]") (joined + (portRef Q (instanceRef dout_r4_8)) + (portRef I2 (instanceRef mux_p_2_6_0_8)) + (portRef I4 (instanceRef mux_p_1_7_3_8)) + (portRef D (instanceRef mux_p_4_8)) + )) + (net (rename dout_4_7 "dout_4[7]") (joined + (portRef Q (instanceRef mux_p_4_7)) + (portRef (member dout_4 8)) + )) + (net (rename dout_r4Z0Z_7 "dout_r4[7]") (joined + (portRef Q (instanceRef dout_r4_7)) + (portRef I2 (instanceRef mux_p_2_6_0_7)) + (portRef I3 (instanceRef mux_p_1_7_3_7)) + (portRef D (instanceRef mux_p_4_7)) + )) + (net (rename dout_4_6 "dout_4[6]") (joined + (portRef Q (instanceRef mux_p_4_6)) + (portRef (member dout_4 9)) + )) + (net (rename dout_r4Z0Z_6 "dout_r4[6]") (joined + (portRef Q (instanceRef dout_r4_6)) + (portRef I2 (instanceRef mux_p_2_6_0_6)) + (portRef I4 (instanceRef mux_p_1_7_3_6)) + (portRef D (instanceRef mux_p_4_6)) + )) + (net (rename dout_4_5 "dout_4[5]") (joined + (portRef Q (instanceRef mux_p_4_5)) + (portRef (member dout_4 10)) + )) + (net (rename dout_r4Z0Z_5 "dout_r4[5]") (joined + (portRef Q (instanceRef dout_r4_5)) + (portRef I2 (instanceRef mux_p_2_6_0_5)) + (portRef I4 (instanceRef mux_p_1_7_3_5)) + (portRef D (instanceRef mux_p_4_5)) + )) + (net (rename dout_4_4 "dout_4[4]") (joined + (portRef Q (instanceRef mux_p_4_4)) + (portRef (member dout_4 11)) + )) + (net (rename dout_r4Z0Z_4 "dout_r4[4]") (joined + (portRef Q (instanceRef dout_r4_4)) + (portRef I2 (instanceRef mux_p_2_6_0_4)) + (portRef I4 (instanceRef mux_p_1_7_3_4)) + (portRef D (instanceRef mux_p_4_4)) + )) + (net (rename dout_4_3 "dout_4[3]") (joined + (portRef Q (instanceRef mux_p_4_3)) + (portRef (member dout_4 12)) + )) + (net (rename dout_r4Z0Z_3 "dout_r4[3]") (joined + (portRef Q (instanceRef dout_r4_3)) + (portRef I2 (instanceRef mux_p_2_6_0_3)) + (portRef I3 (instanceRef mux_p_1_7_3_3)) + (portRef D (instanceRef mux_p_4_3)) + )) + (net (rename dout_4_2 "dout_4[2]") (joined + (portRef Q (instanceRef mux_p_4_2)) + (portRef (member dout_4 13)) + )) + (net (rename dout_r4Z0Z_2 "dout_r4[2]") (joined + (portRef Q (instanceRef dout_r4_2)) + (portRef I2 (instanceRef mux_p_2_6_0_2)) + (portRef I4 (instanceRef mux_p_1_7_3_2)) + (portRef D (instanceRef mux_p_4_2)) + )) + (net (rename dout_4_1 "dout_4[1]") (joined + (portRef Q (instanceRef mux_p_4_1)) + (portRef (member dout_4 14)) + )) + (net (rename dout_r4Z0Z_1 "dout_r4[1]") (joined + (portRef Q (instanceRef dout_r4_1)) + (portRef I2 (instanceRef mux_p_2_6_0_1)) + (portRef I4 (instanceRef mux_p_1_7_3_1)) + (portRef D (instanceRef mux_p_4_1)) + )) + (net (rename dout_4_0 "dout_4[0]") (joined + (portRef Q (instanceRef mux_p_4_0)) + (portRef (member dout_4 15)) + )) + (net (rename dout_r4Z0Z_0 "dout_r4[0]") (joined + (portRef Q (instanceRef dout_r4_0)) + (portRef I2 (instanceRef mux_p_2_6_0_0)) + (portRef I4 (instanceRef mux_p_1_7_3_0)) + (portRef D (instanceRef mux_p_4_0)) + )) + (net (rename intp_mode_0 "intp_mode[0]") (joined + (portRef (member intp_mode 1)) + (portRef I0 (instanceRef mux_p_3_6_0_3)) + (portRef I0 (instanceRef mux_p_3_6_0_4)) + (portRef I0 (instanceRef mux_p_3_6_0_5)) + (portRef I0 (instanceRef mux_p_2_6_0_1)) + (portRef I0 (instanceRef mux_p_2_6_0_2)) + (portRef I0 (instanceRef mux_p_2_6_0_3)) + (portRef I0 (instanceRef mux_p_3_6_0_6)) + (portRef I0 (instanceRef mux_p_3_6_0_7)) + (portRef I0 (instanceRef mux_p_3_6_0_8)) + (portRef I0 (instanceRef mux_p_2_6_0_4)) + (portRef I0 (instanceRef mux_p_2_6_0_5)) + (portRef I0 (instanceRef mux_p_2_6_0_6)) + (portRef I0 (instanceRef mux_p_3_6_0_9)) + (portRef I0 (instanceRef mux_p_3_6_0_10)) + (portRef I0 (instanceRef mux_p_3_6_0_11)) + (portRef I0 (instanceRef mux_p_2_6_0_7)) + (portRef I0 (instanceRef mux_p_2_6_0_8)) + (portRef I0 (instanceRef mux_p_2_6_0_9)) + (portRef I0 (instanceRef mux_p_2_6_0_10)) + (portRef I0 (instanceRef mux_p_3_6_0_12)) + (portRef I0 (instanceRef mux_p_3_6_0_13)) + (portRef I0 (instanceRef mux_p_3_6_0_14)) + (portRef I0 (instanceRef mux_p_2_6_0_11)) + (portRef I0 (instanceRef mux_p_2_6_0_12)) + (portRef I0 (instanceRef mux_p_2_6_0_13)) + (portRef I0 (instanceRef mux_p_3_6_0_0)) + (portRef I0 (instanceRef mux_p_3_6_0_1)) + (portRef I0 (instanceRef mux_p_3_6_0_2)) + (portRef I0 (instanceRef mux_p_3_6_0_15)) + (portRef I0 (instanceRef mux_p_2_6_0_0)) + (portRef I0 (instanceRef mux_p_2_6_0_14)) + (portRef I0 (instanceRef mux_p_2_6_0_15)) + (portRef I1 (instanceRef mux_p_7_198)) + (portRef I0 (instanceRef mux_p_1_7_3_1)) + (portRef I0 (instanceRef mux_p_1_7_3_2)) + (portRef I0 (instanceRef mux_p_1_7_3_3)) + (portRef I0 (instanceRef mux_p_1_7_3_4)) + (portRef I0 (instanceRef mux_p_1_7_3_5)) + (portRef I0 (instanceRef mux_p_1_7_3_0)) + (portRef I0 (instanceRef mux_p_1_7_3_6)) + (portRef I0 (instanceRef mux_p_1_7_3_7)) + (portRef I0 (instanceRef mux_p_1_7_3_8)) + (portRef I0 (instanceRef mux_p_1_7_3_9)) + (portRef I0 (instanceRef mux_p_1_7_3_10)) + (portRef I0 (instanceRef mux_p_1_7_3_11)) + (portRef I0 (instanceRef mux_p_1_7_3_12)) + (portRef I0 (instanceRef mux_p_1_7_3_13)) + (portRef I0 (instanceRef mux_p_1_7_3_14)) + (portRef I0 (instanceRef mux_p_1_7_3_15)) + )) + (net (rename intp_mode_1 "intp_mode[1]") (joined + (portRef (member intp_mode 0)) + (portRef I1 (instanceRef mux_p_3_196)) + (portRef I2 (instanceRef mux_p_7_198)) + (portRef I1 (instanceRef mux_p_1_7_3_1)) + (portRef I1 (instanceRef mux_p_1_7_3_2)) + (portRef I1 (instanceRef mux_p_1_7_3_3)) + (portRef I1 (instanceRef mux_p_1_7_3_4)) + (portRef I1 (instanceRef mux_p_1_7_3_5)) + (portRef I1 (instanceRef mux_p_1_7_3_0)) + (portRef I1 (instanceRef mux_p_1_7_3_6)) + (portRef I1 (instanceRef mux_p_1_7_3_7)) + (portRef I1 (instanceRef mux_p_1_7_3_8)) + (portRef I1 (instanceRef mux_p_1_7_3_9)) + (portRef I1 (instanceRef mux_p_1_7_3_10)) + (portRef I1 (instanceRef mux_p_1_7_3_11)) + (portRef I1 (instanceRef mux_p_1_7_3_12)) + (portRef I1 (instanceRef mux_p_1_7_3_13)) + (portRef I1 (instanceRef mux_p_1_7_3_14)) + (portRef I1 (instanceRef mux_p_1_7_3_15)) + )) + (net (rename dout_r1Z0Z_15 "dout_r1[15]") (joined + (portRef Q (instanceRef dout_r1_15)) + (portRef I2 (instanceRef mux_p_1_7_3_15)) + )) + (net (rename dout_r2Z0Z_15 "dout_r2[15]") (joined + (portRef Q (instanceRef dout_r2_15)) + (portRef I1 (instanceRef mux_p_2_6_0_15)) + (portRef I3 (instanceRef mux_p_1_7_3_15)) + )) + (net (rename dout_r1Z0Z_14 "dout_r1[14]") (joined + (portRef Q (instanceRef dout_r1_14)) + (portRef I2 (instanceRef mux_p_1_7_3_14)) + )) + (net (rename dout_r2Z0Z_14 "dout_r2[14]") (joined + (portRef Q (instanceRef dout_r2_14)) + (portRef I1 (instanceRef mux_p_2_6_0_14)) + (portRef I3 (instanceRef mux_p_1_7_3_14)) + )) + (net (rename dout_r1Z0Z_13 "dout_r1[13]") (joined + (portRef Q (instanceRef dout_r1_13)) + (portRef I2 (instanceRef mux_p_1_7_3_13)) + )) + (net (rename dout_r2Z0Z_13 "dout_r2[13]") (joined + (portRef Q (instanceRef dout_r2_13)) + (portRef I1 (instanceRef mux_p_2_6_0_13)) + (portRef I3 (instanceRef mux_p_1_7_3_13)) + )) + (net (rename dout_r1Z0Z_12 "dout_r1[12]") (joined + (portRef Q (instanceRef dout_r1_12)) + (portRef I2 (instanceRef mux_p_1_7_3_12)) + )) + (net (rename dout_r2Z0Z_12 "dout_r2[12]") (joined + (portRef Q (instanceRef dout_r2_12)) + (portRef I1 (instanceRef mux_p_2_6_0_12)) + (portRef I4 (instanceRef mux_p_1_7_3_12)) + )) + (net (rename dout_r2Z0Z_11 "dout_r2[11]") (joined + (portRef Q (instanceRef dout_r2_11)) + (portRef I1 (instanceRef mux_p_2_6_0_11)) + (portRef I2 (instanceRef mux_p_1_7_3_11)) + )) + (net (rename dout_r1Z0Z_11 "dout_r1[11]") (joined + (portRef Q (instanceRef dout_r1_11)) + (portRef I4 (instanceRef mux_p_1_7_3_11)) + )) + (net (rename dout_r1Z0Z_10 "dout_r1[10]") (joined + (portRef Q (instanceRef dout_r1_10)) + (portRef I2 (instanceRef mux_p_1_7_3_10)) + )) + (net (rename dout_r2Z0Z_10 "dout_r2[10]") (joined + (portRef Q (instanceRef dout_r2_10)) + (portRef I1 (instanceRef mux_p_2_6_0_10)) + (portRef I4 (instanceRef mux_p_1_7_3_10)) + )) + (net (rename dout_r1Z0Z_9 "dout_r1[9]") (joined + (portRef Q (instanceRef dout_r1_9)) + (portRef I2 (instanceRef mux_p_1_7_3_9)) + )) + (net (rename dout_r2Z0Z_9 "dout_r2[9]") (joined + (portRef Q (instanceRef dout_r2_9)) + (portRef I1 (instanceRef mux_p_2_6_0_9)) + (portRef I3 (instanceRef mux_p_1_7_3_9)) + )) + (net (rename dout_r1Z0Z_8 "dout_r1[8]") (joined + (portRef Q (instanceRef dout_r1_8)) + (portRef I2 (instanceRef mux_p_1_7_3_8)) + )) + (net (rename dout_r2Z0Z_8 "dout_r2[8]") (joined + (portRef Q (instanceRef dout_r2_8)) + (portRef I1 (instanceRef mux_p_2_6_0_8)) + (portRef I3 (instanceRef mux_p_1_7_3_8)) + )) + (net (rename dout_r1Z0Z_7 "dout_r1[7]") (joined + (portRef Q (instanceRef dout_r1_7)) + (portRef I2 (instanceRef mux_p_1_7_3_7)) + )) + (net (rename dout_r2Z0Z_7 "dout_r2[7]") (joined + (portRef Q (instanceRef dout_r2_7)) + (portRef I1 (instanceRef mux_p_2_6_0_7)) + (portRef I4 (instanceRef mux_p_1_7_3_7)) + )) + (net (rename dout_r1Z0Z_6 "dout_r1[6]") (joined + (portRef Q (instanceRef dout_r1_6)) + (portRef I2 (instanceRef mux_p_1_7_3_6)) + )) + (net (rename dout_r2Z0Z_6 "dout_r2[6]") (joined + (portRef Q (instanceRef dout_r2_6)) + (portRef I1 (instanceRef mux_p_2_6_0_6)) + (portRef I3 (instanceRef mux_p_1_7_3_6)) + )) + (net (rename dout_r1Z0Z_0 "dout_r1[0]") (joined + (portRef Q (instanceRef dout_r1_0)) + (portRef I2 (instanceRef mux_p_1_7_3_0)) + )) + (net (rename dout_r2Z0Z_0 "dout_r2[0]") (joined + (portRef Q (instanceRef dout_r2_0)) + (portRef I1 (instanceRef mux_p_2_6_0_0)) + (portRef I3 (instanceRef mux_p_1_7_3_0)) + )) + (net (rename dout_r1Z0Z_5 "dout_r1[5]") (joined + (portRef Q (instanceRef dout_r1_5)) + (portRef I2 (instanceRef mux_p_1_7_3_5)) + )) + (net (rename dout_r2Z0Z_5 "dout_r2[5]") (joined + (portRef Q (instanceRef dout_r2_5)) + (portRef I1 (instanceRef mux_p_2_6_0_5)) + (portRef I3 (instanceRef mux_p_1_7_3_5)) + )) + (net (rename dout_r1Z0Z_4 "dout_r1[4]") (joined + (portRef Q (instanceRef dout_r1_4)) + (portRef I2 (instanceRef mux_p_1_7_3_4)) + )) + (net (rename dout_r2Z0Z_4 "dout_r2[4]") (joined + (portRef Q (instanceRef dout_r2_4)) + (portRef I1 (instanceRef mux_p_2_6_0_4)) + (portRef I3 (instanceRef mux_p_1_7_3_4)) + )) + (net (rename dout_r1Z0Z_3 "dout_r1[3]") (joined + (portRef Q (instanceRef dout_r1_3)) + (portRef I2 (instanceRef mux_p_1_7_3_3)) + )) + (net (rename dout_r2Z0Z_3 "dout_r2[3]") (joined + (portRef Q (instanceRef dout_r2_3)) + (portRef I1 (instanceRef mux_p_2_6_0_3)) + (portRef I4 (instanceRef mux_p_1_7_3_3)) + )) + (net (rename dout_r1Z0Z_2 "dout_r1[2]") (joined + (portRef Q (instanceRef dout_r1_2)) + (portRef I2 (instanceRef mux_p_1_7_3_2)) + )) + (net (rename dout_r2Z0Z_2 "dout_r2[2]") (joined + (portRef Q (instanceRef dout_r2_2)) + (portRef I1 (instanceRef mux_p_2_6_0_2)) + (portRef I3 (instanceRef mux_p_1_7_3_2)) + )) + (net (rename dout_r1Z0Z_1 "dout_r1[1]") (joined + (portRef Q (instanceRef dout_r1_1)) + (portRef I2 (instanceRef mux_p_1_7_3_1)) + )) + (net (rename dout_r2Z0Z_1 "dout_r2[1]") (joined + (portRef Q (instanceRef dout_r2_1)) + (portRef I1 (instanceRef mux_p_2_6_0_1)) + (portRef I3 (instanceRef mux_p_1_7_3_1)) + )) + (net rstn (joined + (portRef rstn) + (portRef I2 (instanceRef mux_p_3_196)) + (portRef I3 (instanceRef mux_p_7_198)) + )) + (net (rename un3_dout_r6_0_o5Z0Z_2 "un3_dout_r6_0_o5_2") (joined + (portRef O (instanceRef un3_dout_r6_0_o5_2)) + (portRef (member DI 0) (instanceRef un3_dout_r6_0_cry_3)) + )) + (net (rename un3_dout_r6_0_o5Z0Z_3 "un3_dout_r6_0_o5_3") (joined + (portRef O (instanceRef un3_dout_r6_0_o5_3)) + (portRef (member DI 3) (instanceRef un3_dout_r6_0_cry_7)) + )) + (net (rename un3_dout_r6_0_o5Z0Z_4 "un3_dout_r6_0_o5_4") (joined + (portRef O (instanceRef un3_dout_r6_0_o5_4)) + (portRef (member DI 2) (instanceRef un3_dout_r6_0_cry_7)) + )) + (net (rename un3_dout_r6_0_o5Z0Z_5 "un3_dout_r6_0_o5_5") (joined + (portRef O (instanceRef un3_dout_r6_0_o5_5)) + (portRef (member DI 1) (instanceRef un3_dout_r6_0_cry_7)) + )) + (net (rename un3_dout_r6_0_o5Z0Z_6 "un3_dout_r6_0_o5_6") (joined + (portRef O (instanceRef un3_dout_r6_0_o5_6)) + (portRef (member DI 0) (instanceRef un3_dout_r6_0_cry_7)) + )) + (net (rename un3_dout_r6_0_o5Z0Z_7 "un3_dout_r6_0_o5_7") (joined + (portRef O (instanceRef un3_dout_r6_0_o5_7)) + (portRef (member DI 3) (instanceRef un3_dout_r6_0_cry_11)) + )) + (net (rename un3_dout_r6_0_o5Z0Z_8 "un3_dout_r6_0_o5_8") (joined + (portRef O (instanceRef un3_dout_r6_0_o5_8)) + (portRef (member DI 2) (instanceRef un3_dout_r6_0_cry_11)) + )) + (net (rename un3_dout_r6_0_o5Z0Z_9 "un3_dout_r6_0_o5_9") (joined + (portRef O (instanceRef un3_dout_r6_0_o5_9)) + (portRef (member DI 1) (instanceRef un3_dout_r6_0_cry_11)) + )) + (net (rename un3_dout_r6_0_o5Z0Z_10 "un3_dout_r6_0_o5_10") (joined + (portRef O (instanceRef un3_dout_r6_0_o5_10)) + (portRef (member DI 0) (instanceRef un3_dout_r6_0_cry_11)) + )) + (net (rename un3_dout_r6_0_o5Z0Z_11 "un3_dout_r6_0_o5_11") (joined + (portRef O (instanceRef un3_dout_r6_0_o5_11)) + (portRef (member DI 3) (instanceRef un3_dout_r6_0_s_15)) + )) + (net (rename un3_dout_r6_0_o5Z0Z_12 "un3_dout_r6_0_o5_12") (joined + (portRef O (instanceRef un3_dout_r6_0_o5_12)) + (portRef (member DI 2) (instanceRef un3_dout_r6_0_s_15)) + )) + (net (rename un3_dout_r6_0_o5Z0Z_13 "un3_dout_r6_0_o5_13") (joined + (portRef O (instanceRef un3_dout_r6_0_o5_13)) + (portRef (member DI 1) (instanceRef un3_dout_r6_0_s_15)) + )) + (net (rename un3_dout_r5_0_o5Z0Z_2 "un3_dout_r5_0_o5_2") (joined + (portRef O (instanceRef un3_dout_r5_0_o5_2)) + (portRef (member DI 0) (instanceRef un3_dout_r5_0_cry_3)) + )) + (net (rename un3_dout_r5_0_o5Z0Z_3 "un3_dout_r5_0_o5_3") (joined + (portRef O (instanceRef un3_dout_r5_0_o5_3)) + (portRef (member DI 3) (instanceRef un3_dout_r5_0_cry_7)) + )) + (net (rename un3_dout_r5_0_o5Z0Z_4 "un3_dout_r5_0_o5_4") (joined + (portRef O (instanceRef un3_dout_r5_0_o5_4)) + (portRef (member DI 2) (instanceRef un3_dout_r5_0_cry_7)) + )) + (net (rename un3_dout_r5_0_o5Z0Z_5 "un3_dout_r5_0_o5_5") (joined + (portRef O (instanceRef un3_dout_r5_0_o5_5)) + (portRef (member DI 1) (instanceRef un3_dout_r5_0_cry_7)) + )) + (net (rename un3_dout_r5_0_o5Z0Z_6 "un3_dout_r5_0_o5_6") (joined + (portRef O (instanceRef un3_dout_r5_0_o5_6)) + (portRef (member DI 0) (instanceRef un3_dout_r5_0_cry_7)) + )) + (net (rename un3_dout_r5_0_o5Z0Z_7 "un3_dout_r5_0_o5_7") (joined + (portRef O (instanceRef un3_dout_r5_0_o5_7)) + (portRef (member DI 3) (instanceRef un3_dout_r5_0_cry_11)) + )) + (net (rename un3_dout_r5_0_o5Z0Z_8 "un3_dout_r5_0_o5_8") (joined + (portRef O (instanceRef un3_dout_r5_0_o5_8)) + (portRef (member DI 2) (instanceRef un3_dout_r5_0_cry_11)) + )) + (net (rename un3_dout_r5_0_o5Z0Z_9 "un3_dout_r5_0_o5_9") (joined + (portRef O (instanceRef un3_dout_r5_0_o5_9)) + (portRef (member DI 1) (instanceRef un3_dout_r5_0_cry_11)) + )) + (net (rename un3_dout_r5_0_o5Z0Z_10 "un3_dout_r5_0_o5_10") (joined + (portRef O (instanceRef un3_dout_r5_0_o5_10)) + (portRef (member DI 0) (instanceRef un3_dout_r5_0_cry_11)) + )) + (net (rename un3_dout_r5_0_o5Z0Z_11 "un3_dout_r5_0_o5_11") (joined + (portRef O (instanceRef un3_dout_r5_0_o5_11)) + (portRef (member DI 3) (instanceRef un3_dout_r5_0_s_15)) + )) + (net (rename un3_dout_r5_0_o5Z0Z_12 "un3_dout_r5_0_o5_12") (joined + (portRef O (instanceRef un3_dout_r5_0_o5_12)) + (portRef (member DI 2) (instanceRef un3_dout_r5_0_s_15)) + )) + (net (rename un3_dout_r5_0_o5Z0Z_13 "un3_dout_r5_0_o5_13") (joined + (portRef O (instanceRef un3_dout_r5_0_o5_13)) + (portRef (member DI 1) (instanceRef un3_dout_r5_0_s_15)) + )) + (net (rename un3_dout_r3_0_o5Z0Z_2 "un3_dout_r3_0_o5_2") (joined + (portRef O (instanceRef un3_dout_r3_0_o5_2)) + (portRef (member DI 0) (instanceRef un3_dout_r3_0_cry_3)) + )) + (net (rename un3_dout_r3_0_o5Z0Z_3 "un3_dout_r3_0_o5_3") (joined + (portRef O (instanceRef un3_dout_r3_0_o5_3)) + (portRef (member DI 3) (instanceRef un3_dout_r3_0_cry_7)) + )) + (net (rename un3_dout_r3_0_o5Z0Z_4 "un3_dout_r3_0_o5_4") (joined + (portRef O (instanceRef un3_dout_r3_0_o5_4)) + (portRef (member DI 2) (instanceRef un3_dout_r3_0_cry_7)) + )) + (net (rename un3_dout_r3_0_o5Z0Z_5 "un3_dout_r3_0_o5_5") (joined + (portRef O (instanceRef un3_dout_r3_0_o5_5)) + (portRef (member DI 1) (instanceRef un3_dout_r3_0_cry_7)) + )) + (net (rename un3_dout_r3_0_o5Z0Z_6 "un3_dout_r3_0_o5_6") (joined + (portRef O (instanceRef un3_dout_r3_0_o5_6)) + (portRef (member DI 0) (instanceRef un3_dout_r3_0_cry_7)) + )) + (net (rename un3_dout_r3_0_o5Z0Z_7 "un3_dout_r3_0_o5_7") (joined + (portRef O (instanceRef un3_dout_r3_0_o5_7)) + (portRef (member DI 3) (instanceRef un3_dout_r3_0_cry_11)) + )) + (net (rename un3_dout_r3_0_o5Z0Z_8 "un3_dout_r3_0_o5_8") (joined + (portRef O (instanceRef un3_dout_r3_0_o5_8)) + (portRef (member DI 2) (instanceRef un3_dout_r3_0_cry_11)) + )) + (net (rename un3_dout_r3_0_o5Z0Z_9 "un3_dout_r3_0_o5_9") (joined + (portRef O (instanceRef un3_dout_r3_0_o5_9)) + (portRef (member DI 1) (instanceRef un3_dout_r3_0_cry_11)) + )) + (net (rename un3_dout_r3_0_o5Z0Z_10 "un3_dout_r3_0_o5_10") (joined + (portRef O (instanceRef un3_dout_r3_0_o5_10)) + (portRef (member DI 0) (instanceRef un3_dout_r3_0_cry_11)) + )) + (net (rename un3_dout_r3_0_o5Z0Z_11 "un3_dout_r3_0_o5_11") (joined + (portRef O (instanceRef un3_dout_r3_0_o5_11)) + (portRef (member DI 3) (instanceRef un3_dout_r3_0_s_15)) + )) + (net (rename un3_dout_r3_0_o5Z0Z_12 "un3_dout_r3_0_o5_12") (joined + (portRef O (instanceRef un3_dout_r3_0_o5_12)) + (portRef (member DI 2) (instanceRef un3_dout_r3_0_s_15)) + )) + (net (rename dout_r3Z0Z_15 "dout_r3[15]") (joined + (portRef Q (instanceRef dout_r3_15)) + (portRef I1 (instanceRef mux_p_3_6_0_15)) + )) + (net (rename dout_r3Z0Z_2 "dout_r3[2]") (joined + (portRef Q (instanceRef dout_r3_2)) + (portRef I1 (instanceRef mux_p_3_6_0_2)) + )) + (net (rename dout_r3Z0Z_1 "dout_r3[1]") (joined + (portRef Q (instanceRef dout_r3_1)) + (portRef I1 (instanceRef mux_p_3_6_0_1)) + )) + (net (rename dout_r3Z0Z_0 "dout_r3[0]") (joined + (portRef Q (instanceRef dout_r3_0)) + (portRef I1 (instanceRef mux_p_3_6_0_0)) + )) + (net (rename dout_r3Z0Z_14 "dout_r3[14]") (joined + (portRef Q (instanceRef dout_r3_14)) + (portRef I1 (instanceRef mux_p_3_6_0_14)) + )) + (net (rename dout_r3Z0Z_13 "dout_r3[13]") (joined + (portRef Q (instanceRef dout_r3_13)) + (portRef I1 (instanceRef mux_p_3_6_0_13)) + )) + (net (rename dout_r3Z0Z_12 "dout_r3[12]") (joined + (portRef Q (instanceRef dout_r3_12)) + (portRef I1 (instanceRef mux_p_3_6_0_12)) + )) + (net (rename dout_r3Z0Z_11 "dout_r3[11]") (joined + (portRef Q (instanceRef dout_r3_11)) + (portRef I1 (instanceRef mux_p_3_6_0_11)) + )) + (net (rename dout_r3Z0Z_10 "dout_r3[10]") (joined + (portRef Q (instanceRef dout_r3_10)) + (portRef I1 (instanceRef mux_p_3_6_0_10)) + )) + (net (rename dout_r3Z0Z_9 "dout_r3[9]") (joined + (portRef Q (instanceRef dout_r3_9)) + (portRef I1 (instanceRef mux_p_3_6_0_9)) + )) + (net (rename dout_r3Z0Z_8 "dout_r3[8]") (joined + (portRef Q (instanceRef dout_r3_8)) + (portRef I1 (instanceRef mux_p_3_6_0_8)) + )) + (net (rename dout_r3Z0Z_7 "dout_r3[7]") (joined + (portRef Q (instanceRef dout_r3_7)) + (portRef I1 (instanceRef mux_p_3_6_0_7)) + )) + (net (rename dout_r3Z0Z_6 "dout_r3[6]") (joined + (portRef Q (instanceRef dout_r3_6)) + (portRef I1 (instanceRef mux_p_3_6_0_6)) + )) + (net (rename dout_r3Z0Z_5 "dout_r3[5]") (joined + (portRef Q (instanceRef dout_r3_5)) + (portRef I1 (instanceRef mux_p_3_6_0_5)) + )) + (net (rename dout_r3Z0Z_4 "dout_r3[4]") (joined + (portRef Q (instanceRef dout_r3_4)) + (portRef I1 (instanceRef mux_p_3_6_0_4)) + )) + (net (rename dout_r3Z0Z_3 "dout_r3[3]") (joined + (portRef Q (instanceRef dout_r3_3)) + (portRef I1 (instanceRef mux_p_3_6_0_3)) + )) + (net (rename un4_dout_r7_5_axbZ0Z_15 "un4_dout_r7_5_axb_15") (joined + (portRef O (instanceRef un4_dout_r7_5_axb_15)) + (portRef (member S 0) (instanceRef un4_dout_r7_5_s_15)) + )) + (net (rename un4_dout_r7_4_1 "un4_dout_r7_4[1]") (joined + (portRef (member O 2) (instanceRef un4_dout_r7_4_cry_3)) + (portRef (member DI 2) (instanceRef un4_dout_r7_cry_3)) + (portRef I0 (instanceRef un4_dout_r7_axb_1)) + )) + (net (rename un4_dout_r7_5_1 "un4_dout_r7_5[1]") (joined + (portRef (member O 2) (instanceRef un4_dout_r7_5_cry_3)) + (portRef I1 (instanceRef un4_dout_r7_axb_1)) + )) + (net (rename un4_dout_r7_axbZ0Z_1 "un4_dout_r7_axb_1") (joined + (portRef LO (instanceRef un4_dout_r7_axb_1)) + (portRef (member S 2) (instanceRef un4_dout_r7_cry_3)) + )) + (net (rename un4_dout_r7_4_2 "un4_dout_r7_4[2]") (joined + (portRef (member O 1) (instanceRef un4_dout_r7_4_cry_3)) + (portRef (member DI 1) (instanceRef un4_dout_r7_cry_3)) + (portRef I0 (instanceRef un4_dout_r7_axb_2)) + )) + (net (rename un4_dout_r7_5_2 "un4_dout_r7_5[2]") (joined + (portRef (member O 1) (instanceRef un4_dout_r7_5_cry_3)) + (portRef I1 (instanceRef un4_dout_r7_axb_2)) + )) + (net (rename un4_dout_r7_axbZ0Z_2 "un4_dout_r7_axb_2") (joined + (portRef LO (instanceRef un4_dout_r7_axb_2)) + (portRef (member S 1) (instanceRef un4_dout_r7_cry_3)) + )) + (net (rename un4_dout_r7_4_3 "un4_dout_r7_4[3]") (joined + (portRef (member O 0) (instanceRef un4_dout_r7_4_cry_3)) + (portRef (member DI 0) (instanceRef un4_dout_r7_cry_3)) + (portRef I0 (instanceRef un4_dout_r7_axb_3)) + )) + (net (rename un4_dout_r7_5_3 "un4_dout_r7_5[3]") (joined + (portRef (member O 0) (instanceRef un4_dout_r7_5_cry_3)) + (portRef I1 (instanceRef un4_dout_r7_axb_3)) + )) + (net (rename un4_dout_r7_axbZ0Z_3 "un4_dout_r7_axb_3") (joined + (portRef LO (instanceRef un4_dout_r7_axb_3)) + (portRef (member S 0) (instanceRef un4_dout_r7_cry_3)) + )) + (net (rename un4_dout_r7_4_4 "un4_dout_r7_4[4]") (joined + (portRef (member O 3) (instanceRef un4_dout_r7_4_cry_7)) + (portRef (member DI 3) (instanceRef un4_dout_r7_cry_7)) + (portRef I0 (instanceRef un4_dout_r7_axb_4)) + )) + (net (rename un4_dout_r7_5_4 "un4_dout_r7_5[4]") (joined + (portRef (member O 3) (instanceRef un4_dout_r7_5_cry_7)) + (portRef I1 (instanceRef un4_dout_r7_axb_4)) + )) + (net (rename un4_dout_r7_axbZ0Z_4 "un4_dout_r7_axb_4") (joined + (portRef LO (instanceRef un4_dout_r7_axb_4)) + (portRef (member S 3) (instanceRef un4_dout_r7_cry_7)) + )) + (net (rename un4_dout_r7_4_5 "un4_dout_r7_4[5]") (joined + (portRef (member O 2) (instanceRef un4_dout_r7_4_cry_7)) + (portRef (member DI 2) (instanceRef un4_dout_r7_cry_7)) + (portRef I0 (instanceRef un4_dout_r7_axb_5)) + )) + (net (rename un4_dout_r7_5_5 "un4_dout_r7_5[5]") (joined + (portRef (member O 2) (instanceRef un4_dout_r7_5_cry_7)) + (portRef I1 (instanceRef un4_dout_r7_axb_5)) + )) + (net (rename un4_dout_r7_axbZ0Z_5 "un4_dout_r7_axb_5") (joined + (portRef LO (instanceRef un4_dout_r7_axb_5)) + (portRef (member S 2) (instanceRef un4_dout_r7_cry_7)) + )) + (net (rename un4_dout_r7_4_6 "un4_dout_r7_4[6]") (joined + (portRef (member O 1) (instanceRef un4_dout_r7_4_cry_7)) + (portRef (member DI 1) (instanceRef un4_dout_r7_cry_7)) + (portRef I0 (instanceRef un4_dout_r7_axb_6)) + )) + (net (rename un4_dout_r7_5_6 "un4_dout_r7_5[6]") (joined + (portRef (member O 1) (instanceRef un4_dout_r7_5_cry_7)) + (portRef I1 (instanceRef un4_dout_r7_axb_6)) + )) + (net (rename un4_dout_r7_axbZ0Z_6 "un4_dout_r7_axb_6") (joined + (portRef LO (instanceRef un4_dout_r7_axb_6)) + (portRef (member S 1) (instanceRef un4_dout_r7_cry_7)) + )) + (net (rename un4_dout_r7_4_7 "un4_dout_r7_4[7]") (joined + (portRef (member O 0) (instanceRef un4_dout_r7_4_cry_7)) + (portRef (member DI 0) (instanceRef un4_dout_r7_cry_7)) + (portRef I0 (instanceRef un4_dout_r7_axb_7)) + )) + (net (rename un4_dout_r7_5_7 "un4_dout_r7_5[7]") (joined + (portRef (member O 0) (instanceRef un4_dout_r7_5_cry_7)) + (portRef I1 (instanceRef un4_dout_r7_axb_7)) + )) + (net (rename un4_dout_r7_axbZ0Z_7 "un4_dout_r7_axb_7") (joined + (portRef LO (instanceRef un4_dout_r7_axb_7)) + (portRef (member S 0) (instanceRef un4_dout_r7_cry_7)) + )) + (net (rename un4_dout_r7_4_8 "un4_dout_r7_4[8]") (joined + (portRef (member O 3) (instanceRef un4_dout_r7_4_cry_11)) + (portRef (member DI 3) (instanceRef un4_dout_r7_cry_11)) + (portRef I0 (instanceRef un4_dout_r7_axb_8)) + )) + (net (rename un4_dout_r7_5_8 "un4_dout_r7_5[8]") (joined + (portRef (member O 3) (instanceRef un4_dout_r7_5_cry_11)) + (portRef I1 (instanceRef un4_dout_r7_axb_8)) + )) + (net (rename un4_dout_r7_axbZ0Z_8 "un4_dout_r7_axb_8") (joined + (portRef LO (instanceRef un4_dout_r7_axb_8)) + (portRef (member S 3) (instanceRef un4_dout_r7_cry_11)) + )) + (net (rename un4_dout_r7_4_9 "un4_dout_r7_4[9]") (joined + (portRef (member O 2) (instanceRef un4_dout_r7_4_cry_11)) + (portRef (member DI 2) (instanceRef un4_dout_r7_cry_11)) + (portRef I0 (instanceRef un4_dout_r7_axb_9)) + )) + (net (rename un4_dout_r7_5_9 "un4_dout_r7_5[9]") (joined + (portRef (member O 2) (instanceRef un4_dout_r7_5_cry_11)) + (portRef I1 (instanceRef un4_dout_r7_axb_9)) + )) + (net (rename un4_dout_r7_axbZ0Z_9 "un4_dout_r7_axb_9") (joined + (portRef LO (instanceRef un4_dout_r7_axb_9)) + (portRef (member S 2) (instanceRef un4_dout_r7_cry_11)) + )) + (net (rename un4_dout_r7_4_10 "un4_dout_r7_4[10]") (joined + (portRef (member O 1) (instanceRef un4_dout_r7_4_cry_11)) + (portRef (member DI 1) (instanceRef un4_dout_r7_cry_11)) + (portRef I0 (instanceRef un4_dout_r7_axb_10)) + )) + (net (rename un4_dout_r7_5_10 "un4_dout_r7_5[10]") (joined + (portRef (member O 1) (instanceRef un4_dout_r7_5_cry_11)) + (portRef I1 (instanceRef un4_dout_r7_axb_10)) + )) + (net (rename un4_dout_r7_axbZ0Z_10 "un4_dout_r7_axb_10") (joined + (portRef LO (instanceRef un4_dout_r7_axb_10)) + (portRef (member S 1) (instanceRef un4_dout_r7_cry_11)) + )) + (net (rename un4_dout_r7_4_11 "un4_dout_r7_4[11]") (joined + (portRef (member O 0) (instanceRef un4_dout_r7_4_cry_11)) + (portRef (member DI 0) (instanceRef un4_dout_r7_cry_11)) + (portRef I0 (instanceRef un4_dout_r7_axb_11)) + )) + (net (rename un4_dout_r7_5_11 "un4_dout_r7_5[11]") (joined + (portRef (member O 0) (instanceRef un4_dout_r7_5_cry_11)) + (portRef I1 (instanceRef un4_dout_r7_axb_11)) + )) + (net (rename un4_dout_r7_axbZ0Z_11 "un4_dout_r7_axb_11") (joined + (portRef LO (instanceRef un4_dout_r7_axb_11)) + (portRef (member S 0) (instanceRef un4_dout_r7_cry_11)) + )) + (net (rename un4_dout_r7_4_12 "un4_dout_r7_4[12]") (joined + (portRef (member O 3) (instanceRef un4_dout_r7_4_cry_13_outext)) + (portRef (member DI 3) (instanceRef un4_dout_r7_s_15)) + (portRef I0 (instanceRef un4_dout_r7_axb_12)) + )) + (net (rename un4_dout_r7_5_12 "un4_dout_r7_5[12]") (joined + (portRef (member O 3) (instanceRef un4_dout_r7_5_s_15)) + (portRef I1 (instanceRef un4_dout_r7_axb_12)) + )) + (net (rename un4_dout_r7_axbZ0Z_12 "un4_dout_r7_axb_12") (joined + (portRef LO (instanceRef un4_dout_r7_axb_12)) + (portRef (member S 3) (instanceRef un4_dout_r7_s_15)) + )) + (net (rename un4_dout_r7_4_13 "un4_dout_r7_4[13]") (joined + (portRef (member O 2) (instanceRef un4_dout_r7_4_cry_13_outext)) + (portRef (member DI 2) (instanceRef un4_dout_r7_s_15)) + (portRef I0 (instanceRef un4_dout_r7_axb_13)) + )) + (net (rename un4_dout_r7_5_13 "un4_dout_r7_5[13]") (joined + (portRef (member O 2) (instanceRef un4_dout_r7_5_s_15)) + (portRef I1 (instanceRef un4_dout_r7_axb_13)) + )) + (net (rename un4_dout_r7_axbZ0Z_13 "un4_dout_r7_axb_13") (joined + (portRef LO (instanceRef un4_dout_r7_axb_13)) + (portRef (member S 2) (instanceRef un4_dout_r7_s_15)) + )) + (net (rename un4_dout_r7_5_14 "un4_dout_r7_5[14]") (joined + (portRef (member O 1) (instanceRef un4_dout_r7_5_s_15)) + (portRef (member DI 1) (instanceRef un4_dout_r7_s_15)) + (portRef I0 (instanceRef un4_dout_r7_axb_14)) + )) + (net (rename un4_dout_r7_4_14 "un4_dout_r7_4[14]") (joined + (portRef (member CO 1) (instanceRef un4_dout_r7_4_cry_13_outext)) + (portRef I1 (instanceRef un4_dout_r7_axb_14)) + )) + (net (rename un4_dout_r7_axbZ0Z_14 "un4_dout_r7_axb_14") (joined + (portRef LO (instanceRef un4_dout_r7_axb_14)) + (portRef (member S 1) (instanceRef un4_dout_r7_s_15)) + )) + (net (rename un4_dout_r7_5_15 "un4_dout_r7_5[15]") (joined + (portRef (member O 0) (instanceRef un4_dout_r7_5_s_15)) + (portRef I0 (instanceRef un4_dout_r7_axb_15)) + )) + (net (rename un4_dout_r7_axbZ0Z_15 "un4_dout_r7_axb_15") (joined + (portRef LO (instanceRef un4_dout_r7_axb_15)) + (portRef (member S 0) (instanceRef un4_dout_r7_s_15)) + )) + (net (rename un2_dout_r4_axbZ0Z_1 "un2_dout_r4_axb_1") (joined + (portRef LO (instanceRef un2_dout_r4_axb_1)) + (portRef (member S 2) (instanceRef un2_dout_r4_cry_3)) + )) + (net (rename un2_dout_r1_axbZ0Z_3 "un2_dout_r1_axb_3") (joined + (portRef LO (instanceRef un2_dout_r1_axb_3)) + (portRef (member S 0) (instanceRef un2_dout_r1_cry_3)) + )) + (net (rename un2_dout_r1_axbZ0Z_7 "un2_dout_r1_axb_7") (joined + (portRef LO (instanceRef un2_dout_r1_axb_7)) + (portRef (member S 0) (instanceRef un2_dout_r1_cry_7)) + )) + (net (rename un3_dout_r6_0_axbZ0Z_7 "un3_dout_r6_0_axb_7") (joined + (portRef LO (instanceRef un3_dout_r6_0_axb_7)) + (portRef (member S 0) (instanceRef un3_dout_r6_0_cry_7)) + )) + (net (rename un3_dout_r6_0_axbZ0Z_8 "un3_dout_r6_0_axb_8") (joined + (portRef LO (instanceRef un3_dout_r6_0_axb_8)) + (portRef (member S 3) (instanceRef un3_dout_r6_0_cry_11)) + )) + (net (rename un3_dout_r6_0_axbZ0Z_4 "un3_dout_r6_0_axb_4") (joined + (portRef LO (instanceRef un3_dout_r6_0_axb_4)) + (portRef (member S 3) (instanceRef un3_dout_r6_0_cry_7)) + )) + (net (rename un3_dout_r6_0_axbZ0Z_12 "un3_dout_r6_0_axb_12") (joined + (portRef LO (instanceRef un3_dout_r6_0_axb_12)) + (portRef (member S 3) (instanceRef un3_dout_r6_0_s_15)) + )) + (net (rename un3_dout_r6_0_axbZ0Z_14 "un3_dout_r6_0_axb_14") (joined + (portRef LO (instanceRef un3_dout_r6_0_axb_14)) + (portRef (member S 1) (instanceRef un3_dout_r6_0_s_15)) + )) + (net (rename un3_dout_r6_0_axbZ0Z_11 "un3_dout_r6_0_axb_11") (joined + (portRef LO (instanceRef un3_dout_r6_0_axb_11)) + (portRef (member S 0) (instanceRef un3_dout_r6_0_cry_11)) + )) + (net (rename un3_dout_r3_0_axbZ0Z_8 "un3_dout_r3_0_axb_8") (joined + (portRef LO (instanceRef un3_dout_r3_0_axb_8)) + (portRef (member S 3) (instanceRef un3_dout_r3_0_cry_11)) + )) + (net (rename un3_dout_r5_0_axbZ0Z_11 "un3_dout_r5_0_axb_11") (joined + (portRef LO (instanceRef un3_dout_r5_0_axb_11)) + (portRef (member S 0) (instanceRef un3_dout_r5_0_cry_11)) + )) + (net (rename un3_dout_r3_0_axbZ0Z_5 "un3_dout_r3_0_axb_5") (joined + (portRef LO (instanceRef un3_dout_r3_0_axb_5)) + (portRef (member S 2) (instanceRef un3_dout_r3_0_cry_7)) + )) + (net (rename un3_dout_r3_0_axbZ0Z_3 "un3_dout_r3_0_axb_3") (joined + (portRef LO (instanceRef un3_dout_r3_0_axb_3)) + (portRef (member S 0) (instanceRef un3_dout_r3_0_cry_3)) + )) + (net (rename un3_dout_r6_0_axbZ0Z_3 "un3_dout_r6_0_axb_3") (joined + (portRef LO (instanceRef un3_dout_r6_0_axb_3)) + (portRef (member S 0) (instanceRef un3_dout_r6_0_cry_3)) + )) + (net (rename un3_dout_r5_0_axbZ0Z_13 "un3_dout_r5_0_axb_13") (joined + (portRef LO (instanceRef un3_dout_r5_0_axb_13)) + (portRef (member S 2) (instanceRef un3_dout_r5_0_s_15)) + )) + (net (rename un3_dout_r5_0_axbZ0Z_12 "un3_dout_r5_0_axb_12") (joined + (portRef LO (instanceRef un3_dout_r5_0_axb_12)) + (portRef (member S 3) (instanceRef un3_dout_r5_0_s_15)) + )) + (net (rename un3_dout_r3_0_axbZ0Z_11 "un3_dout_r3_0_axb_11") (joined + (portRef LO (instanceRef un3_dout_r3_0_axb_11)) + (portRef (member S 0) (instanceRef un3_dout_r3_0_cry_11)) + )) + (net (rename un3_dout_r3_0_axbZ0Z_10 "un3_dout_r3_0_axb_10") (joined + (portRef LO (instanceRef un3_dout_r3_0_axb_10)) + (portRef (member S 1) (instanceRef un3_dout_r3_0_cry_11)) + )) + (net (rename un3_dout_r3_0_axbZ0Z_9 "un3_dout_r3_0_axb_9") (joined + (portRef LO (instanceRef un3_dout_r3_0_axb_9)) + (portRef (member S 2) (instanceRef un3_dout_r3_0_cry_11)) + )) + (net (rename un3_dout_r3_0_axbZ0Z_13 "un3_dout_r3_0_axb_13") (joined + (portRef LO (instanceRef un3_dout_r3_0_axb_13)) + (portRef (member S 2) (instanceRef un3_dout_r3_0_s_15)) + )) + (net (rename un3_dout_r5_0_axbZ0Z_10 "un3_dout_r5_0_axb_10") (joined + (portRef LO (instanceRef un3_dout_r5_0_axb_10)) + (portRef (member S 1) (instanceRef un3_dout_r5_0_cry_11)) + )) + (net (rename un3_dout_r5_0_axbZ0Z_7 "un3_dout_r5_0_axb_7") (joined + (portRef LO (instanceRef un3_dout_r5_0_axb_7)) + (portRef (member S 0) (instanceRef un3_dout_r5_0_cry_7)) + )) + (net (rename un3_dout_r5_0_axbZ0Z_2 "un3_dout_r5_0_axb_2") (joined + (portRef LO (instanceRef un3_dout_r5_0_axb_2)) + (portRef (member S 1) (instanceRef un3_dout_r5_0_cry_3)) + )) + (net (rename un3_dout_r5_0_axbZ0Z_9 "un3_dout_r5_0_axb_9") (joined + (portRef LO (instanceRef un3_dout_r5_0_axb_9)) + (portRef (member S 2) (instanceRef un3_dout_r5_0_cry_11)) + )) + (net (rename un3_dout_r5_0_axbZ0Z_8 "un3_dout_r5_0_axb_8") (joined + (portRef LO (instanceRef un3_dout_r5_0_axb_8)) + (portRef (member S 3) (instanceRef un3_dout_r5_0_cry_11)) + )) + (net (rename un3_dout_r5_0_axbZ0Z_6 "un3_dout_r5_0_axb_6") (joined + (portRef LO (instanceRef un3_dout_r5_0_axb_6)) + (portRef (member S 1) (instanceRef un3_dout_r5_0_cry_7)) + )) + (net (rename un3_dout_r5_0_axbZ0Z_5 "un3_dout_r5_0_axb_5") (joined + (portRef LO (instanceRef un3_dout_r5_0_axb_5)) + (portRef (member S 2) (instanceRef un3_dout_r5_0_cry_7)) + )) + (net (rename un3_dout_r5_0_axbZ0Z_4 "un3_dout_r5_0_axb_4") (joined + (portRef LO (instanceRef un3_dout_r5_0_axb_4)) + (portRef (member S 3) (instanceRef un3_dout_r5_0_cry_7)) + )) + (net (rename un3_dout_r5_0_axbZ0Z_3 "un3_dout_r5_0_axb_3") (joined + (portRef LO (instanceRef un3_dout_r5_0_axb_3)) + (portRef (member S 0) (instanceRef un3_dout_r5_0_cry_3)) + )) + (net (rename iir_out_14 "IIR_out_14") (joined + (portRef IIR_out_14) + (portRef (member DI 3) (instanceRef sum_0_1_cry_3)) + (portRef I1 (instanceRef sum_0_1_axb_0)) + (portRef D (instanceRef din_r1_0)) + )) + (net (rename iir_out_13 "IIR_out_13") (joined + (portRef IIR_out_13) + (portRef (member DI 2) (instanceRef sum_0_1_cry_3)) + (portRef I1 (instanceRef sum_0_1_axb_1)) + (portRef D (instanceRef din_r1_1)) + )) + (net (rename iir_out_12 "IIR_out_12") (joined + (portRef IIR_out_12) + (portRef (member DI 1) (instanceRef sum_0_1_cry_3)) + (portRef I1 (instanceRef sum_0_1_axb_2)) + (portRef D (instanceRef din_r1_2)) + )) + (net (rename iir_out_11 "IIR_out_11") (joined + (portRef IIR_out_11) + (portRef (member DI 0) (instanceRef sum_0_1_cry_3)) + (portRef I1 (instanceRef sum_0_1_axb_3)) + (portRef D (instanceRef din_r1_3)) + )) + (net (rename iir_out_9 "IIR_out_9") (joined + (portRef IIR_out_9) + (portRef (member DI 2) (instanceRef sum_0_1_cry_7)) + (portRef I1 (instanceRef sum_0_1_axb_5)) + (portRef D (instanceRef din_r1_5)) + )) + (net (rename iir_out_8 "IIR_out_8") (joined + (portRef IIR_out_8) + (portRef (member DI 1) (instanceRef sum_0_1_cry_7)) + (portRef I1 (instanceRef sum_0_1_axb_6)) + (portRef D (instanceRef din_r1_6)) + )) + (net (rename iir_out_7 "IIR_out_7") (joined + (portRef IIR_out_7) + (portRef (member DI 0) (instanceRef sum_0_1_cry_7)) + (portRef I1 (instanceRef sum_0_1_axb_7)) + (portRef D (instanceRef din_r1_7)) + )) + (net (rename iir_out_6 "IIR_out_6") (joined + (portRef IIR_out_6) + (portRef (member DI 3) (instanceRef sum_0_1_cry_11)) + (portRef I1 (instanceRef sum_0_1_axb_8)) + (portRef D (instanceRef din_r1_8)) + )) + (net (rename iir_out_2 "IIR_out_2") (joined + (portRef IIR_out_2) + (portRef (member DI 3) (instanceRef sum_0_1_cry_15)) + (portRef I0 (instanceRef sum_0_1_axb_12)) + (portRef D (instanceRef din_r1_12)) + )) + (net (rename iir_out_1 "IIR_out_1") (joined + (portRef IIR_out_1) + (portRef (member DI 2) (instanceRef sum_0_1_cry_15)) + (portRef I1 (instanceRef sum_0_1_axb_13)) + (portRef D (instanceRef din_r1_13)) + )) + (net un4_dout_r7 (joined + (portRef (member O 0) (instanceRef un4_dout_r7_cry_7)) + (portRef D (instanceRef dout_r7_7)) + )) + (net un4_dout_r7_0 (joined + (portRef (member O 3) (instanceRef un4_dout_r7_cry_11)) + (portRef D (instanceRef dout_r7_8)) + )) + (net un4_dout_r7_1 (joined + (portRef (member O 2) (instanceRef un4_dout_r7_cry_11)) + (portRef D (instanceRef dout_r7_9)) + )) + (net un4_dout_r7_2 (joined + (portRef (member O 1) (instanceRef un4_dout_r7_cry_11)) + (portRef D (instanceRef dout_r7_10)) + )) + (net un4_dout_r7_3 (joined + (portRef (member O 0) (instanceRef un4_dout_r7_cry_11)) + (portRef D (instanceRef dout_r7_11)) + )) + (net un4_dout_r7_4 (joined + (portRef (member O 3) (instanceRef un4_dout_r7_s_15)) + (portRef D (instanceRef dout_r7_12)) + )) + (net un4_dout_r7_5 (joined + (portRef (member O 2) (instanceRef un4_dout_r7_s_15)) + (portRef D (instanceRef dout_r7_13)) + )) + (net un4_dout_r7_6 (joined + (portRef (member O 1) (instanceRef un4_dout_r7_s_15)) + (portRef D (instanceRef dout_r7_14)) + )) + (net un4_dout_r7_7 (joined + (portRef (member O 0) (instanceRef un4_dout_r7_s_15)) + (portRef D (instanceRef dout_r7_15)) + )) + (net un3_dout_r6 (joined + (portRef (member O 3) (instanceRef un3_dout_r6_0_cry_11)) + (portRef D (instanceRef dout_r6_8)) + )) + (net un3_dout_r6_0 (joined + (portRef (member O 2) (instanceRef un3_dout_r6_0_cry_11)) + (portRef D (instanceRef dout_r6_9)) + )) + (net un3_dout_r6_1 (joined + (portRef (member O 1) (instanceRef un3_dout_r6_0_cry_11)) + (portRef D (instanceRef dout_r6_10)) + )) + (net un3_dout_r6_2 (joined + (portRef (member O 0) (instanceRef un3_dout_r6_0_cry_11)) + (portRef D (instanceRef dout_r6_11)) + )) + (net un3_dout_r6_3 (joined + (portRef (member O 3) (instanceRef un3_dout_r6_0_s_15)) + (portRef D (instanceRef dout_r6_12)) + )) + (net un3_dout_r6_4 (joined + (portRef (member O 2) (instanceRef un3_dout_r6_0_s_15)) + (portRef D (instanceRef dout_r6_13)) + )) + (net un3_dout_r6_5 (joined + (portRef (member O 1) (instanceRef un3_dout_r6_0_s_15)) + (portRef D (instanceRef dout_r6_14)) + )) + (net un3_dout_r6_6 (joined + (portRef (member O 0) (instanceRef un3_dout_r6_0_s_15)) + (portRef D (instanceRef dout_r6_15)) + )) + (net un4_dout_r7_9 (joined + (portRef (member O 2) (instanceRef un4_dout_r7_cry_3)) + (portRef D (instanceRef dout_r7_1)) + )) + (net un4_dout_r7_10 (joined + (portRef (member O 1) (instanceRef un4_dout_r7_cry_3)) + (portRef D (instanceRef dout_r7_2)) + )) + (net un4_dout_r7_11 (joined + (portRef (member O 0) (instanceRef un4_dout_r7_cry_3)) + (portRef D (instanceRef dout_r7_3)) + )) + (net un4_dout_r7_12 (joined + (portRef (member O 3) (instanceRef un4_dout_r7_cry_7)) + (portRef D (instanceRef dout_r7_4)) + )) + (net un4_dout_r7_13 (joined + (portRef (member O 2) (instanceRef un4_dout_r7_cry_7)) + (portRef D (instanceRef dout_r7_5)) + )) + (net un4_dout_r7_14 (joined + (portRef (member O 1) (instanceRef un4_dout_r7_cry_7)) + (portRef D (instanceRef dout_r7_6)) + )) + (net un3_dout_r5 (joined + (portRef (member O 2) (instanceRef un3_dout_r5_0_cry_11)) + (portRef D (instanceRef dout_r5_9)) + )) + (net un3_dout_r5_0 (joined + (portRef (member O 1) (instanceRef un3_dout_r5_0_cry_11)) + (portRef D (instanceRef dout_r5_10)) + )) + (net un3_dout_r5_1 (joined + (portRef (member O 0) (instanceRef un3_dout_r5_0_cry_11)) + (portRef D (instanceRef dout_r5_11)) + )) + (net un3_dout_r5_2 (joined + (portRef (member O 3) (instanceRef un3_dout_r5_0_s_15)) + (portRef D (instanceRef dout_r5_12)) + )) + (net un3_dout_r5_3 (joined + (portRef (member O 2) (instanceRef un3_dout_r5_0_s_15)) + (portRef D (instanceRef dout_r5_13)) + )) + (net un3_dout_r5_4 (joined + (portRef (member O 1) (instanceRef un3_dout_r5_0_s_15)) + (portRef D (instanceRef dout_r5_14)) + )) + (net un3_dout_r5_5 (joined + (portRef (member O 0) (instanceRef un3_dout_r5_0_s_15)) + (portRef D (instanceRef dout_r5_15)) + )) + (net un3_dout_r6_7 (joined + (portRef (member O 3) (instanceRef un3_dout_r6_0_cry_3)) + (portRef D (instanceRef dout_r6_0)) + )) + (net un3_dout_r6_8 (joined + (portRef (member O 2) (instanceRef un3_dout_r6_0_cry_3)) + (portRef D (instanceRef dout_r6_1)) + )) + (net un3_dout_r6_9 (joined + (portRef (member O 1) (instanceRef un3_dout_r6_0_cry_3)) + (portRef D (instanceRef dout_r6_2)) + )) + (net un3_dout_r6_10 (joined + (portRef (member O 0) (instanceRef un3_dout_r6_0_cry_3)) + (portRef D (instanceRef dout_r6_3)) + )) + (net un3_dout_r6_11 (joined + (portRef (member O 3) (instanceRef un3_dout_r6_0_cry_7)) + (portRef D (instanceRef dout_r6_4)) + )) + (net un3_dout_r6_12 (joined + (portRef (member O 2) (instanceRef un3_dout_r6_0_cry_7)) + (portRef D (instanceRef dout_r6_5)) + )) + (net un3_dout_r6_13 (joined + (portRef (member O 1) (instanceRef un3_dout_r6_0_cry_7)) + (portRef D (instanceRef dout_r6_6)) + )) + (net un3_dout_r6_14 (joined + (portRef (member O 0) (instanceRef un3_dout_r6_0_cry_7)) + (portRef D (instanceRef dout_r6_7)) + )) + (net un3_dout_r3 (joined + (portRef (member O 1) (instanceRef un3_dout_r3_0_cry_11)) + (portRef D (instanceRef dout_r3_10)) + )) + (net un3_dout_r3_0 (joined + (portRef (member O 0) (instanceRef un3_dout_r3_0_cry_11)) + (portRef D (instanceRef dout_r3_11)) + )) + (net un3_dout_r3_1 (joined + (portRef (member O 3) (instanceRef un3_dout_r3_0_s_15)) + (portRef D (instanceRef dout_r3_12)) + )) + (net un3_dout_r3_2 (joined + (portRef (member O 2) (instanceRef un3_dout_r3_0_s_15)) + (portRef D (instanceRef dout_r3_13)) + )) + (net un3_dout_r3_3 (joined + (portRef (member O 1) (instanceRef un3_dout_r3_0_s_15)) + (portRef D (instanceRef dout_r3_14)) + )) + (net un3_dout_r3_4 (joined + (portRef (member O 0) (instanceRef un3_dout_r3_0_s_15)) + (portRef D (instanceRef dout_r3_15)) + )) + (net un3_dout_r5_6 (joined + (portRef (member O 3) (instanceRef un3_dout_r5_0_cry_3)) + (portRef D (instanceRef dout_r5_0)) + )) + (net un3_dout_r5_7 (joined + (portRef (member O 2) (instanceRef un3_dout_r5_0_cry_3)) + (portRef D (instanceRef dout_r5_1)) + )) + (net un3_dout_r5_8 (joined + (portRef (member O 1) (instanceRef un3_dout_r5_0_cry_3)) + (portRef D (instanceRef dout_r5_2)) + )) + (net un3_dout_r5_9 (joined + (portRef (member O 0) (instanceRef un3_dout_r5_0_cry_3)) + (portRef D (instanceRef dout_r5_3)) + )) + (net un3_dout_r5_10 (joined + (portRef (member O 3) (instanceRef un3_dout_r5_0_cry_7)) + (portRef D (instanceRef dout_r5_4)) + )) + (net un3_dout_r5_11 (joined + (portRef (member O 2) (instanceRef un3_dout_r5_0_cry_7)) + (portRef D (instanceRef dout_r5_5)) + )) + (net un3_dout_r5_12 (joined + (portRef (member O 1) (instanceRef un3_dout_r5_0_cry_7)) + (portRef D (instanceRef dout_r5_6)) + )) + (net un3_dout_r5_13 (joined + (portRef (member O 0) (instanceRef un3_dout_r5_0_cry_7)) + (portRef D (instanceRef dout_r5_7)) + )) + (net un3_dout_r5_14 (joined + (portRef (member O 3) (instanceRef un3_dout_r5_0_cry_11)) + (portRef D (instanceRef dout_r5_8)) + )) + (net un2_dout_r2 (joined + (portRef (member O 0) (instanceRef un2_dout_r2_cry_11)) + (portRef D (instanceRef dout_r2_11)) + )) + (net un2_dout_r2_0 (joined + (portRef (member O 3) (instanceRef un2_dout_r2_s_15)) + (portRef D (instanceRef dout_r2_12)) + )) + (net un2_dout_r2_1 (joined + (portRef (member O 2) (instanceRef un2_dout_r2_s_15)) + (portRef D (instanceRef dout_r2_13)) + )) + (net un2_dout_r2_2 (joined + (portRef (member O 1) (instanceRef un2_dout_r2_s_15)) + (portRef D (instanceRef dout_r2_14)) + )) + (net un2_dout_r2_3 (joined + (portRef (member O 0) (instanceRef un2_dout_r2_s_15)) + (portRef D (instanceRef dout_r2_15)) + )) + (net un3_dout_r3_5 (joined + (portRef (member O 3) (instanceRef un3_dout_r3_0_cry_3)) + (portRef D (instanceRef dout_r3_0)) + )) + (net un3_dout_r3_6 (joined + (portRef (member O 2) (instanceRef un3_dout_r3_0_cry_3)) + (portRef D (instanceRef dout_r3_1)) + )) + (net un3_dout_r3_7 (joined + (portRef (member O 1) (instanceRef un3_dout_r3_0_cry_3)) + (portRef D (instanceRef dout_r3_2)) + )) + (net un3_dout_r3_8 (joined + (portRef (member O 0) (instanceRef un3_dout_r3_0_cry_3)) + (portRef D (instanceRef dout_r3_3)) + )) + (net un3_dout_r3_9 (joined + (portRef (member O 3) (instanceRef un3_dout_r3_0_cry_7)) + (portRef D (instanceRef dout_r3_4)) + )) + (net un3_dout_r3_10 (joined + (portRef (member O 2) (instanceRef un3_dout_r3_0_cry_7)) + (portRef D (instanceRef dout_r3_5)) + )) + (net un3_dout_r3_11 (joined + (portRef (member O 1) (instanceRef un3_dout_r3_0_cry_7)) + (portRef D (instanceRef dout_r3_6)) + )) + (net un3_dout_r3_12 (joined + (portRef (member O 0) (instanceRef un3_dout_r3_0_cry_7)) + (portRef D (instanceRef dout_r3_7)) + )) + (net un3_dout_r3_13 (joined + (portRef (member O 3) (instanceRef un3_dout_r3_0_cry_11)) + (portRef D (instanceRef dout_r3_8)) + )) + (net un3_dout_r3_14 (joined + (portRef (member O 2) (instanceRef un3_dout_r3_0_cry_11)) + (portRef D (instanceRef dout_r3_9)) + )) + (net un2_dout_r1 (joined + (portRef (member O 3) (instanceRef un2_dout_r1_s_15)) + (portRef D (instanceRef dout_r1_12)) + )) + (net un2_dout_r1_0 (joined + (portRef (member O 2) (instanceRef un2_dout_r1_s_15)) + (portRef D (instanceRef dout_r1_13)) + )) + (net un2_dout_r1_1 (joined + (portRef (member O 1) (instanceRef un2_dout_r1_s_15)) + (portRef D (instanceRef dout_r1_14)) + )) + (net un2_dout_r1_2 (joined + (portRef (member O 0) (instanceRef un2_dout_r1_s_15)) + (portRef D (instanceRef dout_r1_15)) + )) + (net un2_dout_r2_5 (joined + (portRef (member O 2) (instanceRef un2_dout_r2_cry_3)) + (portRef D (instanceRef dout_r2_1)) + )) + (net un2_dout_r2_6 (joined + (portRef (member O 1) (instanceRef un2_dout_r2_cry_3)) + (portRef D (instanceRef dout_r2_2)) + )) + (net un2_dout_r2_7 (joined + (portRef (member O 0) (instanceRef un2_dout_r2_cry_3)) + (portRef D (instanceRef dout_r2_3)) + )) + (net un2_dout_r2_8 (joined + (portRef (member O 3) (instanceRef un2_dout_r2_cry_7)) + (portRef D (instanceRef dout_r2_4)) + )) + (net un2_dout_r2_9 (joined + (portRef (member O 2) (instanceRef un2_dout_r2_cry_7)) + (portRef D (instanceRef dout_r2_5)) + )) + (net un2_dout_r2_10 (joined + (portRef (member O 1) (instanceRef un2_dout_r2_cry_7)) + (portRef D (instanceRef dout_r2_6)) + )) + (net un2_dout_r2_11 (joined + (portRef (member O 0) (instanceRef un2_dout_r2_cry_7)) + (portRef D (instanceRef dout_r2_7)) + )) + (net un2_dout_r2_12 (joined + (portRef (member O 3) (instanceRef un2_dout_r2_cry_11)) + (portRef D (instanceRef dout_r2_8)) + )) + (net un2_dout_r2_13 (joined + (portRef (member O 2) (instanceRef un2_dout_r2_cry_11)) + (portRef D (instanceRef dout_r2_9)) + )) + (net un2_dout_r2_14 (joined + (portRef (member O 1) (instanceRef un2_dout_r2_cry_11)) + (portRef D (instanceRef dout_r2_10)) + )) + (net un2_dout_r4 (joined + (portRef (member O 2) (instanceRef un2_dout_r4_s_15)) + (portRef D (instanceRef dout_r4_13)) + )) + (net un2_dout_r4_0 (joined + (portRef (member O 1) (instanceRef un2_dout_r4_s_15)) + (portRef D (instanceRef dout_r4_14)) + )) + (net un2_dout_r4_1 (joined + (portRef (member O 0) (instanceRef un2_dout_r4_s_15)) + (portRef D (instanceRef dout_r4_15)) + )) + (net un2_dout_r1_4 (joined + (portRef (member O 2) (instanceRef un2_dout_r1_cry_3)) + (portRef D (instanceRef dout_r1_1)) + )) + (net un2_dout_r1_5 (joined + (portRef (member O 1) (instanceRef un2_dout_r1_cry_3)) + (portRef D (instanceRef dout_r1_2)) + )) + (net un2_dout_r1_6 (joined + (portRef (member O 0) (instanceRef un2_dout_r1_cry_3)) + (portRef D (instanceRef dout_r1_3)) + )) + (net un2_dout_r1_7 (joined + (portRef (member O 3) (instanceRef un2_dout_r1_cry_7)) + (portRef D (instanceRef dout_r1_4)) + )) + (net un2_dout_r1_8 (joined + (portRef (member O 2) (instanceRef un2_dout_r1_cry_7)) + (portRef D (instanceRef dout_r1_5)) + )) + (net un2_dout_r1_9 (joined + (portRef (member O 1) (instanceRef un2_dout_r1_cry_7)) + (portRef D (instanceRef dout_r1_6)) + )) + (net un2_dout_r1_10 (joined + (portRef (member O 0) (instanceRef un2_dout_r1_cry_7)) + (portRef D (instanceRef dout_r1_7)) + )) + (net un2_dout_r1_11 (joined + (portRef (member O 3) (instanceRef un2_dout_r1_cry_11)) + (portRef D (instanceRef dout_r1_8)) + )) + (net un2_dout_r1_12 (joined + (portRef (member O 2) (instanceRef un2_dout_r1_cry_11)) + (portRef D (instanceRef dout_r1_9)) + )) + (net un2_dout_r1_13 (joined + (portRef (member O 1) (instanceRef un2_dout_r1_cry_11)) + (portRef D (instanceRef dout_r1_10)) + )) + (net un2_dout_r1_14 (joined + (portRef (member O 0) (instanceRef un2_dout_r1_cry_11)) + (portRef D (instanceRef dout_r1_11)) + )) + (net un2_dout_r4_3 (joined + (portRef (member O 2) (instanceRef un2_dout_r4_cry_3)) + (portRef D (instanceRef dout_r4_1)) + )) + (net un2_dout_r4_4 (joined + (portRef (member O 1) (instanceRef un2_dout_r4_cry_3)) + (portRef D (instanceRef dout_r4_2)) + )) + (net un2_dout_r4_5 (joined + (portRef (member O 0) (instanceRef un2_dout_r4_cry_3)) + (portRef D (instanceRef dout_r4_3)) + )) + (net un2_dout_r4_6 (joined + (portRef (member O 3) (instanceRef un2_dout_r4_cry_7)) + (portRef D (instanceRef dout_r4_4)) + )) + (net un2_dout_r4_7 (joined + (portRef (member O 2) (instanceRef un2_dout_r4_cry_7)) + (portRef D (instanceRef dout_r4_5)) + )) + (net un2_dout_r4_8 (joined + (portRef (member O 1) (instanceRef un2_dout_r4_cry_7)) + (portRef D (instanceRef dout_r4_6)) + )) + (net un2_dout_r4_9 (joined + (portRef (member O 0) (instanceRef un2_dout_r4_cry_7)) + (portRef D (instanceRef dout_r4_7)) + )) + (net un2_dout_r4_10 (joined + (portRef (member O 3) (instanceRef un2_dout_r4_cry_11)) + (portRef D (instanceRef dout_r4_8)) + )) + (net un2_dout_r4_11 (joined + (portRef (member O 2) (instanceRef un2_dout_r4_cry_11)) + (portRef D (instanceRef dout_r4_9)) + )) + (net un2_dout_r4_12 (joined + (portRef (member O 1) (instanceRef un2_dout_r4_cry_11)) + (portRef D (instanceRef dout_r4_10)) + )) + (net un2_dout_r4_13 (joined + (portRef (member O 0) (instanceRef un2_dout_r4_cry_11)) + (portRef D (instanceRef dout_r4_11)) + )) + (net un2_dout_r4_14 (joined + (portRef (member O 3) (instanceRef un2_dout_r4_s_15)) + (portRef D (instanceRef dout_r4_12)) + )) + (net sum_0_1_axb_15 (joined + (portRef O (instanceRef sum_0_1_cry_15_RNO)) + (portRef (member S 0) (instanceRef sum_0_1_cry_15)) + )) + (net (rename sum_0_1_axbZ0Z_13 "sum_0_1_axb_13") (joined + (portRef O (instanceRef sum_0_1_axb_13)) + (portRef (member S 2) (instanceRef sum_0_1_cry_15)) + )) + (net (rename sum_0_1_axbZ0Z_12 "sum_0_1_axb_12") (joined + (portRef O (instanceRef sum_0_1_axb_12)) + (portRef (member S 3) (instanceRef sum_0_1_cry_15)) + )) + (net (rename sum_0_1_axbZ0Z_8 "sum_0_1_axb_8") (joined + (portRef O (instanceRef sum_0_1_axb_8)) + (portRef (member S 3) (instanceRef sum_0_1_cry_11)) + )) + (net (rename sum_0_1_axbZ0Z_7 "sum_0_1_axb_7") (joined + (portRef O (instanceRef sum_0_1_axb_7)) + (portRef (member S 0) (instanceRef sum_0_1_cry_7)) + )) + (net (rename sum_0_1_axbZ0Z_6 "sum_0_1_axb_6") (joined + (portRef O (instanceRef sum_0_1_axb_6)) + (portRef (member S 1) (instanceRef sum_0_1_cry_7)) + )) + (net (rename sum_0_1_axbZ0Z_5 "sum_0_1_axb_5") (joined + (portRef O (instanceRef sum_0_1_axb_5)) + (portRef (member S 2) (instanceRef sum_0_1_cry_7)) + )) + (net (rename sum_0_1_axbZ0Z_3 "sum_0_1_axb_3") (joined + (portRef O (instanceRef sum_0_1_axb_3)) + (portRef (member S 0) (instanceRef sum_0_1_cry_3)) + )) + (net (rename sum_0_1_axbZ0Z_2 "sum_0_1_axb_2") (joined + (portRef O (instanceRef sum_0_1_axb_2)) + (portRef (member S 1) (instanceRef sum_0_1_cry_3)) + )) + (net (rename sum_0_1_axbZ0Z_1 "sum_0_1_axb_1") (joined + (portRef O (instanceRef sum_0_1_axb_1)) + (portRef (member S 2) (instanceRef sum_0_1_cry_3)) + )) + (net (rename sum_0_1_axbZ0Z_0 "sum_0_1_axb_0") (joined + (portRef O (instanceRef sum_0_1_axb_0)) + (portRef (member S 3) (instanceRef sum_0_1_cry_3)) + )) + (net (rename un4_dout_r7_4_cryZ0Z_3 "un4_dout_r7_4_cry_3") (joined + (portRef (member CO 0) (instanceRef un4_dout_r7_4_cry_3)) + (portRef CI (instanceRef un4_dout_r7_4_cry_7)) + )) + (net (rename un4_dout_r7_4_cryZ0Z_7 "un4_dout_r7_4_cry_7") (joined + (portRef (member CO 0) (instanceRef un4_dout_r7_4_cry_7)) + (portRef CI (instanceRef un4_dout_r7_4_cry_11)) + )) + (net (rename un4_dout_r7_4_cryZ0Z_11 "un4_dout_r7_4_cry_11") (joined + (portRef (member CO 0) (instanceRef un4_dout_r7_4_cry_11)) + (portRef CI (instanceRef un4_dout_r7_4_cry_13_outext)) + )) + (net (rename un2_dout_r2_cryZ0Z_3 "un2_dout_r2_cry_3") (joined + (portRef (member CO 0) (instanceRef un2_dout_r2_cry_3)) + (portRef CI (instanceRef un2_dout_r2_cry_7)) + )) + (net (rename un2_dout_r2_cryZ0Z_7 "un2_dout_r2_cry_7") (joined + (portRef (member CO 0) (instanceRef un2_dout_r2_cry_7)) + (portRef CI (instanceRef un2_dout_r2_cry_11)) + )) + (net (rename un2_dout_r2_cryZ0Z_11 "un2_dout_r2_cry_11") (joined + (portRef (member CO 0) (instanceRef un2_dout_r2_cry_11)) + (portRef CI (instanceRef un2_dout_r2_s_15)) + )) + (net (rename un2_dout_r1_cryZ0Z_3 "un2_dout_r1_cry_3") (joined + (portRef (member CO 0) (instanceRef un2_dout_r1_cry_3)) + (portRef CI (instanceRef un2_dout_r1_cry_7)) + )) + (net (rename un2_dout_r1_cryZ0Z_7 "un2_dout_r1_cry_7") (joined + (portRef (member CO 0) (instanceRef un2_dout_r1_cry_7)) + (portRef CI (instanceRef un2_dout_r1_cry_11)) + )) + (net (rename un2_dout_r1_cryZ0Z_11 "un2_dout_r1_cry_11") (joined + (portRef (member CO 0) (instanceRef un2_dout_r1_cry_11)) + (portRef CI (instanceRef un2_dout_r1_s_15)) + )) + (net (rename un2_dout_r4_cryZ0Z_3 "un2_dout_r4_cry_3") (joined + (portRef (member CO 0) (instanceRef un2_dout_r4_cry_3)) + (portRef CI (instanceRef un2_dout_r4_cry_7)) + )) + (net (rename un2_dout_r4_cryZ0Z_7 "un2_dout_r4_cry_7") (joined + (portRef (member CO 0) (instanceRef un2_dout_r4_cry_7)) + (portRef CI (instanceRef un2_dout_r4_cry_11)) + )) + (net (rename un2_dout_r4_cryZ0Z_11 "un2_dout_r4_cry_11") (joined + (portRef (member CO 0) (instanceRef un2_dout_r4_cry_11)) + (portRef CI (instanceRef un2_dout_r4_s_15)) + )) + (net (rename sum_0_1_cryZ0Z_3 "sum_0_1_cry_3") (joined + (portRef (member CO 0) (instanceRef sum_0_1_cry_3)) + (portRef CI (instanceRef sum_0_1_cry_7)) + )) + (net (rename sum_0_1_cryZ0Z_7 "sum_0_1_cry_7") (joined + (portRef (member CO 0) (instanceRef sum_0_1_cry_7)) + (portRef CI (instanceRef sum_0_1_cry_11)) + )) + (net (rename sum_0_1_cryZ0Z_11 "sum_0_1_cry_11") (joined + (portRef (member CO 0) (instanceRef sum_0_1_cry_11)) + (portRef CI (instanceRef sum_0_1_cry_15)) + )) + (net (rename un4_dout_r7_cryZ0Z_3 "un4_dout_r7_cry_3") (joined + (portRef (member CO 0) (instanceRef un4_dout_r7_cry_3)) + (portRef CI (instanceRef un4_dout_r7_cry_7)) + )) + (net (rename un4_dout_r7_cryZ0Z_7 "un4_dout_r7_cry_7") (joined + (portRef (member CO 0) (instanceRef un4_dout_r7_cry_7)) + (portRef CI (instanceRef un4_dout_r7_cry_11)) + )) + (net (rename un4_dout_r7_cryZ0Z_11 "un4_dout_r7_cry_11") (joined + (portRef (member CO 0) (instanceRef un4_dout_r7_cry_11)) + (portRef CI (instanceRef un4_dout_r7_s_15)) + )) + (net (rename un4_dout_r7_5_cryZ0Z_3 "un4_dout_r7_5_cry_3") (joined + (portRef (member CO 0) (instanceRef un4_dout_r7_5_cry_3)) + (portRef CI (instanceRef un4_dout_r7_5_cry_7)) + )) + (net (rename un4_dout_r7_5_cryZ0Z_7 "un4_dout_r7_5_cry_7") (joined + (portRef (member CO 0) (instanceRef un4_dout_r7_5_cry_7)) + (portRef CI (instanceRef un4_dout_r7_5_cry_11)) + )) + (net (rename un4_dout_r7_5_cryZ0Z_11 "un4_dout_r7_5_cry_11") (joined + (portRef (member CO 0) (instanceRef un4_dout_r7_5_cry_11)) + (portRef CI (instanceRef un4_dout_r7_5_s_15)) + )) + (net (rename un3_dout_r6_0_cryZ0Z_3 "un3_dout_r6_0_cry_3") (joined + (portRef (member CO 0) (instanceRef un3_dout_r6_0_cry_3)) + (portRef CI (instanceRef un3_dout_r6_0_cry_7)) + )) + (net (rename un3_dout_r6_0_cryZ0Z_7 "un3_dout_r6_0_cry_7") (joined + (portRef (member CO 0) (instanceRef un3_dout_r6_0_cry_7)) + (portRef CI (instanceRef un3_dout_r6_0_cry_11)) + )) + (net (rename un3_dout_r6_0_cryZ0Z_11 "un3_dout_r6_0_cry_11") (joined + (portRef (member CO 0) (instanceRef un3_dout_r6_0_cry_11)) + (portRef CI (instanceRef un3_dout_r6_0_s_15)) + )) + (net (rename un3_dout_r5_0_cryZ0Z_3 "un3_dout_r5_0_cry_3") (joined + (portRef (member CO 0) (instanceRef un3_dout_r5_0_cry_3)) + (portRef CI (instanceRef un3_dout_r5_0_cry_7)) + )) + (net (rename un3_dout_r5_0_cryZ0Z_7 "un3_dout_r5_0_cry_7") (joined + (portRef (member CO 0) (instanceRef un3_dout_r5_0_cry_7)) + (portRef CI (instanceRef un3_dout_r5_0_cry_11)) + )) + (net (rename un3_dout_r5_0_cryZ0Z_11 "un3_dout_r5_0_cry_11") (joined + (portRef (member CO 0) (instanceRef un3_dout_r5_0_cry_11)) + (portRef CI (instanceRef un3_dout_r5_0_s_15)) + )) + (net (rename un3_dout_r3_0_cryZ0Z_3 "un3_dout_r3_0_cry_3") (joined + (portRef (member CO 0) (instanceRef un3_dout_r3_0_cry_3)) + (portRef CI (instanceRef un3_dout_r3_0_cry_7)) + )) + (net (rename un3_dout_r3_0_cryZ0Z_7 "un3_dout_r3_0_cry_7") (joined + (portRef (member CO 0) (instanceRef un3_dout_r3_0_cry_7)) + (portRef CI (instanceRef un3_dout_r3_0_cry_11)) + )) + (net (rename un3_dout_r3_0_cryZ0Z_11 "un3_dout_r3_0_cry_11") (joined + (portRef (member CO 0) (instanceRef un3_dout_r3_0_cry_11)) + (portRef CI (instanceRef un3_dout_r3_0_s_15)) + )) + ) + (property orig_inst_of (string "MeanIntp_8")) + ) + ) + (cell TailCorr_top (cellType GENERIC) + (view netlist (viewType NETLIST) + (interface + (port (array (rename din_re "din_re[15:0]") 16) (direction INPUT)) + (port (array (rename b5_re "b5_re[31:0]") 32) (direction INPUT)) + (port (array (rename a5_re "a5_re[31:0]") 32) (direction INPUT)) + (port (array (rename b4_re "b4_re[31:0]") 32) (direction INPUT)) + (port (array (rename a4_re "a4_re[31:0]") 32) (direction INPUT)) + (port (array (rename b3_re "b3_re[31:0]") 32) (direction INPUT)) + (port (array (rename a3_re "a3_re[31:0]") 32) (direction INPUT)) + (port (array (rename b2_re "b2_re[31:0]") 32) (direction INPUT)) + (port (array (rename a2_re "a2_re[31:0]") 32) (direction INPUT)) + (port (array (rename b1_re "b1_re[31:0]") 32) (direction INPUT)) + (port (array (rename a1_re "a1_re[31:0]") 32) (direction INPUT)) + (port (array (rename b0_re "b0_re[31:0]") 32) (direction INPUT)) + (port (array (rename a0_re "a0_re[31:0]") 32) (direction INPUT)) + (port vldo_r_1172_0_DOUT_RNIOLJH_0 (direction INPUT)) + (port IIR_out_14 (direction OUTPUT)) + (port clk (direction INPUT)) + (port rstn_i (direction INPUT)) + (port IIR_out_1 (direction OUTPUT)) + (port IIR_out_7 (direction OUTPUT)) + (port IIR_out_10 (direction OUTPUT)) + (port IIR_out_12 (direction OUTPUT)) + (port tc_bypass (direction INPUT)) + (port en (direction INPUT)) + (port IIR_out_11 (direction OUTPUT)) + (port IIR_out_9 (direction OUTPUT)) + (port IIR_out_8 (direction OUTPUT)) + (port IIR_out_6 (direction OUTPUT)) + (port IIR_out_5 (direction OUTPUT)) + (port IIR_out_4 (direction OUTPUT)) + (port IIR_out_3 (direction OUTPUT)) + (port IIR_out_2 (direction OUTPUT)) + (port IIR_out_0 (direction OUTPUT)) + (port IIR_out (direction OUTPUT)) + (port IIR_out_13 (direction OUTPUT)) + (port rstn (direction INPUT)) + (port rstn_i_fo (direction OUTPUT)) + (port saturation_5 (direction OUTPUT)) + (port saturation_4 (direction OUTPUT)) + (port saturation_3 (direction OUTPUT)) + (port saturation_2 (direction OUTPUT)) + (port saturation_1 (direction OUTPUT)) + (port saturation_0 (direction OUTPUT)) + ) + (contents + (instance Ysum_0_s_16_lut (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hA5965A6969A5965A")) + ) + (instance Ysum_6_0_s_16_lut (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h9556")) + ) + (instance (rename dout_r_0 "dout_r[0]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename dout_r_13 "dout_r[13]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename dout_r_7 "dout_r[7]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename dout_r_4 "dout_r[4]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename dout_r_2 "dout_r[2]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance dout_r_8_iv_13 (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hE4")) + ) + (instance dout_r_8_iv_4 (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hE4")) + ) + (instance dout_r_8_iv (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hE4")) + ) + (instance dout_r_8_iv_1 (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hE4")) + ) + (instance dout_r_8_iv_10 (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hE4")) + ) + (instance (rename dout_r_8_iv_0 "dout_r_8_iv[0]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hB888BBB8")) + ) + (instance (rename dout_r_8_iv_5 "dout_r_8_iv[5]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hB888BBB8")) + ) + (instance (rename dout_r_8_ivZ0Z_4 "dout_r_8_iv[4]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hB888BBB8")) + ) + (instance (rename dout_r_8_iv_3 "dout_r_8_iv[3]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hB888BBB8")) + ) + (instance (rename dout_r_8_iv_2 "dout_r_8_iv[2]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hB888BBB8")) + ) + (instance (rename dout_r_8_ivZ0Z_1 "dout_r_8_iv[1]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hB888BBB8")) + ) + (instance (rename dout_r_8_ivZ0Z_10 "dout_r_8_iv[10]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hB888BBB8")) + ) + (instance (rename dout_r_8_iv_9 "dout_r_8_iv[9]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hB888BBB8")) + ) + (instance (rename dout_r_8_iv_8 "dout_r_8_iv[8]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hB888BBB8")) + ) + (instance (rename dout_r_8_iv_7 "dout_r_8_iv[7]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hB888BBB8")) + ) + (instance (rename dout_r_8_iv_6 "dout_r_8_iv[6]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hB888BBB8")) + ) + (instance (rename dout_r_8_iv_14 "dout_r_8_iv[14]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hB888BBB8")) + ) + (instance (rename dout_r_8_ivZ0Z_13 "dout_r_8_iv[13]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hB888BBB8")) + ) + (instance (rename dout_r_8_iv_12 "dout_r_8_iv[12]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hB888BBB8")) + ) + (instance (rename dout_r_8_iv_11 "dout_r_8_iv[11]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hB888BBB8")) + ) + (instance Ysum_0_o5_2 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance Ysum_0_o5_3 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance Ysum_0_o5_4 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance Ysum_0_o5_5 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance Ysum_0_o5_6 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance Ysum_0_o5_7 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance Ysum_0_o5_8 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance Ysum_0_o5_9 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance Ysum_0_o5_10 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance Ysum_0_o5_11 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance Ysum_0_o5_12 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance Ysum_0_o5_13 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance Ysum_0_o5_14 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance Ysum_6_0_o5_2 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance Ysum_6_0_o5_3 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance Ysum_6_0_o5_4 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance Ysum_6_0_o5_5 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance Ysum_6_0_o5_6 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance Ysum_6_0_o5_7 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance Ysum_6_0_o5_8 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance Ysum_6_0_o5_9 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance Ysum_6_0_o5_10 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance Ysum_6_0_o5_11 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance Ysum_6_0_o5_12 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance Ysum_6_0_o5_13 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance Ysum_6_0_o5_14 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + ) + (instance dout_r_3_sqmuxa_i (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'hE")) + ) + (instance (rename dout_r_8_iv_15 "dout_r_8_iv[15]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hB8")) + ) + (instance un1_dout_2_1_axb_20 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_2_1_axb_21 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_2_1_axb_22 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_2_1_axb_23 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_2_1_axb_24 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_2_1_axb_25 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_2_1_axb_26 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_2_1_axb_27 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_2_1_axb_28 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_2_1_axb_29 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_2_1_axb_30 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_2_1_axb_31 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_2_1_axb_32 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_2_1_axb_33 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_2_1_axb_34 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_2_1_axb_35 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_3_1_axb_20 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_3_1_axb_21 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_3_1_axb_22 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_3_1_axb_23 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_3_1_axb_24 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_3_1_axb_25 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_3_1_axb_26 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_3_1_axb_27 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_3_1_axb_28 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_3_1_axb_29 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_3_1_axb_30 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_3_1_axb_31 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_3_1_axb_32 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_3_1_axb_33 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_3_1_axb_34 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_3_1_axb_35 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_4_1_axb_20 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_4_1_axb_21 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_4_1_axb_22 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_4_1_axb_23 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_4_1_axb_24 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_4_1_axb_25 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_4_1_axb_26 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_4_1_axb_27 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_4_1_axb_28 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_4_1_axb_29 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_4_1_axb_30 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_4_1_axb_31 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_4_1_axb_32 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_4_1_axb_33 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_4_1_axb_34 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_4_1_axb_35 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_5_1_axb_20 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_5_1_axb_21 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_5_1_axb_22 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_5_1_axb_23 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_5_1_axb_24 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_5_1_axb_25 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_5_1_axb_26 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_5_1_axb_27 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_5_1_axb_28 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_5_1_axb_29 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_5_1_axb_30 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_5_1_axb_31 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_5_1_axb_32 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_5_1_axb_33 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_5_1_axb_34 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_5_1_axb_35 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_0_1_axb_20 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_0_1_axb_21 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_0_1_axb_22 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_0_1_axb_23 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_0_1_axb_24 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_0_1_axb_25 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_0_1_axb_26 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_0_1_axb_27 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_0_1_axb_28 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_0_1_axb_29 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_0_1_axb_30 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_0_1_axb_31 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_0_1_axb_32 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_0_1_axb_33 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_0_1_axb_34 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_0_1_axb_35 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_1_1_axb_20 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_1_1_axb_21 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_1_1_axb_22 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_1_1_axb_23 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_1_1_axb_24 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_1_1_axb_25 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_1_1_axb_26 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_1_1_axb_27 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_1_1_axb_28 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_1_1_axb_29 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_1_1_axb_30 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_1_1_axb_31 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_1_1_axb_32 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_1_1_axb_33 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_1_1_axb_34 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance un1_dout_1_1_axb_35 (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance Ysum_0_s_14_xorcy_latch (viewRef PRIM (cellRef LD (libraryRef UNILIB))) + ) + (instance Ysum_0_s_13_xorcy_latch (viewRef PRIM (cellRef LD (libraryRef UNILIB))) + ) + (instance Ysum_0_s_12_xorcy_latch (viewRef PRIM (cellRef LD (libraryRef UNILIB))) + ) + (instance Ysum_0_s_11_xorcy_latch (viewRef PRIM (cellRef LD (libraryRef UNILIB))) + ) + (instance Ysum_0_s_10_xorcy_latch (viewRef PRIM (cellRef LD (libraryRef UNILIB))) + ) + (instance Ysum_0_s_9_xorcy_latch (viewRef PRIM (cellRef LD (libraryRef UNILIB))) + ) + (instance Ysum_0_s_8_xorcy_latch (viewRef PRIM (cellRef LD (libraryRef UNILIB))) + ) + (instance Ysum_0_s_7_xorcy_latch (viewRef PRIM (cellRef LD (libraryRef UNILIB))) + ) + (instance Ysum_0_s_6_xorcy_latch (viewRef PRIM (cellRef LD (libraryRef UNILIB))) + ) + (instance Ysum_0_s_5_xorcy_latch (viewRef PRIM (cellRef LD (libraryRef UNILIB))) + ) + (instance Ysum_0_s_4_xorcy_latch (viewRef PRIM (cellRef LD (libraryRef UNILIB))) + ) + (instance Ysum_0_s_3_xorcy_latch (viewRef PRIM (cellRef LD (libraryRef UNILIB))) + ) + (instance Ysum_0_s_2_xorcy_latch (viewRef PRIM (cellRef LD (libraryRef UNILIB))) + ) + (instance Ysum_0_s_1_xorcy_latch (viewRef PRIM (cellRef LD (libraryRef UNILIB))) + ) + (instance Ysum_8_0_s_14_xorcy_latch (viewRef PRIM (cellRef LD (libraryRef UNILIB))) + ) + (instance Ysum_8_0_s_13_xorcy_latch (viewRef PRIM (cellRef LD (libraryRef UNILIB))) + ) + (instance Ysum_8_0_s_12_xorcy_latch (viewRef PRIM (cellRef LD (libraryRef UNILIB))) + ) + (instance Ysum_8_0_s_11_xorcy_latch (viewRef PRIM (cellRef LD (libraryRef UNILIB))) + ) + (instance Ysum_8_0_s_10_xorcy_latch (viewRef PRIM (cellRef LD (libraryRef UNILIB))) + ) + (instance Ysum_8_0_s_9_xorcy_latch (viewRef PRIM (cellRef LD (libraryRef UNILIB))) + ) + (instance Ysum_8_0_s_8_xorcy_latch (viewRef PRIM (cellRef LD (libraryRef UNILIB))) + ) + (instance Ysum_8_0_s_7_xorcy_latch (viewRef PRIM (cellRef LD (libraryRef UNILIB))) + ) + (instance Ysum_8_0_s_6_xorcy_latch (viewRef PRIM (cellRef LD (libraryRef UNILIB))) + ) + (instance Ysum_8_0_s_5_xorcy_latch (viewRef PRIM (cellRef LD (libraryRef UNILIB))) + ) + (instance Ysum_8_0_s_4_xorcy_latch (viewRef PRIM (cellRef LD (libraryRef UNILIB))) + ) + (instance Ysum_8_0_s_3_xorcy_latch (viewRef PRIM (cellRef LD (libraryRef UNILIB))) + ) + (instance Ysum_8_0_s_2_xorcy_latch (viewRef PRIM (cellRef LD (libraryRef UNILIB))) + ) + (instance Ysum_8_0_s_1_xorcy_latch (viewRef PRIM (cellRef LD (libraryRef UNILIB))) + ) + (instance Ysum_6_0_s_14_xorcy_latch (viewRef PRIM (cellRef LD (libraryRef UNILIB))) + ) + (instance Ysum_6_0_s_13_xorcy_latch (viewRef PRIM (cellRef LD (libraryRef UNILIB))) + ) + (instance Ysum_6_0_s_12_xorcy_latch (viewRef PRIM (cellRef LD (libraryRef UNILIB))) + ) + (instance Ysum_6_0_s_11_xorcy_latch (viewRef PRIM (cellRef LD (libraryRef UNILIB))) + ) + (instance Ysum_6_0_s_10_xorcy_latch (viewRef PRIM (cellRef LD (libraryRef UNILIB))) + ) + (instance Ysum_6_0_s_9_xorcy_latch (viewRef PRIM (cellRef LD (libraryRef UNILIB))) + ) + (instance Ysum_6_0_s_8_xorcy_latch (viewRef PRIM (cellRef LD (libraryRef UNILIB))) + ) + (instance Ysum_6_0_s_7_xorcy_latch (viewRef PRIM (cellRef LD (libraryRef UNILIB))) + ) + (instance Ysum_6_0_s_6_xorcy_latch (viewRef PRIM (cellRef LD (libraryRef UNILIB))) + ) + (instance Ysum_6_0_s_5_xorcy_latch (viewRef PRIM (cellRef LD (libraryRef UNILIB))) + ) + (instance Ysum_6_0_s_4_xorcy_latch (viewRef PRIM (cellRef LD (libraryRef UNILIB))) + ) + (instance Ysum_6_0_s_3_xorcy_latch (viewRef PRIM (cellRef LD (libraryRef UNILIB))) + ) + (instance Ysum_6_0_s_2_xorcy_latch (viewRef PRIM (cellRef LD (libraryRef UNILIB))) + ) + (instance Ysum_6_0_s_1_xorcy_latch (viewRef PRIM (cellRef LD (libraryRef UNILIB))) + ) + (instance Ysum_6_0_axb_7 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h36C96C93C936936C")) + ) + (instance Ysum_6_0_axb_6 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h36C9C9366C93936C")) + ) + (instance Ysum_0_axb_6 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h5A6969A5A596965A")) + ) + (instance Ysum_0_axb_5 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h36C9C9366C93936C")) + ) + (instance Ysum_6_0_axb_4 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h5A69A59669A5965A")) + ) + (instance Ysum_6_0_axb_3 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h1E78E187E1871E78")) + ) + (instance Ysum_0_axb_4 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h36C96C93C936936C")) + ) + (instance Ysum_6_0_axb_5 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h6699699669969966")) + ) + (instance Ysum_6_0_axb_14 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h36C9C9366C93936C")) + ) + (instance Ysum_6_0_axb_13 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h3C6969C3C396963C")) + ) + (instance Ysum_6_0_axb_12 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h3C6969C3C396963C")) + ) + (instance Ysum_6_0_axb_11 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h6669699999969666")) + ) + (instance Ysum_6_0_axb_10 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h3CC369966996C33C")) + ) + (instance Ysum_6_0_axb_9 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h366CC993C993366C")) + ) + (instance Ysum_6_0_axb_8 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h56A9A9566A95956A")) + ) + (instance Ysum_0_axb_3 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h36C96C93C936936C")) + ) + (instance Ysum_0_axb_2 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h56A96A95A956956A")) + ) + (instance Ysum_6_0_axb_2 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h6699699669969966")) + ) + (instance Ysum_0_axb_12 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h5A69A59669A5965A")) + ) + (instance Ysum_0_axb_11 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h36C96C93C936936C")) + ) + (instance Ysum_0_axb_10 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h5A6969A5A596965A")) + ) + (instance Ysum_0_axb_9 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h36C9C9366C93936C")) + ) + (instance Ysum_0_axb_8 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h56A96A95A956956A")) + ) + (instance Ysum_8_0_o5_14 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hE8C0")) + ) + (instance Ysum_0_axb_15 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h3CC369966996C33C")) + ) + (instance Ysum_6_0_axb_15 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h1E78E187E1871E78")) + ) + (instance Ysum_8_0_axb_16 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h7EFC")) + ) + (instance Ysum_0_axb_7 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h3C69C39669C3963C")) + ) + (instance Ysum_8_0_o5_10 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hE8C0")) + ) + (instance Ysum_0_axb_14 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h3C6969C3C396963C")) + ) + (instance Ysum_0_axb_13 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h3C69C39669C3963C")) + ) + (instance Ysum_8_0_o5_12 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hE8C0")) + ) + (instance Ysum_8_0_o5_11 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hE8C0")) + ) + (instance Ysum_8_0_o5_2 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hE8C0")) + ) + (instance Ysum_8_0_o5_7 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hE8C0")) + ) + (instance Ysum_8_0_o5_3 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hE8C0")) + ) + (instance Ysum_8_0_o5_8 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hE8C0")) + ) + (instance Ysum_8_0_o5_9 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hE8C0")) + ) + (instance Ysum_8_0_o5_4 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hE8C0")) + ) + (instance Ysum_8_0_o5_6 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hE8C0")) + ) + (instance Ysum_8_0_o5_5 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hE8C0")) + ) + (instance Ysum_8_0_axb_8 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h69A5965A")) + ) + (instance Ysum_8_0_axb_9 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h69C3963C")) + ) + (instance Ysum_8_0_axb_10 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h69C3963C")) + ) + (instance Ysum_8_0_axb_11 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h69A5965A")) + ) + (instance Ysum_8_0_axb_12 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h69A5965A")) + ) + (instance Ysum_8_0_axb_13 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h69A5965A")) + ) + (instance Ysum_8_0_axb_14 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h69C3963C")) + ) + (instance Ysum_8_0_axb_15 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h69A5965A")) + ) + (instance Ysum_8_0_axb_2 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h69A5965A")) + ) + (instance Ysum_8_0_axb_3 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h69A5965A")) + ) + (instance Ysum_8_0_axb_4 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h69C3963C")) + ) + (instance Ysum_8_0_axb_5 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h69A5965A")) + ) + (instance Ysum_8_0_axb_6 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h69A5965A")) + ) + (instance Ysum_8_0_axb_7 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h69C3963C")) + ) + (instance (rename din_r4_14_inst_TailCorr_top_din_r4_1 "din_r4_14_inst_TailCorr_top.din_r4_1") (viewRef PRIM (cellRef SRL16E (libraryRef VIRTEX))) + ) + (instance (rename din_r4_13_inst_TailCorr_top_din_r4_1 "din_r4_13_inst_TailCorr_top.din_r4_1") (viewRef PRIM (cellRef SRL16E (libraryRef VIRTEX))) + ) + (instance (rename din_r4_12_inst_TailCorr_top_din_r4_1 "din_r4_12_inst_TailCorr_top.din_r4_1") (viewRef PRIM (cellRef SRL16E (libraryRef VIRTEX))) + ) + (instance (rename din_r4_11_inst_TailCorr_top_din_r4_1 "din_r4_11_inst_TailCorr_top.din_r4_1") (viewRef PRIM (cellRef SRL16E (libraryRef VIRTEX))) + ) + (instance (rename din_r4_10_inst_TailCorr_top_din_r4_1 "din_r4_10_inst_TailCorr_top.din_r4_1") (viewRef PRIM (cellRef SRL16E (libraryRef VIRTEX))) + ) + (instance (rename din_r4_9_inst_TailCorr_top_din_r4_1 "din_r4_9_inst_TailCorr_top.din_r4_1") (viewRef PRIM (cellRef SRL16E (libraryRef VIRTEX))) + ) + (instance (rename din_r4_8_inst_TailCorr_top_din_r4_1 "din_r4_8_inst_TailCorr_top.din_r4_1") (viewRef PRIM (cellRef SRL16E (libraryRef VIRTEX))) + ) + (instance (rename din_r4_7_inst_TailCorr_top_din_r4_1 "din_r4_7_inst_TailCorr_top.din_r4_1") (viewRef PRIM (cellRef SRL16E (libraryRef VIRTEX))) + ) + (instance (rename din_r4_6_inst_TailCorr_top_din_r4_1 "din_r4_6_inst_TailCorr_top.din_r4_1") (viewRef PRIM (cellRef SRL16E (libraryRef VIRTEX))) + ) + (instance (rename din_r4_5_inst_TailCorr_top_din_r4_1 "din_r4_5_inst_TailCorr_top.din_r4_1") (viewRef PRIM (cellRef SRL16E (libraryRef VIRTEX))) + ) + (instance (rename din_r4_4_inst_TailCorr_top_din_r4_1 "din_r4_4_inst_TailCorr_top.din_r4_1") (viewRef PRIM (cellRef SRL16E (libraryRef VIRTEX))) + ) + (instance (rename din_r4_3_inst_TailCorr_top_din_r4_1 "din_r4_3_inst_TailCorr_top.din_r4_1") (viewRef PRIM (cellRef SRL16E (libraryRef VIRTEX))) + ) + (instance (rename din_r4_2_inst_TailCorr_top_din_r4_1 "din_r4_2_inst_TailCorr_top.din_r4_1") (viewRef PRIM (cellRef SRL16E (libraryRef VIRTEX))) + ) + (instance (rename din_r4_1_inst_TailCorr_top_din_r4_1 "din_r4_1_inst_TailCorr_top.din_r4_1") (viewRef PRIM (cellRef SRL16E (libraryRef VIRTEX))) + ) + (instance (rename din_r4_0_inst_TailCorr_top_din_r4_1 "din_r4_0_inst_TailCorr_top.din_r4_1") (viewRef PRIM (cellRef SRL16E (libraryRef VIRTEX))) + ) + (instance (rename din_r4_inst_TailCorr_top_din_r4_1 "din_r4_inst_TailCorr_top.din_r4_1") (viewRef PRIM (cellRef SRL16E (libraryRef VIRTEX))) + ) + (instance (rename din_r4_14_DOUT_0 "din_r4_14_DOUT[0]") (viewRef PRIM (cellRef FDE (libraryRef UNILIB))) + ) + (instance (rename din_r4_13_DOUT_0 "din_r4_13_DOUT[0]") (viewRef PRIM (cellRef FDE (libraryRef UNILIB))) + ) + (instance (rename din_r4_12_DOUT_0 "din_r4_12_DOUT[0]") (viewRef PRIM (cellRef FDE (libraryRef UNILIB))) + ) + (instance (rename din_r4_11_DOUT_0 "din_r4_11_DOUT[0]") (viewRef PRIM (cellRef FDE (libraryRef UNILIB))) + ) + (instance (rename din_r4_10_DOUT_0 "din_r4_10_DOUT[0]") (viewRef PRIM (cellRef FDE (libraryRef UNILIB))) + ) + (instance (rename din_r4_9_DOUT_0 "din_r4_9_DOUT[0]") (viewRef PRIM (cellRef FDE (libraryRef UNILIB))) + ) + (instance (rename din_r4_8_DOUT_0 "din_r4_8_DOUT[0]") (viewRef PRIM (cellRef FDE (libraryRef UNILIB))) + ) + (instance (rename din_r4_7_DOUT_0 "din_r4_7_DOUT[0]") (viewRef PRIM (cellRef FDE (libraryRef UNILIB))) + ) + (instance (rename din_r4_6_DOUT_0 "din_r4_6_DOUT[0]") (viewRef PRIM (cellRef FDE (libraryRef UNILIB))) + ) + (instance (rename din_r4_5_DOUT_0 "din_r4_5_DOUT[0]") (viewRef PRIM (cellRef FDE (libraryRef UNILIB))) + ) + (instance (rename din_r4_4_DOUT_0 "din_r4_4_DOUT[0]") (viewRef PRIM (cellRef FDE (libraryRef UNILIB))) + ) + (instance (rename din_r4_3_DOUT_0 "din_r4_3_DOUT[0]") (viewRef PRIM (cellRef FDE (libraryRef UNILIB))) + ) + (instance (rename din_r4_2_DOUT_0 "din_r4_2_DOUT[0]") (viewRef PRIM (cellRef FDE (libraryRef UNILIB))) + ) + (instance (rename din_r4_1_DOUT_0 "din_r4_1_DOUT[0]") (viewRef PRIM (cellRef FDE (libraryRef UNILIB))) + ) + (instance (rename din_r4_0_DOUT_0 "din_r4_0_DOUT[0]") (viewRef PRIM (cellRef FDE (libraryRef UNILIB))) + ) + (instance (rename din_r4_DOUT_0 "din_r4_DOUT[0]") (viewRef PRIM (cellRef FDE (libraryRef UNILIB))) + ) + (instance (rename din_r1_15 "din_r1[15]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename din_r0_15 "din_r0[15]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename din_r1_14 "din_r1[14]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename din_r0_14 "din_r0[14]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename din_r1_13 "din_r1[13]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename din_r0_13 "din_r0[13]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename din_r1_12 "din_r1[12]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename din_r0_12 "din_r0[12]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename din_r1_11 "din_r1[11]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename din_r0_11 "din_r0[11]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename din_r1_10 "din_r1[10]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename din_r0_10 "din_r0[10]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename din_r1_9 "din_r1[9]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename din_r0_9 "din_r0[9]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename din_r1_8 "din_r1[8]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename din_r0_8 "din_r0[8]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename din_r1_7 "din_r1[7]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename din_r0_7 "din_r0[7]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename din_r1_6 "din_r1[6]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename din_r0_6 "din_r0[6]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename din_r1_5 "din_r1[5]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename din_r0_5 "din_r0[5]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename din_r1_4 "din_r1[4]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename din_r0_4 "din_r0[4]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename din_r1_3 "din_r1[3]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename din_r0_3 "din_r0[3]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename din_r1_2 "din_r1[2]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename din_r0_2 "din_r0[2]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename din_r1_1 "din_r1[1]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename din_r0_1 "din_r0[1]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename din_r1_0 "din_r1[0]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename din_r0_0 "din_r0[0]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_0_20 "dout_round_0[20]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_0_21 "dout_round_0[21]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_0_22 "dout_round_0[22]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_0_23 "dout_round_0[23]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_0_24 "dout_round_0[24]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_0_25 "dout_round_0[25]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_0_26 "dout_round_0[26]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_0_27 "dout_round_0[27]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_0_28 "dout_round_0[28]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_0_29 "dout_round_0[29]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_0_30 "dout_round_0[30]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_0_31 "dout_round_0[31]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_0_32 "dout_round_0[32]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_0_33 "dout_round_0[33]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_0_34 "dout_round_0[34]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_0_35 "dout_round_0[35]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_1_20 "dout_round_1[20]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_1_21 "dout_round_1[21]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_1_22 "dout_round_1[22]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_1_23 "dout_round_1[23]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_1_24 "dout_round_1[24]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_1_25 "dout_round_1[25]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_1_26 "dout_round_1[26]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_1_27 "dout_round_1[27]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_1_28 "dout_round_1[28]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_1_29 "dout_round_1[29]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_1_30 "dout_round_1[30]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_1_31 "dout_round_1[31]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_1_32 "dout_round_1[32]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_1_33 "dout_round_1[33]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_1_34 "dout_round_1[34]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_1_35 "dout_round_1[35]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_2_20 "dout_round_2[20]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_2_21 "dout_round_2[21]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_2_22 "dout_round_2[22]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_2_23 "dout_round_2[23]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_2_24 "dout_round_2[24]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_2_25 "dout_round_2[25]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_2_26 "dout_round_2[26]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_2_27 "dout_round_2[27]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_2_28 "dout_round_2[28]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_2_29 "dout_round_2[29]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_2_30 "dout_round_2[30]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_2_31 "dout_round_2[31]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_2_32 "dout_round_2[32]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_2_33 "dout_round_2[33]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_2_34 "dout_round_2[34]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_2_35 "dout_round_2[35]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_3_20 "dout_round_3[20]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_3_21 "dout_round_3[21]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_3_22 "dout_round_3[22]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_3_23 "dout_round_3[23]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_3_24 "dout_round_3[24]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_3_25 "dout_round_3[25]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_3_26 "dout_round_3[26]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_3_27 "dout_round_3[27]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_3_28 "dout_round_3[28]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_3_29 "dout_round_3[29]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_3_30 "dout_round_3[30]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_3_31 "dout_round_3[31]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_3_32 "dout_round_3[32]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_3_33 "dout_round_3[33]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_3_34 "dout_round_3[34]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_3_35 "dout_round_3[35]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_4_20 "dout_round_4[20]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_4_21 "dout_round_4[21]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_4_22 "dout_round_4[22]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_4_23 "dout_round_4[23]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_4_24 "dout_round_4[24]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_4_25 "dout_round_4[25]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_4_26 "dout_round_4[26]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_4_27 "dout_round_4[27]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_4_28 "dout_round_4[28]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_4_29 "dout_round_4[29]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_4_30 "dout_round_4[30]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_4_31 "dout_round_4[31]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_4_32 "dout_round_4[32]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_4_33 "dout_round_4[33]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_4_34 "dout_round_4[34]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_4_35 "dout_round_4[35]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_5_20 "dout_round_5[20]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_5_21 "dout_round_5[21]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_5_22 "dout_round_5[22]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_5_23 "dout_round_5[23]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_5_24 "dout_round_5[24]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_5_25 "dout_round_5[25]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_5_26 "dout_round_5[26]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_5_27 "dout_round_5[27]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_5_28 "dout_round_5[28]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_5_29 "dout_round_5[29]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_5_30 "dout_round_5[30]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_5_31 "dout_round_5[31]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_5_32 "dout_round_5[32]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_5_33 "dout_round_5[33]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_5_34 "dout_round_5[34]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_round_5_35 "dout_round_5[35]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut0_r0_0 "dout_cut0_r0[0]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut0_r0_1 "dout_cut0_r0[1]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut0_r0_2 "dout_cut0_r0[2]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut0_r0_3 "dout_cut0_r0[3]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut0_r0_4 "dout_cut0_r0[4]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut0_r0_5 "dout_cut0_r0[5]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut0_r0_6 "dout_cut0_r0[6]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut0_r0_7 "dout_cut0_r0[7]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut0_r0_8 "dout_cut0_r0[8]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut0_r0_9 "dout_cut0_r0[9]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut0_r0_10 "dout_cut0_r0[10]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut0_r0_11 "dout_cut0_r0[11]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut0_r0_12 "dout_cut0_r0[12]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut0_r0_13 "dout_cut0_r0[13]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut0_r0_14 "dout_cut0_r0[14]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut0_r0_15 "dout_cut0_r0[15]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut1_r0_0 "dout_cut1_r0[0]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut1_r0_1 "dout_cut1_r0[1]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut1_r0_2 "dout_cut1_r0[2]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut1_r0_3 "dout_cut1_r0[3]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut1_r0_4 "dout_cut1_r0[4]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut1_r0_5 "dout_cut1_r0[5]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut1_r0_6 "dout_cut1_r0[6]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut1_r0_7 "dout_cut1_r0[7]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut1_r0_8 "dout_cut1_r0[8]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut1_r0_9 "dout_cut1_r0[9]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut1_r0_10 "dout_cut1_r0[10]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut1_r0_11 "dout_cut1_r0[11]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut1_r0_12 "dout_cut1_r0[12]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut1_r0_13 "dout_cut1_r0[13]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut1_r0_14 "dout_cut1_r0[14]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut1_r0_15 "dout_cut1_r0[15]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut2_r0_0 "dout_cut2_r0[0]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut2_r0_1 "dout_cut2_r0[1]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut2_r0_2 "dout_cut2_r0[2]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut2_r0_3 "dout_cut2_r0[3]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut2_r0_4 "dout_cut2_r0[4]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut2_r0_5 "dout_cut2_r0[5]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut2_r0_6 "dout_cut2_r0[6]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut2_r0_7 "dout_cut2_r0[7]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut2_r0_8 "dout_cut2_r0[8]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut2_r0_9 "dout_cut2_r0[9]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut2_r0_10 "dout_cut2_r0[10]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut2_r0_11 "dout_cut2_r0[11]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut2_r0_12 "dout_cut2_r0[12]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut2_r0_13 "dout_cut2_r0[13]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut2_r0_14 "dout_cut2_r0[14]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut2_r0_15 "dout_cut2_r0[15]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut3_r0_0 "dout_cut3_r0[0]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut3_r0_1 "dout_cut3_r0[1]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut3_r0_2 "dout_cut3_r0[2]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut3_r0_3 "dout_cut3_r0[3]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut3_r0_4 "dout_cut3_r0[4]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut3_r0_5 "dout_cut3_r0[5]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut3_r0_6 "dout_cut3_r0[6]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut3_r0_7 "dout_cut3_r0[7]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut3_r0_8 "dout_cut3_r0[8]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut3_r0_9 "dout_cut3_r0[9]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut3_r0_10 "dout_cut3_r0[10]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut3_r0_11 "dout_cut3_r0[11]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut3_r0_12 "dout_cut3_r0[12]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut3_r0_13 "dout_cut3_r0[13]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut3_r0_14 "dout_cut3_r0[14]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut3_r0_15 "dout_cut3_r0[15]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut4_r0_0 "dout_cut4_r0[0]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut4_r0_1 "dout_cut4_r0[1]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut4_r0_2 "dout_cut4_r0[2]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut4_r0_3 "dout_cut4_r0[3]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut4_r0_4 "dout_cut4_r0[4]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut4_r0_5 "dout_cut4_r0[5]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut4_r0_6 "dout_cut4_r0[6]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut4_r0_7 "dout_cut4_r0[7]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut4_r0_8 "dout_cut4_r0[8]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut4_r0_9 "dout_cut4_r0[9]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut4_r0_10 "dout_cut4_r0[10]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut4_r0_11 "dout_cut4_r0[11]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut4_r0_12 "dout_cut4_r0[12]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut4_r0_13 "dout_cut4_r0[13]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut4_r0_14 "dout_cut4_r0[14]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut4_r0_15 "dout_cut4_r0[15]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut5_r0_0 "dout_cut5_r0[0]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut5_r0_1 "dout_cut5_r0[1]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut5_r0_2 "dout_cut5_r0[2]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut5_r0_3 "dout_cut5_r0[3]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut5_r0_4 "dout_cut5_r0[4]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut5_r0_5 "dout_cut5_r0[5]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut5_r0_6 "dout_cut5_r0[6]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut5_r0_7 "dout_cut5_r0[7]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut5_r0_8 "dout_cut5_r0[8]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut5_r0_9 "dout_cut5_r0[9]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut5_r0_10 "dout_cut5_r0[10]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut5_r0_11 "dout_cut5_r0[11]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut5_r0_12 "dout_cut5_r0[12]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut5_r0_13 "dout_cut5_r0[13]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut5_r0_14 "dout_cut5_r0[14]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_cut5_r0_15 "dout_cut5_r0[15]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r_3 "dout_r[3]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r_5 "dout_r[5]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r_6 "dout_r[6]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r_8 "dout_r[8]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r_9 "dout_r[9]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r_10 "dout_r[10]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r_11 "dout_r[11]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r_12 "dout_r[12]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r_14 "dout_r[14]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r_15 "dout_r[15]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename dout_r_1 "dout_r[1]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance Ysum_0_axb_0 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance Ysum_8_0_axb_0 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance Ysum_6_0_axb_0 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un1_dout_1_1_cry_3 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + (property xcn_c4chain_base (integer 1)) + ) + (instance un1_dout_1_1_cry_7 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_dout_1_1_cry_11 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_dout_1_1_cry_15 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_dout_1_1_cry_19 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_dout_1_1_cry_23 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_dout_1_1_cry_27 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_dout_1_1_cry_31 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_dout_1_1_s_35 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_dout_0_1_cry_3 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + (property xcn_c4chain_base (integer 1)) + ) + (instance un1_dout_0_1_cry_7 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_dout_0_1_cry_11 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_dout_0_1_cry_15 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_dout_0_1_cry_19 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_dout_0_1_cry_23 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_dout_0_1_cry_27 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_dout_0_1_cry_31 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_dout_0_1_s_35 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_dout_5_1_cry_3 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + (property xcn_c4chain_base (integer 1)) + ) + (instance un1_dout_5_1_cry_7 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_dout_5_1_cry_11 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_dout_5_1_cry_15 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_dout_5_1_cry_19 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_dout_5_1_cry_23 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_dout_5_1_cry_27 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_dout_5_1_cry_31 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_dout_5_1_s_35 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_dout_4_1_cry_3 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + (property xcn_c4chain_base (integer 1)) + ) + (instance un1_dout_4_1_cry_7 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_dout_4_1_cry_11 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_dout_4_1_cry_15 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_dout_4_1_cry_19 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_dout_4_1_cry_23 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_dout_4_1_cry_27 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_dout_4_1_cry_31 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_dout_4_1_s_35 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_dout_3_1_cry_3 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + (property xcn_c4chain_base (integer 1)) + ) + (instance un1_dout_3_1_cry_7 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_dout_3_1_cry_11 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_dout_3_1_cry_15 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_dout_3_1_cry_19 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_dout_3_1_cry_23 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_dout_3_1_cry_27 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_dout_3_1_cry_31 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_dout_3_1_s_35 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_dout_2_1_cry_3 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + (property xcn_c4chain_base (integer 1)) + ) + (instance un1_dout_2_1_cry_7 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_dout_2_1_cry_11 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_dout_2_1_cry_15 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_dout_2_1_cry_19 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_dout_2_1_cry_23 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_dout_2_1_cry_27 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_dout_2_1_cry_31 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance un1_dout_2_1_s_35 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance Ysum_0_cry_3 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + (property xcn_c4chain_base (integer 1)) + ) + (instance Ysum_0_cry_7 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance Ysum_0_cry_11 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance Ysum_0_cry_15 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance Ysum_8_0_cry_2 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + (property xcn_c4chain_base (integer 1)) + ) + (instance Ysum_8_0_cry_6 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance Ysum_8_0_cry_10 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance Ysum_8_0_cry_14 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance Ysum_8_0_s_16 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance Ysum_6_0_cry_3 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + (property xcn_c4chain_base (integer 1)) + ) + (instance Ysum_6_0_cry_7 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance Ysum_6_0_cry_11 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance Ysum_6_0_cry_15 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) + ) + (instance inst_diffRe (viewRef netlist (cellRef diff)) + ) + (instance inst_iir_5 (viewRef netlist (cellRef dw03_dw_iir_dc_mult_16_36_20_32_31_0_1_1_data_ict0oqaef_widthfrac_coef_widthsaturation_modeout_reg_5 (libraryRef dw03))) + ) + (instance inst_iir_4 (viewRef netlist (cellRef dw03_dw_iir_dc_mult_16_36_20_31_0_1_1_data_in_wsnyy3k_widthfrac_coef_widthsaturation_modeout_reg_5_0 (libraryRef dw03))) + ) + (instance inst_iir_3 (viewRef netlist (cellRef dw03_dw_iir_dc_mult_16_36_20_31_0_1_1_data_in_wgrpmbc_widthfrac_coef_widthsaturation_modeout_reg_5_1 (libraryRef dw03))) + ) + (instance inst_iir_2 (viewRef netlist (cellRef dw03_dw_iir_dc_mult_16_36_20_31_0_1_1_data_in_w2xivl1_widthfrac_coef_widthsaturation_modeout_reg_5_2 (libraryRef dw03))) + ) + (instance inst_iir_1 (viewRef netlist (cellRef dw03_dw_iir_dc_mult_16_36_20_31_0_1_1_data_in_w1lqb2c_widthfrac_coef_widthsaturation_modeout_reg_5_3 (libraryRef dw03))) + ) + (instance inst_iir_0 (viewRef netlist (cellRef dw03_dw_iir_dc_mult_16_36_20_31_0_1_1_data_in_web33up_widthfrac_coef_widthsaturation_modeout_reg_5_4 (libraryRef dw03))) + ) + (instance GND (viewRef PRIM (cellRef GND (libraryRef UNILIB))) ) + (instance VCC (viewRef PRIM (cellRef VCC (libraryRef UNILIB))) ) + (instance Ysum_8_0_cry_2_RNO_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + (property HLUTNM (string "z_dsp_lutnm000296")) + ) + (instance Ysum_8_0_cry_2_RNO_lut6_2_o5 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hE8C0")) + (property HLUTNM (string "z_dsp_lutnm000296")) + ) + (instance un1_dout_1_1_axb_17_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000297")) + ) + (instance un1_dout_1_1_axb_17_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h9")) + (property HLUTNM (string "z_dsp_lutnm000297")) + ) + (instance un1_dout_1_1_axb_16_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000298")) + ) + (instance un1_dout_1_1_axb_16_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000298")) + ) + (instance un1_dout_1_1_axb_8_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000299")) + ) + (instance un1_dout_1_1_axb_8_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000299")) + ) + (instance un1_dout_1_1_axb_7_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000300")) + ) + (instance un1_dout_1_1_axb_7_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000300")) + ) + (instance un1_dout_1_1_axb_6_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000301")) + ) + (instance un1_dout_1_1_axb_6_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000301")) + ) + (instance un1_dout_1_1_axb_4_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000302")) + ) + (instance un1_dout_1_1_axb_4_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000302")) + ) + (instance un1_dout_1_1_axb_3_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000303")) + ) + (instance un1_dout_1_1_axb_3_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000303")) + ) + (instance un1_dout_1_1_axb_2_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000304")) + ) + (instance un1_dout_1_1_axb_2_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000304")) + ) + (instance un1_dout_1_1_axb_1_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000305")) + ) + (instance un1_dout_1_1_axb_1_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000305")) + ) + (instance un1_dout_1_1_axb_0_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000306")) + ) + (instance un1_dout_1_1_axb_0_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000306")) + ) + (instance un1_dout_0_1_axb_17_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000307")) + ) + (instance un1_dout_0_1_axb_17_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h9")) + (property HLUTNM (string "z_dsp_lutnm000307")) + ) + (instance un1_dout_0_1_axb_16_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000308")) + ) + (instance un1_dout_0_1_axb_16_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000308")) + ) + (instance un1_dout_0_1_axb_13_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000309")) + ) + (instance un1_dout_0_1_axb_13_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000309")) + ) + (instance un1_dout_0_1_axb_12_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000310")) + ) + (instance un1_dout_0_1_axb_12_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000310")) + ) + (instance un1_dout_0_1_axb_9_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000311")) + ) + (instance un1_dout_0_1_axb_9_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000311")) + ) + (instance un1_dout_0_1_axb_8_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000312")) + ) + (instance un1_dout_0_1_axb_8_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000312")) + ) + (instance un1_dout_0_1_axb_5_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000313")) + ) + (instance un1_dout_0_1_axb_5_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000313")) + ) + (instance un1_dout_0_1_axb_4_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000314")) + ) + (instance un1_dout_0_1_axb_4_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000314")) + ) + (instance un1_dout_0_1_axb_1_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000315")) + ) + (instance un1_dout_0_1_axb_1_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000315")) + ) + (instance un1_dout_0_1_axb_0_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000316")) + ) + (instance un1_dout_0_1_axb_0_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000316")) + ) + (instance un1_dout_5_1_axb_17_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000317")) + ) + (instance un1_dout_5_1_axb_17_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h9")) + (property HLUTNM (string "z_dsp_lutnm000317")) + ) + (instance un1_dout_5_1_axb_16_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000318")) + ) + (instance un1_dout_5_1_axb_16_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000318")) + ) + (instance un1_dout_5_1_axb_13_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000319")) + ) + (instance un1_dout_5_1_axb_13_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000319")) + ) + (instance un1_dout_5_1_axb_12_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000320")) + ) + (instance un1_dout_5_1_axb_12_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000320")) + ) + (instance un1_dout_5_1_axb_9_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000321")) + ) + (instance un1_dout_5_1_axb_9_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000321")) + ) + (instance un1_dout_5_1_axb_8_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000322")) + ) + (instance un1_dout_5_1_axb_8_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000322")) + ) + (instance un1_dout_5_1_axb_5_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000323")) + ) + (instance un1_dout_5_1_axb_5_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000323")) + ) + (instance un1_dout_5_1_axb_4_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000324")) + ) + (instance un1_dout_5_1_axb_4_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000324")) + ) + (instance un1_dout_5_1_axb_1_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000325")) + ) + (instance un1_dout_5_1_axb_1_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000325")) + ) + (instance un1_dout_5_1_axb_0_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000326")) + ) + (instance un1_dout_5_1_axb_0_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000326")) + ) + (instance un1_dout_4_1_axb_17_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000327")) + ) + (instance un1_dout_4_1_axb_17_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h9")) + (property HLUTNM (string "z_dsp_lutnm000327")) + ) + (instance un1_dout_4_1_axb_16_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000328")) + ) + (instance un1_dout_4_1_axb_16_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000328")) + ) + (instance un1_dout_4_1_axb_8_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000329")) + ) + (instance un1_dout_4_1_axb_8_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000329")) + ) + (instance un1_dout_4_1_axb_6_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000330")) + ) + (instance un1_dout_4_1_axb_6_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000330")) + ) + (instance un1_dout_4_1_axb_5_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000331")) + ) + (instance un1_dout_4_1_axb_5_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000331")) + ) + (instance un1_dout_4_1_axb_4_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000332")) + ) + (instance un1_dout_4_1_axb_4_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000332")) + ) + (instance un1_dout_4_1_axb_3_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000333")) + ) + (instance un1_dout_4_1_axb_3_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000333")) + ) + (instance un1_dout_4_1_axb_2_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000334")) + ) + (instance un1_dout_4_1_axb_2_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000334")) + ) + (instance un1_dout_4_1_axb_1_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000335")) + ) + (instance un1_dout_4_1_axb_1_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000335")) + ) + (instance un1_dout_4_1_axb_0_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000336")) + ) + (instance un1_dout_4_1_axb_0_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000336")) + ) + (instance un1_dout_3_1_axb_17_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000337")) + ) + (instance un1_dout_3_1_axb_17_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h9")) + (property HLUTNM (string "z_dsp_lutnm000337")) + ) + (instance un1_dout_3_1_axb_16_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000338")) + ) + (instance un1_dout_3_1_axb_16_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000338")) + ) + (instance un1_dout_3_1_axb_13_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000339")) + ) + (instance un1_dout_3_1_axb_13_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000339")) + ) + (instance un1_dout_3_1_axb_12_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000340")) + ) + (instance un1_dout_3_1_axb_12_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000340")) + ) + (instance un1_dout_3_1_axb_9_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000341")) + ) + (instance un1_dout_3_1_axb_9_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000341")) + ) + (instance un1_dout_3_1_axb_8_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000342")) + ) + (instance un1_dout_3_1_axb_8_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000342")) + ) + (instance un1_dout_3_1_axb_4_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000343")) + ) + (instance un1_dout_3_1_axb_4_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000343")) + ) + (instance un1_dout_3_1_axb_3_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000344")) + ) + (instance un1_dout_3_1_axb_3_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000344")) + ) + (instance un1_dout_3_1_axb_1_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000345")) + ) + (instance un1_dout_3_1_axb_1_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000345")) + ) + (instance un1_dout_3_1_axb_0_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000346")) + ) + (instance un1_dout_3_1_axb_0_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000346")) + ) + (instance un1_dout_2_1_axb_17_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000347")) + ) + (instance un1_dout_2_1_axb_17_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h9")) + (property HLUTNM (string "z_dsp_lutnm000347")) + ) + (instance un1_dout_2_1_axb_16_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000348")) + ) + (instance un1_dout_2_1_axb_16_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000348")) + ) + (instance un1_dout_2_1_axb_12_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000349")) + ) + (instance un1_dout_2_1_axb_12_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000349")) + ) + (instance un1_dout_2_1_axb_11_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000350")) + ) + (instance un1_dout_2_1_axb_11_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000350")) + ) + (instance un1_dout_2_1_axb_8_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000351")) + ) + (instance un1_dout_2_1_axb_8_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000351")) + ) + (instance un1_dout_2_1_axb_7_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000352")) + ) + (instance un1_dout_2_1_axb_7_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000352")) + ) + (instance un1_dout_2_1_axb_4_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000353")) + ) + (instance un1_dout_2_1_axb_4_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000353")) + ) + (instance un1_dout_2_1_axb_3_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000354")) + ) + (instance un1_dout_2_1_axb_3_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000354")) + ) + (instance un1_dout_2_1_axb_1_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000355")) + ) + (instance un1_dout_2_1_axb_1_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000355")) + ) + (instance un1_dout_2_1_axb_0_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000356")) + ) + (instance un1_dout_2_1_axb_0_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_lutnm000356")) + ) + (instance Ysum_0_axb_1_lut6_2_o6 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h96")) + (property HLUTNM (string "z_dsp_lutnm000357")) + ) + (instance Ysum_0_axb_1_lut6_2_o5 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + (property HLUTNM (string "z_dsp_lutnm000357")) + ) + (instance Ysum_8_0_axb_1_lut6_2_o6 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h963C")) + (property HLUTNM (string "z_dsp_lutnm000358")) + ) + (instance Ysum_8_0_axb_1_lut6_2_o5 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hE8C0")) + (property HLUTNM (string "z_dsp_lutnm000358")) + ) + (instance Ysum_6_0_axb_1_lut6_2_o6 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h96")) + (property HLUTNM (string "z_dsp_lutnm000359")) + ) + (instance Ysum_6_0_axb_1_lut6_2_o5 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE8")) + (property HLUTNM (string "z_dsp_lutnm000359")) + ) + (net (rename dout_cut1_r0Z0Z_1 "dout_cut1_r0[1]") (joined + (portRef Q (instanceRef dout_cut1_r0_1)) + (portRef I0 (instanceRef Ysum_6_0_axb_1_lut6_2_o5)) + (portRef I0 (instanceRef Ysum_6_0_axb_1_lut6_2_o6)) + (portRef I4 (instanceRef Ysum_6_0_axb_2)) + )) + (net (rename dout_cut3_r0Z0Z_1 "dout_cut3_r0[1]") (joined + (portRef Q (instanceRef dout_cut3_r0_1)) + (portRef I1 (instanceRef Ysum_6_0_axb_1_lut6_2_o5)) + (portRef I1 (instanceRef Ysum_6_0_axb_1_lut6_2_o6)) + (portRef I2 (instanceRef Ysum_6_0_axb_2)) + )) + (net (rename dout_cut2_r0Z0Z_1 "dout_cut2_r0[1]") (joined + (portRef Q (instanceRef dout_cut2_r0_1)) + (portRef I2 (instanceRef Ysum_6_0_axb_1_lut6_2_o5)) + (portRef I2 (instanceRef Ysum_6_0_axb_1_lut6_2_o6)) + (portRef I5 (instanceRef Ysum_6_0_axb_2)) + )) + (net (rename GNDZ0 "GND") (joined + (portRef G (instanceRef GND)) + (portRef CYINIT (instanceRef Ysum_6_0_cry_15)) + (portRef CYINIT (instanceRef Ysum_6_0_cry_11)) + (portRef CYINIT (instanceRef Ysum_6_0_cry_7)) + (portRef (member DI 2) (instanceRef Ysum_6_0_cry_3)) + (portRef CI (instanceRef Ysum_6_0_cry_3)) + (portRef (member S 0) (instanceRef Ysum_8_0_s_16)) + (portRef (member S 1) (instanceRef Ysum_8_0_s_16)) + (portRef (member DI 0) (instanceRef Ysum_8_0_s_16)) + (portRef (member DI 1) (instanceRef Ysum_8_0_s_16)) + (portRef (member DI 2) (instanceRef Ysum_8_0_s_16)) + (portRef CYINIT (instanceRef Ysum_8_0_s_16)) + (portRef CYINIT (instanceRef Ysum_8_0_cry_14)) + (portRef CYINIT (instanceRef Ysum_8_0_cry_10)) + (portRef CYINIT (instanceRef Ysum_8_0_cry_6)) + (portRef (member DI 1) (instanceRef Ysum_8_0_cry_2)) + (portRef (member DI 3) (instanceRef Ysum_8_0_cry_2)) + (portRef CI (instanceRef Ysum_8_0_cry_2)) + (portRef CYINIT (instanceRef Ysum_0_cry_15)) + (portRef CYINIT (instanceRef Ysum_0_cry_11)) + (portRef CYINIT (instanceRef Ysum_0_cry_7)) + (portRef (member DI 2) (instanceRef Ysum_0_cry_3)) + (portRef CI (instanceRef Ysum_0_cry_3)) + (portRef (member DI 0) (instanceRef un1_dout_2_1_s_35)) + (portRef (member DI 1) (instanceRef un1_dout_2_1_s_35)) + (portRef (member DI 2) (instanceRef un1_dout_2_1_s_35)) + (portRef (member DI 3) (instanceRef un1_dout_2_1_s_35)) + (portRef CYINIT (instanceRef un1_dout_2_1_s_35)) + (portRef (member DI 0) (instanceRef un1_dout_2_1_cry_31)) + (portRef (member DI 1) (instanceRef un1_dout_2_1_cry_31)) + (portRef (member DI 2) (instanceRef un1_dout_2_1_cry_31)) + (portRef (member DI 3) (instanceRef un1_dout_2_1_cry_31)) + (portRef CYINIT (instanceRef un1_dout_2_1_cry_31)) + (portRef (member DI 0) (instanceRef un1_dout_2_1_cry_27)) + (portRef (member DI 1) (instanceRef un1_dout_2_1_cry_27)) + (portRef (member DI 2) (instanceRef un1_dout_2_1_cry_27)) + (portRef (member DI 3) (instanceRef un1_dout_2_1_cry_27)) + (portRef CYINIT (instanceRef un1_dout_2_1_cry_27)) + (portRef (member DI 0) (instanceRef un1_dout_2_1_cry_23)) + (portRef (member DI 1) (instanceRef un1_dout_2_1_cry_23)) + (portRef (member DI 2) (instanceRef un1_dout_2_1_cry_23)) + (portRef (member DI 3) (instanceRef un1_dout_2_1_cry_23)) + (portRef CYINIT (instanceRef un1_dout_2_1_cry_23)) + (portRef CYINIT (instanceRef un1_dout_2_1_cry_19)) + (portRef CYINIT (instanceRef un1_dout_2_1_cry_15)) + (portRef CYINIT (instanceRef un1_dout_2_1_cry_11)) + (portRef CYINIT (instanceRef un1_dout_2_1_cry_7)) + (portRef CYINIT (instanceRef un1_dout_2_1_cry_3)) + (portRef CI (instanceRef un1_dout_2_1_cry_3)) + (portRef (member DI 0) (instanceRef un1_dout_3_1_s_35)) + (portRef (member DI 1) (instanceRef un1_dout_3_1_s_35)) + (portRef (member DI 2) (instanceRef un1_dout_3_1_s_35)) + (portRef (member DI 3) (instanceRef un1_dout_3_1_s_35)) + (portRef CYINIT (instanceRef un1_dout_3_1_s_35)) + (portRef (member DI 0) (instanceRef un1_dout_3_1_cry_31)) + (portRef (member DI 1) (instanceRef un1_dout_3_1_cry_31)) + (portRef (member DI 2) (instanceRef un1_dout_3_1_cry_31)) + (portRef (member DI 3) (instanceRef un1_dout_3_1_cry_31)) + (portRef CYINIT (instanceRef un1_dout_3_1_cry_31)) + (portRef (member DI 0) (instanceRef un1_dout_3_1_cry_27)) + (portRef (member DI 1) (instanceRef un1_dout_3_1_cry_27)) + (portRef (member DI 2) (instanceRef un1_dout_3_1_cry_27)) + (portRef (member DI 3) (instanceRef un1_dout_3_1_cry_27)) + (portRef CYINIT (instanceRef un1_dout_3_1_cry_27)) + (portRef (member DI 0) (instanceRef un1_dout_3_1_cry_23)) + (portRef (member DI 1) (instanceRef un1_dout_3_1_cry_23)) + (portRef (member DI 2) (instanceRef un1_dout_3_1_cry_23)) + (portRef (member DI 3) (instanceRef un1_dout_3_1_cry_23)) + (portRef CYINIT (instanceRef un1_dout_3_1_cry_23)) + (portRef CYINIT (instanceRef un1_dout_3_1_cry_19)) + (portRef CYINIT (instanceRef un1_dout_3_1_cry_15)) + (portRef CYINIT (instanceRef un1_dout_3_1_cry_11)) + (portRef CYINIT (instanceRef un1_dout_3_1_cry_7)) + (portRef CYINIT (instanceRef un1_dout_3_1_cry_3)) + (portRef CI (instanceRef un1_dout_3_1_cry_3)) + (portRef (member DI 0) (instanceRef un1_dout_4_1_s_35)) + (portRef (member DI 1) (instanceRef un1_dout_4_1_s_35)) + (portRef (member DI 2) (instanceRef un1_dout_4_1_s_35)) + (portRef (member DI 3) (instanceRef un1_dout_4_1_s_35)) + (portRef CYINIT (instanceRef un1_dout_4_1_s_35)) + (portRef (member DI 0) (instanceRef un1_dout_4_1_cry_31)) + (portRef (member DI 1) (instanceRef un1_dout_4_1_cry_31)) + (portRef (member DI 2) (instanceRef un1_dout_4_1_cry_31)) + (portRef (member DI 3) (instanceRef un1_dout_4_1_cry_31)) + (portRef CYINIT (instanceRef un1_dout_4_1_cry_31)) + (portRef (member DI 0) (instanceRef un1_dout_4_1_cry_27)) + (portRef (member DI 1) (instanceRef un1_dout_4_1_cry_27)) + (portRef (member DI 2) (instanceRef un1_dout_4_1_cry_27)) + (portRef (member DI 3) (instanceRef un1_dout_4_1_cry_27)) + (portRef CYINIT (instanceRef un1_dout_4_1_cry_27)) + (portRef (member DI 0) (instanceRef un1_dout_4_1_cry_23)) + (portRef (member DI 1) (instanceRef un1_dout_4_1_cry_23)) + (portRef (member DI 2) (instanceRef un1_dout_4_1_cry_23)) + (portRef (member DI 3) (instanceRef un1_dout_4_1_cry_23)) + (portRef CYINIT (instanceRef un1_dout_4_1_cry_23)) + (portRef CYINIT (instanceRef un1_dout_4_1_cry_19)) + (portRef CYINIT (instanceRef un1_dout_4_1_cry_15)) + (portRef CYINIT (instanceRef un1_dout_4_1_cry_11)) + (portRef CYINIT (instanceRef un1_dout_4_1_cry_7)) + (portRef CYINIT (instanceRef un1_dout_4_1_cry_3)) + (portRef CI (instanceRef un1_dout_4_1_cry_3)) + (portRef (member DI 0) (instanceRef un1_dout_5_1_s_35)) + (portRef (member DI 1) (instanceRef un1_dout_5_1_s_35)) + (portRef (member DI 2) (instanceRef un1_dout_5_1_s_35)) + (portRef (member DI 3) (instanceRef un1_dout_5_1_s_35)) + (portRef CYINIT (instanceRef un1_dout_5_1_s_35)) + (portRef (member DI 0) (instanceRef un1_dout_5_1_cry_31)) + (portRef (member DI 1) (instanceRef un1_dout_5_1_cry_31)) + (portRef (member DI 2) (instanceRef un1_dout_5_1_cry_31)) + (portRef (member DI 3) (instanceRef un1_dout_5_1_cry_31)) + (portRef CYINIT (instanceRef un1_dout_5_1_cry_31)) + (portRef (member DI 0) (instanceRef un1_dout_5_1_cry_27)) + (portRef (member DI 1) (instanceRef un1_dout_5_1_cry_27)) + (portRef (member DI 2) (instanceRef un1_dout_5_1_cry_27)) + (portRef (member DI 3) (instanceRef un1_dout_5_1_cry_27)) + (portRef CYINIT (instanceRef un1_dout_5_1_cry_27)) + (portRef (member DI 0) (instanceRef un1_dout_5_1_cry_23)) + (portRef (member DI 1) (instanceRef un1_dout_5_1_cry_23)) + (portRef (member DI 2) (instanceRef un1_dout_5_1_cry_23)) + (portRef (member DI 3) (instanceRef un1_dout_5_1_cry_23)) + (portRef CYINIT (instanceRef un1_dout_5_1_cry_23)) + (portRef CYINIT (instanceRef un1_dout_5_1_cry_19)) + (portRef CYINIT (instanceRef un1_dout_5_1_cry_15)) + (portRef CYINIT (instanceRef un1_dout_5_1_cry_11)) + (portRef CYINIT (instanceRef un1_dout_5_1_cry_7)) + (portRef CYINIT (instanceRef un1_dout_5_1_cry_3)) + (portRef CI (instanceRef un1_dout_5_1_cry_3)) + (portRef (member DI 0) (instanceRef un1_dout_0_1_s_35)) + (portRef (member DI 1) (instanceRef un1_dout_0_1_s_35)) + (portRef (member DI 2) (instanceRef un1_dout_0_1_s_35)) + (portRef (member DI 3) (instanceRef un1_dout_0_1_s_35)) + (portRef CYINIT (instanceRef un1_dout_0_1_s_35)) + (portRef (member DI 0) (instanceRef un1_dout_0_1_cry_31)) + (portRef (member DI 1) (instanceRef un1_dout_0_1_cry_31)) + (portRef (member DI 2) (instanceRef un1_dout_0_1_cry_31)) + (portRef (member DI 3) (instanceRef un1_dout_0_1_cry_31)) + (portRef CYINIT (instanceRef un1_dout_0_1_cry_31)) + (portRef (member DI 0) (instanceRef un1_dout_0_1_cry_27)) + (portRef (member DI 1) (instanceRef un1_dout_0_1_cry_27)) + (portRef (member DI 2) (instanceRef un1_dout_0_1_cry_27)) + (portRef (member DI 3) (instanceRef un1_dout_0_1_cry_27)) + (portRef CYINIT (instanceRef un1_dout_0_1_cry_27)) + (portRef (member DI 0) (instanceRef un1_dout_0_1_cry_23)) + (portRef (member DI 1) (instanceRef un1_dout_0_1_cry_23)) + (portRef (member DI 2) (instanceRef un1_dout_0_1_cry_23)) + (portRef (member DI 3) (instanceRef un1_dout_0_1_cry_23)) + (portRef CYINIT (instanceRef un1_dout_0_1_cry_23)) + (portRef CYINIT (instanceRef un1_dout_0_1_cry_19)) + (portRef CYINIT (instanceRef un1_dout_0_1_cry_15)) + (portRef CYINIT (instanceRef un1_dout_0_1_cry_11)) + (portRef CYINIT (instanceRef un1_dout_0_1_cry_7)) + (portRef CYINIT (instanceRef un1_dout_0_1_cry_3)) + (portRef CI (instanceRef un1_dout_0_1_cry_3)) + (portRef (member DI 0) (instanceRef un1_dout_1_1_s_35)) + (portRef (member DI 1) (instanceRef un1_dout_1_1_s_35)) + (portRef (member DI 2) (instanceRef un1_dout_1_1_s_35)) + (portRef (member DI 3) (instanceRef un1_dout_1_1_s_35)) + (portRef CYINIT (instanceRef un1_dout_1_1_s_35)) + (portRef (member DI 0) (instanceRef un1_dout_1_1_cry_31)) + (portRef (member DI 1) (instanceRef un1_dout_1_1_cry_31)) + (portRef (member DI 2) (instanceRef un1_dout_1_1_cry_31)) + (portRef (member DI 3) (instanceRef un1_dout_1_1_cry_31)) + (portRef CYINIT (instanceRef un1_dout_1_1_cry_31)) + (portRef (member DI 0) (instanceRef un1_dout_1_1_cry_27)) + (portRef (member DI 1) (instanceRef un1_dout_1_1_cry_27)) + (portRef (member DI 2) (instanceRef un1_dout_1_1_cry_27)) + (portRef (member DI 3) (instanceRef un1_dout_1_1_cry_27)) + (portRef CYINIT (instanceRef un1_dout_1_1_cry_27)) + (portRef (member DI 0) (instanceRef un1_dout_1_1_cry_23)) + (portRef (member DI 1) (instanceRef un1_dout_1_1_cry_23)) + (portRef (member DI 2) (instanceRef un1_dout_1_1_cry_23)) + (portRef (member DI 3) (instanceRef un1_dout_1_1_cry_23)) + (portRef CYINIT (instanceRef un1_dout_1_1_cry_23)) + (portRef CYINIT (instanceRef un1_dout_1_1_cry_19)) + (portRef CYINIT (instanceRef un1_dout_1_1_cry_15)) + (portRef CYINIT (instanceRef un1_dout_1_1_cry_11)) + (portRef CYINIT (instanceRef un1_dout_1_1_cry_7)) + (portRef CYINIT (instanceRef un1_dout_1_1_cry_3)) + (portRef CI (instanceRef un1_dout_1_1_cry_3)) + (portRef A3 (instanceRef din_r4_inst_TailCorr_top_din_r4_1)) + (portRef A2 (instanceRef din_r4_inst_TailCorr_top_din_r4_1)) + (portRef A1 (instanceRef din_r4_inst_TailCorr_top_din_r4_1)) + (portRef A3 (instanceRef din_r4_0_inst_TailCorr_top_din_r4_1)) + (portRef A2 (instanceRef din_r4_0_inst_TailCorr_top_din_r4_1)) + (portRef A1 (instanceRef din_r4_0_inst_TailCorr_top_din_r4_1)) + (portRef A3 (instanceRef din_r4_1_inst_TailCorr_top_din_r4_1)) + (portRef A2 (instanceRef din_r4_1_inst_TailCorr_top_din_r4_1)) + (portRef A1 (instanceRef din_r4_1_inst_TailCorr_top_din_r4_1)) + (portRef A3 (instanceRef din_r4_2_inst_TailCorr_top_din_r4_1)) + (portRef A2 (instanceRef din_r4_2_inst_TailCorr_top_din_r4_1)) + (portRef A1 (instanceRef din_r4_2_inst_TailCorr_top_din_r4_1)) + (portRef A3 (instanceRef din_r4_3_inst_TailCorr_top_din_r4_1)) + (portRef A2 (instanceRef din_r4_3_inst_TailCorr_top_din_r4_1)) + (portRef A1 (instanceRef din_r4_3_inst_TailCorr_top_din_r4_1)) + (portRef A3 (instanceRef din_r4_4_inst_TailCorr_top_din_r4_1)) + (portRef A2 (instanceRef din_r4_4_inst_TailCorr_top_din_r4_1)) + (portRef A1 (instanceRef din_r4_4_inst_TailCorr_top_din_r4_1)) + (portRef A3 (instanceRef din_r4_5_inst_TailCorr_top_din_r4_1)) + (portRef A2 (instanceRef din_r4_5_inst_TailCorr_top_din_r4_1)) + (portRef A1 (instanceRef din_r4_5_inst_TailCorr_top_din_r4_1)) + (portRef A3 (instanceRef din_r4_6_inst_TailCorr_top_din_r4_1)) + (portRef A2 (instanceRef din_r4_6_inst_TailCorr_top_din_r4_1)) + (portRef A1 (instanceRef din_r4_6_inst_TailCorr_top_din_r4_1)) + (portRef A3 (instanceRef din_r4_7_inst_TailCorr_top_din_r4_1)) + (portRef A2 (instanceRef din_r4_7_inst_TailCorr_top_din_r4_1)) + (portRef A1 (instanceRef din_r4_7_inst_TailCorr_top_din_r4_1)) + (portRef A3 (instanceRef din_r4_8_inst_TailCorr_top_din_r4_1)) + (portRef A2 (instanceRef din_r4_8_inst_TailCorr_top_din_r4_1)) + (portRef A1 (instanceRef din_r4_8_inst_TailCorr_top_din_r4_1)) + (portRef A3 (instanceRef din_r4_9_inst_TailCorr_top_din_r4_1)) + (portRef A2 (instanceRef din_r4_9_inst_TailCorr_top_din_r4_1)) + (portRef A1 (instanceRef din_r4_9_inst_TailCorr_top_din_r4_1)) + (portRef A3 (instanceRef din_r4_10_inst_TailCorr_top_din_r4_1)) + (portRef A2 (instanceRef din_r4_10_inst_TailCorr_top_din_r4_1)) + (portRef A1 (instanceRef din_r4_10_inst_TailCorr_top_din_r4_1)) + (portRef A3 (instanceRef din_r4_11_inst_TailCorr_top_din_r4_1)) + (portRef A2 (instanceRef din_r4_11_inst_TailCorr_top_din_r4_1)) + (portRef A1 (instanceRef din_r4_11_inst_TailCorr_top_din_r4_1)) + (portRef A3 (instanceRef din_r4_12_inst_TailCorr_top_din_r4_1)) + (portRef A2 (instanceRef din_r4_12_inst_TailCorr_top_din_r4_1)) + (portRef A1 (instanceRef din_r4_12_inst_TailCorr_top_din_r4_1)) + (portRef A3 (instanceRef din_r4_13_inst_TailCorr_top_din_r4_1)) + (portRef A2 (instanceRef din_r4_13_inst_TailCorr_top_din_r4_1)) + (portRef A1 (instanceRef din_r4_13_inst_TailCorr_top_din_r4_1)) + (portRef A3 (instanceRef din_r4_14_inst_TailCorr_top_din_r4_1)) + (portRef A2 (instanceRef din_r4_14_inst_TailCorr_top_din_r4_1)) + (portRef A1 (instanceRef din_r4_14_inst_TailCorr_top_din_r4_1)) + )) + (net (rename VCCZ0 "VCC") (joined + (portRef P (instanceRef VCC)) + (portRef CYINIT (instanceRef Ysum_8_0_cry_2)) + (portRef A0 (instanceRef din_r4_inst_TailCorr_top_din_r4_1)) + (portRef A0 (instanceRef din_r4_0_inst_TailCorr_top_din_r4_1)) + (portRef A0 (instanceRef din_r4_1_inst_TailCorr_top_din_r4_1)) + (portRef A0 (instanceRef din_r4_2_inst_TailCorr_top_din_r4_1)) + (portRef A0 (instanceRef din_r4_3_inst_TailCorr_top_din_r4_1)) + (portRef A0 (instanceRef din_r4_4_inst_TailCorr_top_din_r4_1)) + (portRef A0 (instanceRef din_r4_5_inst_TailCorr_top_din_r4_1)) + (portRef A0 (instanceRef din_r4_6_inst_TailCorr_top_din_r4_1)) + (portRef A0 (instanceRef din_r4_7_inst_TailCorr_top_din_r4_1)) + (portRef A0 (instanceRef din_r4_8_inst_TailCorr_top_din_r4_1)) + (portRef A0 (instanceRef din_r4_9_inst_TailCorr_top_din_r4_1)) + (portRef A0 (instanceRef din_r4_10_inst_TailCorr_top_din_r4_1)) + (portRef A0 (instanceRef din_r4_11_inst_TailCorr_top_din_r4_1)) + (portRef A0 (instanceRef din_r4_12_inst_TailCorr_top_din_r4_1)) + (portRef A0 (instanceRef din_r4_13_inst_TailCorr_top_din_r4_1)) + (portRef A0 (instanceRef din_r4_14_inst_TailCorr_top_din_r4_1)) + (portRef G (instanceRef Ysum_6_0_s_1_xorcy_latch)) + (portRef G (instanceRef Ysum_6_0_s_2_xorcy_latch)) + (portRef G (instanceRef Ysum_6_0_s_3_xorcy_latch)) + (portRef G (instanceRef Ysum_6_0_s_4_xorcy_latch)) + (portRef G (instanceRef Ysum_6_0_s_5_xorcy_latch)) + (portRef G (instanceRef Ysum_6_0_s_6_xorcy_latch)) + (portRef G (instanceRef Ysum_6_0_s_7_xorcy_latch)) + (portRef G (instanceRef Ysum_6_0_s_8_xorcy_latch)) + (portRef G (instanceRef Ysum_6_0_s_9_xorcy_latch)) + (portRef G (instanceRef Ysum_6_0_s_10_xorcy_latch)) + (portRef G (instanceRef Ysum_6_0_s_11_xorcy_latch)) + (portRef G (instanceRef Ysum_6_0_s_12_xorcy_latch)) + (portRef G (instanceRef Ysum_6_0_s_13_xorcy_latch)) + (portRef G (instanceRef Ysum_6_0_s_14_xorcy_latch)) + (portRef G (instanceRef Ysum_8_0_s_1_xorcy_latch)) + (portRef G (instanceRef Ysum_8_0_s_2_xorcy_latch)) + (portRef G (instanceRef Ysum_8_0_s_3_xorcy_latch)) + (portRef G (instanceRef Ysum_8_0_s_4_xorcy_latch)) + (portRef G (instanceRef Ysum_8_0_s_5_xorcy_latch)) + (portRef G (instanceRef Ysum_8_0_s_6_xorcy_latch)) + (portRef G (instanceRef Ysum_8_0_s_7_xorcy_latch)) + (portRef G (instanceRef Ysum_8_0_s_8_xorcy_latch)) + (portRef G (instanceRef Ysum_8_0_s_9_xorcy_latch)) + (portRef G (instanceRef Ysum_8_0_s_10_xorcy_latch)) + (portRef G (instanceRef Ysum_8_0_s_11_xorcy_latch)) + (portRef G (instanceRef Ysum_8_0_s_12_xorcy_latch)) + (portRef G (instanceRef Ysum_8_0_s_13_xorcy_latch)) + (portRef G (instanceRef Ysum_8_0_s_14_xorcy_latch)) + (portRef G (instanceRef Ysum_0_s_1_xorcy_latch)) + (portRef G (instanceRef Ysum_0_s_2_xorcy_latch)) + (portRef G (instanceRef Ysum_0_s_3_xorcy_latch)) + (portRef G (instanceRef Ysum_0_s_4_xorcy_latch)) + (portRef G (instanceRef Ysum_0_s_5_xorcy_latch)) + (portRef G (instanceRef Ysum_0_s_6_xorcy_latch)) + (portRef G (instanceRef Ysum_0_s_7_xorcy_latch)) + (portRef G (instanceRef Ysum_0_s_8_xorcy_latch)) + (portRef G (instanceRef Ysum_0_s_9_xorcy_latch)) + (portRef G (instanceRef Ysum_0_s_10_xorcy_latch)) + (portRef G (instanceRef Ysum_0_s_11_xorcy_latch)) + (portRef G (instanceRef Ysum_0_s_12_xorcy_latch)) + (portRef G (instanceRef Ysum_0_s_13_xorcy_latch)) + (portRef G (instanceRef Ysum_0_s_14_xorcy_latch)) + )) + (net Ysum_6_0_axb_1 (joined + (portRef O (instanceRef Ysum_6_0_axb_1_lut6_2_o6)) + (portRef (member S 2) (instanceRef Ysum_6_0_cry_3)) + )) + (net Ysum_6_0_o5_1 (joined + (portRef O (instanceRef Ysum_6_0_axb_1_lut6_2_o5)) + (portRef (member DI 1) (instanceRef Ysum_6_0_cry_3)) + )) + (net (rename din_r4_13_DOUTZ0Z_0 "din_r4_13_DOUT[0]") (joined + (portRef Q (instanceRef din_r4_13_DOUT_0)) + (portRef I0 (instanceRef Ysum_8_0_axb_1_lut6_2_o5)) + (portRef I0 (instanceRef Ysum_8_0_axb_1_lut6_2_o6)) + )) + (net (rename dout_cut5_r0Z0Z_1 "dout_cut5_r0[1]") (joined + (portRef Q (instanceRef dout_cut5_r0_1)) + (portRef I1 (instanceRef Ysum_8_0_axb_1_lut6_2_o5)) + (portRef I1 (instanceRef Ysum_8_0_axb_1_lut6_2_o6)) + )) + (net (rename dout_cut4_r0Z0Z_1 "dout_cut4_r0[1]") (joined + (portRef Q (instanceRef dout_cut4_r0_1)) + (portRef I2 (instanceRef Ysum_8_0_axb_1_lut6_2_o5)) + (portRef I2 (instanceRef Ysum_8_0_axb_1_lut6_2_o6)) + )) + (net (rename vldo_r_1172_0_dout_rnioljh_0 "vldo_r_1172_0_DOUT_RNIOLJH_0") (joined + (portRef vldo_r_1172_0_DOUT_RNIOLJH_0) + (portRef I3 (instanceRef Ysum_8_0_axb_1_lut6_2_o5)) + (portRef I3 (instanceRef Ysum_8_0_axb_1_lut6_2_o6)) + (portRef I3 (instanceRef Ysum_8_0_cry_2_RNO_lut6_2_o5)) + (portRef I1 (instanceRef Ysum_8_0_cry_2_RNO_lut6_2_o6)) + (portRef I3 (instanceRef Ysum_8_0_axb_7)) + (portRef I3 (instanceRef Ysum_8_0_axb_6)) + (portRef I3 (instanceRef Ysum_8_0_axb_5)) + (portRef I3 (instanceRef Ysum_8_0_axb_4)) + (portRef I3 (instanceRef Ysum_8_0_axb_3)) + (portRef I3 (instanceRef Ysum_8_0_axb_2)) + (portRef I3 (instanceRef Ysum_8_0_axb_15)) + (portRef I3 (instanceRef Ysum_8_0_axb_14)) + (portRef I3 (instanceRef Ysum_8_0_axb_13)) + (portRef I3 (instanceRef Ysum_8_0_axb_12)) + (portRef I3 (instanceRef Ysum_8_0_axb_11)) + (portRef I3 (instanceRef Ysum_8_0_axb_10)) + (portRef I3 (instanceRef Ysum_8_0_axb_9)) + (portRef I3 (instanceRef Ysum_8_0_axb_8)) + (portRef I3 (instanceRef Ysum_8_0_o5_5)) + (portRef I3 (instanceRef Ysum_8_0_o5_6)) + (portRef I3 (instanceRef Ysum_8_0_o5_4)) + (portRef I3 (instanceRef Ysum_8_0_o5_9)) + (portRef I3 (instanceRef Ysum_8_0_o5_8)) + (portRef I3 (instanceRef Ysum_8_0_o5_3)) + (portRef I3 (instanceRef Ysum_8_0_o5_7)) + (portRef I3 (instanceRef Ysum_8_0_o5_2)) + (portRef I3 (instanceRef Ysum_8_0_o5_11)) + (portRef I3 (instanceRef Ysum_8_0_o5_12)) + (portRef I3 (instanceRef Ysum_8_0_o5_10)) + (portRef I3 (instanceRef Ysum_8_0_axb_16)) + (portRef I3 (instanceRef Ysum_8_0_o5_14)) + )) + (net Ysum_8_0_axb_1 (joined + (portRef O (instanceRef Ysum_8_0_axb_1_lut6_2_o6)) + (portRef (member S 1) (instanceRef Ysum_8_0_cry_2)) + )) + (net Ysum_8_0_o5_1 (joined + (portRef O (instanceRef Ysum_8_0_axb_1_lut6_2_o5)) + (portRef (member DI 0) (instanceRef Ysum_8_0_cry_2)) + (portRef I4 (instanceRef Ysum_8_0_axb_2)) + )) + (net (rename dout_cut0_r0Z0Z_1 "dout_cut0_r0[1]") (joined + (portRef Q (instanceRef dout_cut0_r0_1)) + (portRef I0 (instanceRef Ysum_0_axb_1_lut6_2_o5)) + (portRef I0 (instanceRef Ysum_0_axb_1_lut6_2_o6)) + (portRef I1 (instanceRef Ysum_0_axb_2)) + )) + (net (rename Ysum_6_1 "Ysum_6[1]") (joined + (portRef Q (instanceRef Ysum_6_0_s_1_xorcy_latch)) + (portRef I1 (instanceRef Ysum_0_axb_1_lut6_2_o5)) + (portRef I1 (instanceRef Ysum_0_axb_1_lut6_2_o6)) + (portRef I2 (instanceRef Ysum_0_axb_2)) + )) + (net (rename Ysum_8_1 "Ysum_8[1]") (joined + (portRef Q (instanceRef Ysum_8_0_s_1_xorcy_latch)) + (portRef I2 (instanceRef Ysum_0_axb_1_lut6_2_o5)) + (portRef I2 (instanceRef Ysum_0_axb_1_lut6_2_o6)) + (portRef I4 (instanceRef Ysum_0_axb_2)) + )) + (net Ysum_0_axb_1 (joined + (portRef O (instanceRef Ysum_0_axb_1_lut6_2_o6)) + (portRef (member S 2) (instanceRef Ysum_0_cry_3)) + )) + (net Ysum_0_o5_1 (joined + (portRef O (instanceRef Ysum_0_axb_1_lut6_2_o5)) + (portRef (member DI 1) (instanceRef Ysum_0_cry_3)) + )) + (net (rename dout_2_0 "dout_2[0]") (joined + (portRef (member dout_2 35) (instanceRef inst_iir_2)) + (portRef I0 (instanceRef un1_dout_2_1_axb_0_lut6_2_o6)) + )) + (net (rename dout_2_2 "dout_2[2]") (joined + (portRef (member dout_2 33) (instanceRef inst_iir_2)) + (portRef I0 (instanceRef un1_dout_2_1_axb_0_lut6_2_o5)) + )) + (net (rename dout_2_35 "dout_2[35]") (joined + (portRef (member dout_2 0) (instanceRef inst_iir_2)) + (portRef I1 (instanceRef un1_dout_2_1_axb_0_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_2_1_axb_0_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_2_1_axb_1_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_2_1_axb_1_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_2_1_axb_3_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_2_1_axb_3_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_2_1_axb_4_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_2_1_axb_4_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_2_1_axb_7_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_2_1_axb_7_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_2_1_axb_8_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_2_1_axb_8_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_2_1_axb_11_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_2_1_axb_11_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_2_1_axb_12_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_2_1_axb_12_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_2_1_axb_16_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_2_1_axb_16_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_2_1_axb_17_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_2_1_axb_17_lut6_2_o6)) + (portRef (member DI 1) (instanceRef un1_dout_2_1_cry_19)) + (portRef (member DI 2) (instanceRef un1_dout_2_1_cry_19)) + (portRef (member DI 3) (instanceRef un1_dout_2_1_cry_19)) + (portRef (member DI 0) (instanceRef un1_dout_2_1_cry_15)) + (portRef (member DI 1) (instanceRef un1_dout_2_1_cry_15)) + (portRef (member DI 2) (instanceRef un1_dout_2_1_cry_15)) + (portRef (member DI 3) (instanceRef un1_dout_2_1_cry_15)) + (portRef (member DI 0) (instanceRef un1_dout_2_1_cry_11)) + (portRef (member DI 1) (instanceRef un1_dout_2_1_cry_11)) + (portRef (member DI 2) (instanceRef un1_dout_2_1_cry_11)) + (portRef (member DI 3) (instanceRef un1_dout_2_1_cry_11)) + (portRef (member DI 0) (instanceRef un1_dout_2_1_cry_7)) + (portRef (member DI 1) (instanceRef un1_dout_2_1_cry_7)) + (portRef (member DI 2) (instanceRef un1_dout_2_1_cry_7)) + (portRef (member DI 3) (instanceRef un1_dout_2_1_cry_7)) + (portRef (member DI 0) (instanceRef un1_dout_2_1_cry_3)) + (portRef (member DI 1) (instanceRef un1_dout_2_1_cry_3)) + (portRef (member DI 2) (instanceRef un1_dout_2_1_cry_3)) + (portRef (member DI 3) (instanceRef un1_dout_2_1_cry_3)) + (portRef I0 (instanceRef un1_dout_2_1_axb_35)) + )) + (net un1_dout_2_1_axb_0 (joined + (portRef O (instanceRef un1_dout_2_1_axb_0_lut6_2_o6)) + (portRef (member S 3) (instanceRef un1_dout_2_1_cry_3)) + )) + (net un1_dout_2_1_axb_2 (joined + (portRef O (instanceRef un1_dout_2_1_axb_0_lut6_2_o5)) + (portRef (member S 1) (instanceRef un1_dout_2_1_cry_3)) + )) + (net (rename dout_2_15 "dout_2[15]") (joined + (portRef (member dout_2 20) (instanceRef inst_iir_2)) + (portRef I0 (instanceRef un1_dout_2_1_axb_1_lut6_2_o5)) + )) + (net (rename dout_2_1 "dout_2[1]") (joined + (portRef (member dout_2 34) (instanceRef inst_iir_2)) + (portRef I0 (instanceRef un1_dout_2_1_axb_1_lut6_2_o6)) + )) + (net un1_dout_2_1_axb_1 (joined + (portRef O (instanceRef un1_dout_2_1_axb_1_lut6_2_o6)) + (portRef (member S 2) (instanceRef un1_dout_2_1_cry_3)) + )) + (net un1_dout_2_1_axb_15 (joined + (portRef O (instanceRef un1_dout_2_1_axb_1_lut6_2_o5)) + (portRef (member S 0) (instanceRef un1_dout_2_1_cry_15)) + )) + (net (rename dout_2_3 "dout_2[3]") (joined + (portRef (member dout_2 32) (instanceRef inst_iir_2)) + (portRef I0 (instanceRef un1_dout_2_1_axb_3_lut6_2_o6)) + )) + (net (rename dout_2_5 "dout_2[5]") (joined + (portRef (member dout_2 30) (instanceRef inst_iir_2)) + (portRef I0 (instanceRef un1_dout_2_1_axb_3_lut6_2_o5)) + )) + (net un1_dout_2_1_axb_3 (joined + (portRef O (instanceRef un1_dout_2_1_axb_3_lut6_2_o6)) + (portRef (member S 0) (instanceRef un1_dout_2_1_cry_3)) + )) + (net un1_dout_2_1_axb_5 (joined + (portRef O (instanceRef un1_dout_2_1_axb_3_lut6_2_o5)) + (portRef (member S 2) (instanceRef un1_dout_2_1_cry_7)) + )) + (net (rename dout_2_4 "dout_2[4]") (joined + (portRef (member dout_2 31) (instanceRef inst_iir_2)) + (portRef I0 (instanceRef un1_dout_2_1_axb_4_lut6_2_o6)) + )) + (net (rename dout_2_6 "dout_2[6]") (joined + (portRef (member dout_2 29) (instanceRef inst_iir_2)) + (portRef I0 (instanceRef un1_dout_2_1_axb_4_lut6_2_o5)) + )) + (net un1_dout_2_1_axb_4 (joined + (portRef O (instanceRef un1_dout_2_1_axb_4_lut6_2_o6)) + (portRef (member S 3) (instanceRef un1_dout_2_1_cry_7)) + )) + (net un1_dout_2_1_axb_6 (joined + (portRef O (instanceRef un1_dout_2_1_axb_4_lut6_2_o5)) + (portRef (member S 1) (instanceRef un1_dout_2_1_cry_7)) + )) + (net (rename dout_2_7 "dout_2[7]") (joined + (portRef (member dout_2 28) (instanceRef inst_iir_2)) + (portRef I0 (instanceRef un1_dout_2_1_axb_7_lut6_2_o6)) + )) + (net (rename dout_2_9 "dout_2[9]") (joined + (portRef (member dout_2 26) (instanceRef inst_iir_2)) + (portRef I0 (instanceRef un1_dout_2_1_axb_7_lut6_2_o5)) + )) + (net un1_dout_2_1_axb_7 (joined + (portRef O (instanceRef un1_dout_2_1_axb_7_lut6_2_o6)) + (portRef (member S 0) (instanceRef un1_dout_2_1_cry_7)) + )) + (net un1_dout_2_1_axb_9 (joined + (portRef O (instanceRef un1_dout_2_1_axb_7_lut6_2_o5)) + (portRef (member S 2) (instanceRef un1_dout_2_1_cry_11)) + )) + (net (rename dout_2_8 "dout_2[8]") (joined + (portRef (member dout_2 27) (instanceRef inst_iir_2)) + (portRef I0 (instanceRef un1_dout_2_1_axb_8_lut6_2_o6)) + )) + (net (rename dout_2_10 "dout_2[10]") (joined + (portRef (member dout_2 25) (instanceRef inst_iir_2)) + (portRef I0 (instanceRef un1_dout_2_1_axb_8_lut6_2_o5)) + )) + (net un1_dout_2_1_axb_8 (joined + (portRef O (instanceRef un1_dout_2_1_axb_8_lut6_2_o6)) + (portRef (member S 3) (instanceRef un1_dout_2_1_cry_11)) + )) + (net un1_dout_2_1_axb_10 (joined + (portRef O (instanceRef un1_dout_2_1_axb_8_lut6_2_o5)) + (portRef (member S 1) (instanceRef un1_dout_2_1_cry_11)) + )) + (net (rename dout_2_11 "dout_2[11]") (joined + (portRef (member dout_2 24) (instanceRef inst_iir_2)) + (portRef I0 (instanceRef un1_dout_2_1_axb_11_lut6_2_o6)) + )) + (net (rename dout_2_13 "dout_2[13]") (joined + (portRef (member dout_2 22) (instanceRef inst_iir_2)) + (portRef I0 (instanceRef un1_dout_2_1_axb_11_lut6_2_o5)) + )) + (net un1_dout_2_1_axb_11 (joined + (portRef O (instanceRef un1_dout_2_1_axb_11_lut6_2_o6)) + (portRef (member S 0) (instanceRef un1_dout_2_1_cry_11)) + )) + (net un1_dout_2_1_axb_13 (joined + (portRef O (instanceRef un1_dout_2_1_axb_11_lut6_2_o5)) + (portRef (member S 2) (instanceRef un1_dout_2_1_cry_15)) + )) + (net (rename dout_2_12 "dout_2[12]") (joined + (portRef (member dout_2 23) (instanceRef inst_iir_2)) + (portRef I0 (instanceRef un1_dout_2_1_axb_12_lut6_2_o6)) + )) + (net (rename dout_2_14 "dout_2[14]") (joined + (portRef (member dout_2 21) (instanceRef inst_iir_2)) + (portRef I0 (instanceRef un1_dout_2_1_axb_12_lut6_2_o5)) + )) + (net un1_dout_2_1_axb_12 (joined + (portRef O (instanceRef un1_dout_2_1_axb_12_lut6_2_o6)) + (portRef (member S 3) (instanceRef un1_dout_2_1_cry_15)) + )) + (net un1_dout_2_1_axb_14 (joined + (portRef O (instanceRef un1_dout_2_1_axb_12_lut6_2_o5)) + (portRef (member S 1) (instanceRef un1_dout_2_1_cry_15)) + )) + (net (rename dout_2_16 "dout_2[16]") (joined + (portRef (member dout_2 19) (instanceRef inst_iir_2)) + (portRef I0 (instanceRef un1_dout_2_1_axb_16_lut6_2_o6)) + )) + (net (rename dout_2_18 "dout_2[18]") (joined + (portRef (member dout_2 17) (instanceRef inst_iir_2)) + (portRef I0 (instanceRef un1_dout_2_1_axb_16_lut6_2_o5)) + )) + (net un1_dout_2_1_axb_16 (joined + (portRef O (instanceRef un1_dout_2_1_axb_16_lut6_2_o6)) + (portRef (member S 3) (instanceRef un1_dout_2_1_cry_19)) + )) + (net un1_dout_2_1_axb_18 (joined + (portRef O (instanceRef un1_dout_2_1_axb_16_lut6_2_o5)) + (portRef (member S 1) (instanceRef un1_dout_2_1_cry_19)) + )) + (net (rename dout_2_17 "dout_2[17]") (joined + (portRef (member dout_2 18) (instanceRef inst_iir_2)) + (portRef I0 (instanceRef un1_dout_2_1_axb_17_lut6_2_o6)) + )) + (net (rename dout_2_19 "dout_2[19]") (joined + (portRef (member dout_2 16) (instanceRef inst_iir_2)) + (portRef I0 (instanceRef un1_dout_2_1_axb_17_lut6_2_o5)) + (portRef (member DI 0) (instanceRef un1_dout_2_1_cry_19)) + )) + (net un1_dout_2_1_axb_17 (joined + (portRef O (instanceRef un1_dout_2_1_axb_17_lut6_2_o6)) + (portRef (member S 2) (instanceRef un1_dout_2_1_cry_19)) + )) + (net un1_dout_2_1_axb_19 (joined + (portRef O (instanceRef un1_dout_2_1_axb_17_lut6_2_o5)) + (portRef (member S 0) (instanceRef un1_dout_2_1_cry_19)) + )) + (net (rename dout_3_0 "dout_3[0]") (joined + (portRef (member dout_3 35) (instanceRef inst_iir_3)) + (portRef I0 (instanceRef un1_dout_3_1_axb_0_lut6_2_o6)) + )) + (net (rename dout_3_2 "dout_3[2]") (joined + (portRef (member dout_3 33) (instanceRef inst_iir_3)) + (portRef I0 (instanceRef un1_dout_3_1_axb_0_lut6_2_o5)) + )) + (net (rename dout_3_35 "dout_3[35]") (joined + (portRef (member dout_3 0) (instanceRef inst_iir_3)) + (portRef I1 (instanceRef un1_dout_3_1_axb_0_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_3_1_axb_0_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_3_1_axb_1_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_3_1_axb_1_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_3_1_axb_3_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_3_1_axb_3_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_3_1_axb_4_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_3_1_axb_4_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_3_1_axb_8_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_3_1_axb_8_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_3_1_axb_9_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_3_1_axb_9_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_3_1_axb_12_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_3_1_axb_12_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_3_1_axb_13_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_3_1_axb_13_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_3_1_axb_16_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_3_1_axb_16_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_3_1_axb_17_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_3_1_axb_17_lut6_2_o6)) + (portRef (member DI 1) (instanceRef un1_dout_3_1_cry_19)) + (portRef (member DI 2) (instanceRef un1_dout_3_1_cry_19)) + (portRef (member DI 3) (instanceRef un1_dout_3_1_cry_19)) + (portRef (member DI 0) (instanceRef un1_dout_3_1_cry_15)) + (portRef (member DI 1) (instanceRef un1_dout_3_1_cry_15)) + (portRef (member DI 2) (instanceRef un1_dout_3_1_cry_15)) + (portRef (member DI 3) (instanceRef un1_dout_3_1_cry_15)) + (portRef (member DI 0) (instanceRef un1_dout_3_1_cry_11)) + (portRef (member DI 1) (instanceRef un1_dout_3_1_cry_11)) + (portRef (member DI 2) (instanceRef un1_dout_3_1_cry_11)) + (portRef (member DI 3) (instanceRef un1_dout_3_1_cry_11)) + (portRef (member DI 0) (instanceRef un1_dout_3_1_cry_7)) + (portRef (member DI 1) (instanceRef un1_dout_3_1_cry_7)) + (portRef (member DI 2) (instanceRef un1_dout_3_1_cry_7)) + (portRef (member DI 3) (instanceRef un1_dout_3_1_cry_7)) + (portRef (member DI 0) (instanceRef un1_dout_3_1_cry_3)) + (portRef (member DI 1) (instanceRef un1_dout_3_1_cry_3)) + (portRef (member DI 2) (instanceRef un1_dout_3_1_cry_3)) + (portRef (member DI 3) (instanceRef un1_dout_3_1_cry_3)) + (portRef I0 (instanceRef un1_dout_3_1_axb_35)) + )) + (net un1_dout_3_1_axb_0 (joined + (portRef O (instanceRef un1_dout_3_1_axb_0_lut6_2_o6)) + (portRef (member S 3) (instanceRef un1_dout_3_1_cry_3)) + )) + (net un1_dout_3_1_axb_2 (joined + (portRef O (instanceRef un1_dout_3_1_axb_0_lut6_2_o5)) + (portRef (member S 1) (instanceRef un1_dout_3_1_cry_3)) + )) + (net (rename dout_3_1 "dout_3[1]") (joined + (portRef (member dout_3 34) (instanceRef inst_iir_3)) + (portRef I0 (instanceRef un1_dout_3_1_axb_1_lut6_2_o6)) + )) + (net (rename dout_3_7 "dout_3[7]") (joined + (portRef (member dout_3 28) (instanceRef inst_iir_3)) + (portRef I0 (instanceRef un1_dout_3_1_axb_1_lut6_2_o5)) + )) + (net un1_dout_3_1_axb_1 (joined + (portRef O (instanceRef un1_dout_3_1_axb_1_lut6_2_o6)) + (portRef (member S 2) (instanceRef un1_dout_3_1_cry_3)) + )) + (net un1_dout_3_1_axb_7 (joined + (portRef O (instanceRef un1_dout_3_1_axb_1_lut6_2_o5)) + (portRef (member S 0) (instanceRef un1_dout_3_1_cry_7)) + )) + (net (rename dout_3_5 "dout_3[5]") (joined + (portRef (member dout_3 30) (instanceRef inst_iir_3)) + (portRef I0 (instanceRef un1_dout_3_1_axb_3_lut6_2_o5)) + )) + (net (rename dout_3_3 "dout_3[3]") (joined + (portRef (member dout_3 32) (instanceRef inst_iir_3)) + (portRef I0 (instanceRef un1_dout_3_1_axb_3_lut6_2_o6)) + )) + (net un1_dout_3_1_axb_3 (joined + (portRef O (instanceRef un1_dout_3_1_axb_3_lut6_2_o6)) + (portRef (member S 0) (instanceRef un1_dout_3_1_cry_3)) + )) + (net un1_dout_3_1_axb_5 (joined + (portRef O (instanceRef un1_dout_3_1_axb_3_lut6_2_o5)) + (portRef (member S 2) (instanceRef un1_dout_3_1_cry_7)) + )) + (net (rename dout_3_4 "dout_3[4]") (joined + (portRef (member dout_3 31) (instanceRef inst_iir_3)) + (portRef I0 (instanceRef un1_dout_3_1_axb_4_lut6_2_o6)) + )) + (net (rename dout_3_6 "dout_3[6]") (joined + (portRef (member dout_3 29) (instanceRef inst_iir_3)) + (portRef I0 (instanceRef un1_dout_3_1_axb_4_lut6_2_o5)) + )) + (net un1_dout_3_1_axb_4 (joined + (portRef O (instanceRef un1_dout_3_1_axb_4_lut6_2_o6)) + (portRef (member S 3) (instanceRef un1_dout_3_1_cry_7)) + )) + (net un1_dout_3_1_axb_6 (joined + (portRef O (instanceRef un1_dout_3_1_axb_4_lut6_2_o5)) + (portRef (member S 1) (instanceRef un1_dout_3_1_cry_7)) + )) + (net (rename dout_3_10 "dout_3[10]") (joined + (portRef (member dout_3 25) (instanceRef inst_iir_3)) + (portRef I0 (instanceRef un1_dout_3_1_axb_8_lut6_2_o5)) + )) + (net (rename dout_3_8 "dout_3[8]") (joined + (portRef (member dout_3 27) (instanceRef inst_iir_3)) + (portRef I0 (instanceRef un1_dout_3_1_axb_8_lut6_2_o6)) + )) + (net un1_dout_3_1_axb_8 (joined + (portRef O (instanceRef un1_dout_3_1_axb_8_lut6_2_o6)) + (portRef (member S 3) (instanceRef un1_dout_3_1_cry_11)) + )) + (net un1_dout_3_1_axb_10 (joined + (portRef O (instanceRef un1_dout_3_1_axb_8_lut6_2_o5)) + (portRef (member S 1) (instanceRef un1_dout_3_1_cry_11)) + )) + (net (rename dout_3_9 "dout_3[9]") (joined + (portRef (member dout_3 26) (instanceRef inst_iir_3)) + (portRef I0 (instanceRef un1_dout_3_1_axb_9_lut6_2_o6)) + )) + (net (rename dout_3_11 "dout_3[11]") (joined + (portRef (member dout_3 24) (instanceRef inst_iir_3)) + (portRef I0 (instanceRef un1_dout_3_1_axb_9_lut6_2_o5)) + )) + (net un1_dout_3_1_axb_9 (joined + (portRef O (instanceRef un1_dout_3_1_axb_9_lut6_2_o6)) + (portRef (member S 2) (instanceRef un1_dout_3_1_cry_11)) + )) + (net un1_dout_3_1_axb_11 (joined + (portRef O (instanceRef un1_dout_3_1_axb_9_lut6_2_o5)) + (portRef (member S 0) (instanceRef un1_dout_3_1_cry_11)) + )) + (net (rename dout_3_12 "dout_3[12]") (joined + (portRef (member dout_3 23) (instanceRef inst_iir_3)) + (portRef I0 (instanceRef un1_dout_3_1_axb_12_lut6_2_o6)) + )) + (net (rename dout_3_14 "dout_3[14]") (joined + (portRef (member dout_3 21) (instanceRef inst_iir_3)) + (portRef I0 (instanceRef un1_dout_3_1_axb_12_lut6_2_o5)) + )) + (net un1_dout_3_1_axb_12 (joined + (portRef O (instanceRef un1_dout_3_1_axb_12_lut6_2_o6)) + (portRef (member S 3) (instanceRef un1_dout_3_1_cry_15)) + )) + (net un1_dout_3_1_axb_14 (joined + (portRef O (instanceRef un1_dout_3_1_axb_12_lut6_2_o5)) + (portRef (member S 1) (instanceRef un1_dout_3_1_cry_15)) + )) + (net (rename dout_3_13 "dout_3[13]") (joined + (portRef (member dout_3 22) (instanceRef inst_iir_3)) + (portRef I0 (instanceRef un1_dout_3_1_axb_13_lut6_2_o6)) + )) + (net (rename dout_3_15 "dout_3[15]") (joined + (portRef (member dout_3 20) (instanceRef inst_iir_3)) + (portRef I0 (instanceRef un1_dout_3_1_axb_13_lut6_2_o5)) + )) + (net un1_dout_3_1_axb_13 (joined + (portRef O (instanceRef un1_dout_3_1_axb_13_lut6_2_o6)) + (portRef (member S 2) (instanceRef un1_dout_3_1_cry_15)) + )) + (net un1_dout_3_1_axb_15 (joined + (portRef O (instanceRef un1_dout_3_1_axb_13_lut6_2_o5)) + (portRef (member S 0) (instanceRef un1_dout_3_1_cry_15)) + )) + (net (rename dout_3_16 "dout_3[16]") (joined + (portRef (member dout_3 19) (instanceRef inst_iir_3)) + (portRef I0 (instanceRef un1_dout_3_1_axb_16_lut6_2_o6)) + )) + (net (rename dout_3_18 "dout_3[18]") (joined + (portRef (member dout_3 17) (instanceRef inst_iir_3)) + (portRef I0 (instanceRef un1_dout_3_1_axb_16_lut6_2_o5)) + )) + (net un1_dout_3_1_axb_16 (joined + (portRef O (instanceRef un1_dout_3_1_axb_16_lut6_2_o6)) + (portRef (member S 3) (instanceRef un1_dout_3_1_cry_19)) + )) + (net un1_dout_3_1_axb_18 (joined + (portRef O (instanceRef un1_dout_3_1_axb_16_lut6_2_o5)) + (portRef (member S 1) (instanceRef un1_dout_3_1_cry_19)) + )) + (net (rename dout_3_17 "dout_3[17]") (joined + (portRef (member dout_3 18) (instanceRef inst_iir_3)) + (portRef I0 (instanceRef un1_dout_3_1_axb_17_lut6_2_o6)) + )) + (net (rename dout_3_19 "dout_3[19]") (joined + (portRef (member dout_3 16) (instanceRef inst_iir_3)) + (portRef I0 (instanceRef un1_dout_3_1_axb_17_lut6_2_o5)) + (portRef (member DI 0) (instanceRef un1_dout_3_1_cry_19)) + )) + (net un1_dout_3_1_axb_17 (joined + (portRef O (instanceRef un1_dout_3_1_axb_17_lut6_2_o6)) + (portRef (member S 2) (instanceRef un1_dout_3_1_cry_19)) + )) + (net un1_dout_3_1_axb_19 (joined + (portRef O (instanceRef un1_dout_3_1_axb_17_lut6_2_o5)) + (portRef (member S 0) (instanceRef un1_dout_3_1_cry_19)) + )) + (net (rename dout_4_7 "dout_4[7]") (joined + (portRef (member dout_4 28) (instanceRef inst_iir_4)) + (portRef I0 (instanceRef un1_dout_4_1_axb_0_lut6_2_o5)) + )) + (net (rename dout_4_35 "dout_4[35]") (joined + (portRef (member dout_4 0) (instanceRef inst_iir_4)) + (portRef I1 (instanceRef un1_dout_4_1_axb_0_lut6_2_o5)) + (portRef I0 (instanceRef un1_dout_4_1_axb_0_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_4_1_axb_1_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_4_1_axb_1_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_4_1_axb_2_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_4_1_axb_2_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_4_1_axb_3_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_4_1_axb_3_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_4_1_axb_4_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_4_1_axb_4_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_4_1_axb_5_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_4_1_axb_5_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_4_1_axb_6_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_4_1_axb_6_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_4_1_axb_8_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_4_1_axb_8_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_4_1_axb_16_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_4_1_axb_16_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_4_1_axb_17_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_4_1_axb_17_lut6_2_o6)) + (portRef (member DI 1) (instanceRef un1_dout_4_1_cry_19)) + (portRef (member DI 2) (instanceRef un1_dout_4_1_cry_19)) + (portRef (member DI 3) (instanceRef un1_dout_4_1_cry_19)) + (portRef (member DI 0) (instanceRef un1_dout_4_1_cry_15)) + (portRef (member DI 1) (instanceRef un1_dout_4_1_cry_15)) + (portRef (member DI 2) (instanceRef un1_dout_4_1_cry_15)) + (portRef (member DI 3) (instanceRef un1_dout_4_1_cry_15)) + (portRef (member DI 0) (instanceRef un1_dout_4_1_cry_11)) + (portRef (member DI 1) (instanceRef un1_dout_4_1_cry_11)) + (portRef (member DI 2) (instanceRef un1_dout_4_1_cry_11)) + (portRef (member DI 3) (instanceRef un1_dout_4_1_cry_11)) + (portRef (member DI 0) (instanceRef un1_dout_4_1_cry_7)) + (portRef (member DI 1) (instanceRef un1_dout_4_1_cry_7)) + (portRef (member DI 2) (instanceRef un1_dout_4_1_cry_7)) + (portRef (member DI 3) (instanceRef un1_dout_4_1_cry_7)) + (portRef (member DI 0) (instanceRef un1_dout_4_1_cry_3)) + (portRef (member DI 1) (instanceRef un1_dout_4_1_cry_3)) + (portRef (member DI 2) (instanceRef un1_dout_4_1_cry_3)) + (portRef (member DI 3) (instanceRef un1_dout_4_1_cry_3)) + (portRef I0 (instanceRef un1_dout_4_1_axb_35)) + )) + (net (rename dout_4_0 "dout_4[0]") (joined + (portRef (member dout_4 35) (instanceRef inst_iir_4)) + (portRef I1 (instanceRef un1_dout_4_1_axb_0_lut6_2_o6)) + )) + (net un1_dout_4_1_axb_0 (joined + (portRef O (instanceRef un1_dout_4_1_axb_0_lut6_2_o6)) + (portRef (member S 3) (instanceRef un1_dout_4_1_cry_3)) + )) + (net un1_dout_4_1_axb_7 (joined + (portRef O (instanceRef un1_dout_4_1_axb_0_lut6_2_o5)) + (portRef (member S 0) (instanceRef un1_dout_4_1_cry_7)) + )) + (net (rename dout_4_1 "dout_4[1]") (joined + (portRef (member dout_4 34) (instanceRef inst_iir_4)) + (portRef I0 (instanceRef un1_dout_4_1_axb_1_lut6_2_o6)) + )) + (net (rename dout_4_15 "dout_4[15]") (joined + (portRef (member dout_4 20) (instanceRef inst_iir_4)) + (portRef I0 (instanceRef un1_dout_4_1_axb_1_lut6_2_o5)) + )) + (net un1_dout_4_1_axb_1 (joined + (portRef O (instanceRef un1_dout_4_1_axb_1_lut6_2_o6)) + (portRef (member S 2) (instanceRef un1_dout_4_1_cry_3)) + )) + (net un1_dout_4_1_axb_15 (joined + (portRef O (instanceRef un1_dout_4_1_axb_1_lut6_2_o5)) + (portRef (member S 0) (instanceRef un1_dout_4_1_cry_15)) + )) + (net (rename dout_4_2 "dout_4[2]") (joined + (portRef (member dout_4 33) (instanceRef inst_iir_4)) + (portRef I0 (instanceRef un1_dout_4_1_axb_2_lut6_2_o6)) + )) + (net (rename dout_4_14 "dout_4[14]") (joined + (portRef (member dout_4 21) (instanceRef inst_iir_4)) + (portRef I0 (instanceRef un1_dout_4_1_axb_2_lut6_2_o5)) + )) + (net un1_dout_4_1_axb_2 (joined + (portRef O (instanceRef un1_dout_4_1_axb_2_lut6_2_o6)) + (portRef (member S 1) (instanceRef un1_dout_4_1_cry_3)) + )) + (net un1_dout_4_1_axb_14 (joined + (portRef O (instanceRef un1_dout_4_1_axb_2_lut6_2_o5)) + (portRef (member S 1) (instanceRef un1_dout_4_1_cry_15)) + )) + (net (rename dout_4_13 "dout_4[13]") (joined + (portRef (member dout_4 22) (instanceRef inst_iir_4)) + (portRef I0 (instanceRef un1_dout_4_1_axb_3_lut6_2_o5)) + )) + (net (rename dout_4_3 "dout_4[3]") (joined + (portRef (member dout_4 32) (instanceRef inst_iir_4)) + (portRef I0 (instanceRef un1_dout_4_1_axb_3_lut6_2_o6)) + )) + (net un1_dout_4_1_axb_3 (joined + (portRef O (instanceRef un1_dout_4_1_axb_3_lut6_2_o6)) + (portRef (member S 0) (instanceRef un1_dout_4_1_cry_3)) + )) + (net un1_dout_4_1_axb_13 (joined + (portRef O (instanceRef un1_dout_4_1_axb_3_lut6_2_o5)) + (portRef (member S 2) (instanceRef un1_dout_4_1_cry_15)) + )) + (net (rename dout_4_12 "dout_4[12]") (joined + (portRef (member dout_4 23) (instanceRef inst_iir_4)) + (portRef I0 (instanceRef un1_dout_4_1_axb_4_lut6_2_o5)) + )) + (net (rename dout_4_4 "dout_4[4]") (joined + (portRef (member dout_4 31) (instanceRef inst_iir_4)) + (portRef I0 (instanceRef un1_dout_4_1_axb_4_lut6_2_o6)) + )) + (net un1_dout_4_1_axb_4 (joined + (portRef O (instanceRef un1_dout_4_1_axb_4_lut6_2_o6)) + (portRef (member S 3) (instanceRef un1_dout_4_1_cry_7)) + )) + (net un1_dout_4_1_axb_12 (joined + (portRef O (instanceRef un1_dout_4_1_axb_4_lut6_2_o5)) + (portRef (member S 3) (instanceRef un1_dout_4_1_cry_15)) + )) + (net (rename dout_4_5 "dout_4[5]") (joined + (portRef (member dout_4 30) (instanceRef inst_iir_4)) + (portRef I0 (instanceRef un1_dout_4_1_axb_5_lut6_2_o6)) + )) + (net (rename dout_4_11 "dout_4[11]") (joined + (portRef (member dout_4 24) (instanceRef inst_iir_4)) + (portRef I0 (instanceRef un1_dout_4_1_axb_5_lut6_2_o5)) + )) + (net un1_dout_4_1_axb_5 (joined + (portRef O (instanceRef un1_dout_4_1_axb_5_lut6_2_o6)) + (portRef (member S 2) (instanceRef un1_dout_4_1_cry_7)) + )) + (net un1_dout_4_1_axb_11 (joined + (portRef O (instanceRef un1_dout_4_1_axb_5_lut6_2_o5)) + (portRef (member S 0) (instanceRef un1_dout_4_1_cry_11)) + )) + (net (rename dout_4_6 "dout_4[6]") (joined + (portRef (member dout_4 29) (instanceRef inst_iir_4)) + (portRef I0 (instanceRef un1_dout_4_1_axb_6_lut6_2_o6)) + )) + (net (rename dout_4_10 "dout_4[10]") (joined + (portRef (member dout_4 25) (instanceRef inst_iir_4)) + (portRef I0 (instanceRef un1_dout_4_1_axb_6_lut6_2_o5)) + )) + (net un1_dout_4_1_axb_6 (joined + (portRef O (instanceRef un1_dout_4_1_axb_6_lut6_2_o6)) + (portRef (member S 1) (instanceRef un1_dout_4_1_cry_7)) + )) + (net un1_dout_4_1_axb_10 (joined + (portRef O (instanceRef un1_dout_4_1_axb_6_lut6_2_o5)) + (portRef (member S 1) (instanceRef un1_dout_4_1_cry_11)) + )) + (net (rename dout_4_8 "dout_4[8]") (joined + (portRef (member dout_4 27) (instanceRef inst_iir_4)) + (portRef I0 (instanceRef un1_dout_4_1_axb_8_lut6_2_o6)) + )) + (net (rename dout_4_9 "dout_4[9]") (joined + (portRef (member dout_4 26) (instanceRef inst_iir_4)) + (portRef I0 (instanceRef un1_dout_4_1_axb_8_lut6_2_o5)) + )) + (net un1_dout_4_1_axb_8 (joined + (portRef O (instanceRef un1_dout_4_1_axb_8_lut6_2_o6)) + (portRef (member S 3) (instanceRef un1_dout_4_1_cry_11)) + )) + (net un1_dout_4_1_axb_9 (joined + (portRef O (instanceRef un1_dout_4_1_axb_8_lut6_2_o5)) + (portRef (member S 2) (instanceRef un1_dout_4_1_cry_11)) + )) + (net (rename dout_4_16 "dout_4[16]") (joined + (portRef (member dout_4 19) (instanceRef inst_iir_4)) + (portRef I0 (instanceRef un1_dout_4_1_axb_16_lut6_2_o6)) + )) + (net (rename dout_4_18 "dout_4[18]") (joined + (portRef (member dout_4 17) (instanceRef inst_iir_4)) + (portRef I0 (instanceRef un1_dout_4_1_axb_16_lut6_2_o5)) + )) + (net un1_dout_4_1_axb_16 (joined + (portRef O (instanceRef un1_dout_4_1_axb_16_lut6_2_o6)) + (portRef (member S 3) (instanceRef un1_dout_4_1_cry_19)) + )) + (net un1_dout_4_1_axb_18 (joined + (portRef O (instanceRef un1_dout_4_1_axb_16_lut6_2_o5)) + (portRef (member S 1) (instanceRef un1_dout_4_1_cry_19)) + )) + (net (rename dout_4_17 "dout_4[17]") (joined + (portRef (member dout_4 18) (instanceRef inst_iir_4)) + (portRef I0 (instanceRef un1_dout_4_1_axb_17_lut6_2_o6)) + )) + (net (rename dout_4_19 "dout_4[19]") (joined + (portRef (member dout_4 16) (instanceRef inst_iir_4)) + (portRef I0 (instanceRef un1_dout_4_1_axb_17_lut6_2_o5)) + (portRef (member DI 0) (instanceRef un1_dout_4_1_cry_19)) + )) + (net un1_dout_4_1_axb_17 (joined + (portRef O (instanceRef un1_dout_4_1_axb_17_lut6_2_o6)) + (portRef (member S 2) (instanceRef un1_dout_4_1_cry_19)) + )) + (net un1_dout_4_1_axb_19 (joined + (portRef O (instanceRef un1_dout_4_1_axb_17_lut6_2_o5)) + (portRef (member S 0) (instanceRef un1_dout_4_1_cry_19)) + )) + (net (rename dout_5_2 "dout_5[2]") (joined + (portRef (member dout_5 33) (instanceRef inst_iir_5)) + (portRef I0 (instanceRef un1_dout_5_1_axb_0_lut6_2_o5)) + )) + (net (rename dout_5_0 "dout_5[0]") (joined + (portRef (member dout_5 35) (instanceRef inst_iir_5)) + (portRef I0 (instanceRef un1_dout_5_1_axb_0_lut6_2_o6)) + )) + (net (rename dout_5_35 "dout_5[35]") (joined + (portRef (member dout_5 0) (instanceRef inst_iir_5)) + (portRef I1 (instanceRef un1_dout_5_1_axb_0_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_5_1_axb_0_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_5_1_axb_1_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_5_1_axb_1_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_5_1_axb_4_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_5_1_axb_4_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_5_1_axb_5_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_5_1_axb_5_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_5_1_axb_8_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_5_1_axb_8_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_5_1_axb_9_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_5_1_axb_9_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_5_1_axb_12_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_5_1_axb_12_lut6_2_o6)) + (portRef I0 (instanceRef un1_dout_5_1_axb_13_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_5_1_axb_13_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_5_1_axb_16_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_5_1_axb_16_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_5_1_axb_17_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_5_1_axb_17_lut6_2_o6)) + (portRef (member DI 1) (instanceRef un1_dout_5_1_cry_19)) + (portRef (member DI 2) (instanceRef un1_dout_5_1_cry_19)) + (portRef (member DI 3) (instanceRef un1_dout_5_1_cry_19)) + (portRef (member DI 0) (instanceRef un1_dout_5_1_cry_15)) + (portRef (member DI 1) (instanceRef un1_dout_5_1_cry_15)) + (portRef (member DI 2) (instanceRef un1_dout_5_1_cry_15)) + (portRef (member DI 3) (instanceRef un1_dout_5_1_cry_15)) + (portRef (member DI 0) (instanceRef un1_dout_5_1_cry_11)) + (portRef (member DI 1) (instanceRef un1_dout_5_1_cry_11)) + (portRef (member DI 2) (instanceRef un1_dout_5_1_cry_11)) + (portRef (member DI 3) (instanceRef un1_dout_5_1_cry_11)) + (portRef (member DI 0) (instanceRef un1_dout_5_1_cry_7)) + (portRef (member DI 1) (instanceRef un1_dout_5_1_cry_7)) + (portRef (member DI 2) (instanceRef un1_dout_5_1_cry_7)) + (portRef (member DI 3) (instanceRef un1_dout_5_1_cry_7)) + (portRef (member DI 0) (instanceRef un1_dout_5_1_cry_3)) + (portRef (member DI 1) (instanceRef un1_dout_5_1_cry_3)) + (portRef (member DI 2) (instanceRef un1_dout_5_1_cry_3)) + (portRef (member DI 3) (instanceRef un1_dout_5_1_cry_3)) + (portRef I0 (instanceRef un1_dout_5_1_axb_35)) + )) + (net un1_dout_5_1_axb_0 (joined + (portRef O (instanceRef un1_dout_5_1_axb_0_lut6_2_o6)) + (portRef (member S 3) (instanceRef un1_dout_5_1_cry_3)) + )) + (net un1_dout_5_1_axb_2 (joined + (portRef O (instanceRef un1_dout_5_1_axb_0_lut6_2_o5)) + (portRef (member S 1) (instanceRef un1_dout_5_1_cry_3)) + )) + (net (rename dout_5_1 "dout_5[1]") (joined + (portRef (member dout_5 34) (instanceRef inst_iir_5)) + (portRef I0 (instanceRef un1_dout_5_1_axb_1_lut6_2_o6)) + )) + (net (rename dout_5_3 "dout_5[3]") (joined + (portRef (member dout_5 32) (instanceRef inst_iir_5)) + (portRef I0 (instanceRef un1_dout_5_1_axb_1_lut6_2_o5)) + )) + (net un1_dout_5_1_axb_1 (joined + (portRef O (instanceRef un1_dout_5_1_axb_1_lut6_2_o6)) + (portRef (member S 2) (instanceRef un1_dout_5_1_cry_3)) + )) + (net un1_dout_5_1_axb_3 (joined + (portRef O (instanceRef un1_dout_5_1_axb_1_lut6_2_o5)) + (portRef (member S 0) (instanceRef un1_dout_5_1_cry_3)) + )) + (net (rename dout_5_4 "dout_5[4]") (joined + (portRef (member dout_5 31) (instanceRef inst_iir_5)) + (portRef I0 (instanceRef un1_dout_5_1_axb_4_lut6_2_o6)) + )) + (net (rename dout_5_6 "dout_5[6]") (joined + (portRef (member dout_5 29) (instanceRef inst_iir_5)) + (portRef I0 (instanceRef un1_dout_5_1_axb_4_lut6_2_o5)) + )) + (net un1_dout_5_1_axb_4 (joined + (portRef O (instanceRef un1_dout_5_1_axb_4_lut6_2_o6)) + (portRef (member S 3) (instanceRef un1_dout_5_1_cry_7)) + )) + (net un1_dout_5_1_axb_6 (joined + (portRef O (instanceRef un1_dout_5_1_axb_4_lut6_2_o5)) + (portRef (member S 1) (instanceRef un1_dout_5_1_cry_7)) + )) + (net (rename dout_5_5 "dout_5[5]") (joined + (portRef (member dout_5 30) (instanceRef inst_iir_5)) + (portRef I0 (instanceRef un1_dout_5_1_axb_5_lut6_2_o6)) + )) + (net (rename dout_5_7 "dout_5[7]") (joined + (portRef (member dout_5 28) (instanceRef inst_iir_5)) + (portRef I0 (instanceRef un1_dout_5_1_axb_5_lut6_2_o5)) + )) + (net un1_dout_5_1_axb_5 (joined + (portRef O (instanceRef un1_dout_5_1_axb_5_lut6_2_o6)) + (portRef (member S 2) (instanceRef un1_dout_5_1_cry_7)) + )) + (net un1_dout_5_1_axb_7 (joined + (portRef O (instanceRef un1_dout_5_1_axb_5_lut6_2_o5)) + (portRef (member S 0) (instanceRef un1_dout_5_1_cry_7)) + )) + (net (rename dout_5_8 "dout_5[8]") (joined + (portRef (member dout_5 27) (instanceRef inst_iir_5)) + (portRef I0 (instanceRef un1_dout_5_1_axb_8_lut6_2_o6)) + )) + (net (rename dout_5_10 "dout_5[10]") (joined + (portRef (member dout_5 25) (instanceRef inst_iir_5)) + (portRef I0 (instanceRef un1_dout_5_1_axb_8_lut6_2_o5)) + )) + (net un1_dout_5_1_axb_8 (joined + (portRef O (instanceRef un1_dout_5_1_axb_8_lut6_2_o6)) + (portRef (member S 3) (instanceRef un1_dout_5_1_cry_11)) + )) + (net un1_dout_5_1_axb_10 (joined + (portRef O (instanceRef un1_dout_5_1_axb_8_lut6_2_o5)) + (portRef (member S 1) (instanceRef un1_dout_5_1_cry_11)) + )) + (net (rename dout_5_9 "dout_5[9]") (joined + (portRef (member dout_5 26) (instanceRef inst_iir_5)) + (portRef I0 (instanceRef un1_dout_5_1_axb_9_lut6_2_o6)) + )) + (net (rename dout_5_11 "dout_5[11]") (joined + (portRef (member dout_5 24) (instanceRef inst_iir_5)) + (portRef I0 (instanceRef un1_dout_5_1_axb_9_lut6_2_o5)) + )) + (net un1_dout_5_1_axb_9 (joined + (portRef O (instanceRef un1_dout_5_1_axb_9_lut6_2_o6)) + (portRef (member S 2) (instanceRef un1_dout_5_1_cry_11)) + )) + (net un1_dout_5_1_axb_11 (joined + (portRef O (instanceRef un1_dout_5_1_axb_9_lut6_2_o5)) + (portRef (member S 0) (instanceRef un1_dout_5_1_cry_11)) + )) + (net (rename dout_5_12 "dout_5[12]") (joined + (portRef (member dout_5 23) (instanceRef inst_iir_5)) + (portRef I0 (instanceRef un1_dout_5_1_axb_12_lut6_2_o6)) + )) + (net (rename dout_5_14 "dout_5[14]") (joined + (portRef (member dout_5 21) (instanceRef inst_iir_5)) + (portRef I0 (instanceRef un1_dout_5_1_axb_12_lut6_2_o5)) + )) + (net un1_dout_5_1_axb_12 (joined + (portRef O (instanceRef un1_dout_5_1_axb_12_lut6_2_o6)) + (portRef (member S 3) (instanceRef un1_dout_5_1_cry_15)) + )) + (net un1_dout_5_1_axb_14 (joined + (portRef O (instanceRef un1_dout_5_1_axb_12_lut6_2_o5)) + (portRef (member S 1) (instanceRef un1_dout_5_1_cry_15)) + )) + (net (rename dout_5_13 "dout_5[13]") (joined + (portRef (member dout_5 22) (instanceRef inst_iir_5)) + (portRef I0 (instanceRef un1_dout_5_1_axb_13_lut6_2_o6)) + )) + (net (rename dout_5_15 "dout_5[15]") (joined + (portRef (member dout_5 20) (instanceRef inst_iir_5)) + (portRef I1 (instanceRef un1_dout_5_1_axb_13_lut6_2_o5)) + )) + (net un1_dout_5_1_axb_13 (joined + (portRef O (instanceRef un1_dout_5_1_axb_13_lut6_2_o6)) + (portRef (member S 2) (instanceRef un1_dout_5_1_cry_15)) + )) + (net un1_dout_5_1_axb_15 (joined + (portRef O (instanceRef un1_dout_5_1_axb_13_lut6_2_o5)) + (portRef (member S 0) (instanceRef un1_dout_5_1_cry_15)) + )) + (net (rename dout_5_16 "dout_5[16]") (joined + (portRef (member dout_5 19) (instanceRef inst_iir_5)) + (portRef I0 (instanceRef un1_dout_5_1_axb_16_lut6_2_o6)) + )) + (net (rename dout_5_18 "dout_5[18]") (joined + (portRef (member dout_5 17) (instanceRef inst_iir_5)) + (portRef I0 (instanceRef un1_dout_5_1_axb_16_lut6_2_o5)) + )) + (net un1_dout_5_1_axb_16 (joined + (portRef O (instanceRef un1_dout_5_1_axb_16_lut6_2_o6)) + (portRef (member S 3) (instanceRef un1_dout_5_1_cry_19)) + )) + (net un1_dout_5_1_axb_18 (joined + (portRef O (instanceRef un1_dout_5_1_axb_16_lut6_2_o5)) + (portRef (member S 1) (instanceRef un1_dout_5_1_cry_19)) + )) + (net (rename dout_5_17 "dout_5[17]") (joined + (portRef (member dout_5 18) (instanceRef inst_iir_5)) + (portRef I0 (instanceRef un1_dout_5_1_axb_17_lut6_2_o6)) + )) + (net (rename dout_5_19 "dout_5[19]") (joined + (portRef (member dout_5 16) (instanceRef inst_iir_5)) + (portRef I0 (instanceRef un1_dout_5_1_axb_17_lut6_2_o5)) + (portRef (member DI 0) (instanceRef un1_dout_5_1_cry_19)) + )) + (net un1_dout_5_1_axb_17 (joined + (portRef O (instanceRef un1_dout_5_1_axb_17_lut6_2_o6)) + (portRef (member S 2) (instanceRef un1_dout_5_1_cry_19)) + )) + (net un1_dout_5_1_axb_19 (joined + (portRef O (instanceRef un1_dout_5_1_axb_17_lut6_2_o5)) + (portRef (member S 0) (instanceRef un1_dout_5_1_cry_19)) + )) + (net (rename dout_0_2 "dout_0[2]") (joined + (portRef (member dout_0 33) (instanceRef inst_iir_0)) + (portRef I0 (instanceRef un1_dout_0_1_axb_0_lut6_2_o5)) + )) + (net (rename dout_0_0 "dout_0[0]") (joined + (portRef (member dout_0 35) (instanceRef inst_iir_0)) + (portRef I0 (instanceRef un1_dout_0_1_axb_0_lut6_2_o6)) + )) + (net (rename dout_0_35 "dout_0[35]") (joined + (portRef (member dout_0 0) (instanceRef inst_iir_0)) + (portRef I1 (instanceRef un1_dout_0_1_axb_0_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_0_1_axb_0_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_0_1_axb_1_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_0_1_axb_1_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_0_1_axb_4_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_0_1_axb_4_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_0_1_axb_5_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_0_1_axb_5_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_0_1_axb_8_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_0_1_axb_8_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_0_1_axb_9_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_0_1_axb_9_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_0_1_axb_12_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_0_1_axb_12_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_0_1_axb_13_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_0_1_axb_13_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_0_1_axb_16_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_0_1_axb_16_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_0_1_axb_17_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_0_1_axb_17_lut6_2_o6)) + (portRef (member DI 1) (instanceRef un1_dout_0_1_cry_19)) + (portRef (member DI 2) (instanceRef un1_dout_0_1_cry_19)) + (portRef (member DI 3) (instanceRef un1_dout_0_1_cry_19)) + (portRef (member DI 0) (instanceRef un1_dout_0_1_cry_15)) + (portRef (member DI 1) (instanceRef un1_dout_0_1_cry_15)) + (portRef (member DI 2) (instanceRef un1_dout_0_1_cry_15)) + (portRef (member DI 3) (instanceRef un1_dout_0_1_cry_15)) + (portRef (member DI 0) (instanceRef un1_dout_0_1_cry_11)) + (portRef (member DI 1) (instanceRef un1_dout_0_1_cry_11)) + (portRef (member DI 2) (instanceRef un1_dout_0_1_cry_11)) + (portRef (member DI 3) (instanceRef un1_dout_0_1_cry_11)) + (portRef (member DI 0) (instanceRef un1_dout_0_1_cry_7)) + (portRef (member DI 1) (instanceRef un1_dout_0_1_cry_7)) + (portRef (member DI 2) (instanceRef un1_dout_0_1_cry_7)) + (portRef (member DI 3) (instanceRef un1_dout_0_1_cry_7)) + (portRef (member DI 0) (instanceRef un1_dout_0_1_cry_3)) + (portRef (member DI 1) (instanceRef un1_dout_0_1_cry_3)) + (portRef (member DI 2) (instanceRef un1_dout_0_1_cry_3)) + (portRef (member DI 3) (instanceRef un1_dout_0_1_cry_3)) + (portRef I0 (instanceRef un1_dout_0_1_axb_35)) + )) + (net un1_dout_0_1_axb_0 (joined + (portRef O (instanceRef un1_dout_0_1_axb_0_lut6_2_o6)) + (portRef (member S 3) (instanceRef un1_dout_0_1_cry_3)) + )) + (net un1_dout_0_1_axb_2 (joined + (portRef O (instanceRef un1_dout_0_1_axb_0_lut6_2_o5)) + (portRef (member S 1) (instanceRef un1_dout_0_1_cry_3)) + )) + (net (rename dout_0_1 "dout_0[1]") (joined + (portRef (member dout_0 34) (instanceRef inst_iir_0)) + (portRef I0 (instanceRef un1_dout_0_1_axb_1_lut6_2_o6)) + )) + (net (rename dout_0_3 "dout_0[3]") (joined + (portRef (member dout_0 32) (instanceRef inst_iir_0)) + (portRef I0 (instanceRef un1_dout_0_1_axb_1_lut6_2_o5)) + )) + (net un1_dout_0_1_axb_1 (joined + (portRef O (instanceRef un1_dout_0_1_axb_1_lut6_2_o6)) + (portRef (member S 2) (instanceRef un1_dout_0_1_cry_3)) + )) + (net un1_dout_0_1_axb_3 (joined + (portRef O (instanceRef un1_dout_0_1_axb_1_lut6_2_o5)) + (portRef (member S 0) (instanceRef un1_dout_0_1_cry_3)) + )) + (net (rename dout_0_6 "dout_0[6]") (joined + (portRef (member dout_0 29) (instanceRef inst_iir_0)) + (portRef I0 (instanceRef un1_dout_0_1_axb_4_lut6_2_o5)) + )) + (net (rename dout_0_4 "dout_0[4]") (joined + (portRef (member dout_0 31) (instanceRef inst_iir_0)) + (portRef I0 (instanceRef un1_dout_0_1_axb_4_lut6_2_o6)) + )) + (net un1_dout_0_1_axb_4 (joined + (portRef O (instanceRef un1_dout_0_1_axb_4_lut6_2_o6)) + (portRef (member S 3) (instanceRef un1_dout_0_1_cry_7)) + )) + (net un1_dout_0_1_axb_6 (joined + (portRef O (instanceRef un1_dout_0_1_axb_4_lut6_2_o5)) + (portRef (member S 1) (instanceRef un1_dout_0_1_cry_7)) + )) + (net (rename dout_0_5 "dout_0[5]") (joined + (portRef (member dout_0 30) (instanceRef inst_iir_0)) + (portRef I0 (instanceRef un1_dout_0_1_axb_5_lut6_2_o6)) + )) + (net (rename dout_0_7 "dout_0[7]") (joined + (portRef (member dout_0 28) (instanceRef inst_iir_0)) + (portRef I0 (instanceRef un1_dout_0_1_axb_5_lut6_2_o5)) + )) + (net un1_dout_0_1_axb_5 (joined + (portRef O (instanceRef un1_dout_0_1_axb_5_lut6_2_o6)) + (portRef (member S 2) (instanceRef un1_dout_0_1_cry_7)) + )) + (net un1_dout_0_1_axb_7 (joined + (portRef O (instanceRef un1_dout_0_1_axb_5_lut6_2_o5)) + (portRef (member S 0) (instanceRef un1_dout_0_1_cry_7)) + )) + (net (rename dout_0_10 "dout_0[10]") (joined + (portRef (member dout_0 25) (instanceRef inst_iir_0)) + (portRef I0 (instanceRef un1_dout_0_1_axb_8_lut6_2_o5)) + )) + (net (rename dout_0_8 "dout_0[8]") (joined + (portRef (member dout_0 27) (instanceRef inst_iir_0)) + (portRef I0 (instanceRef un1_dout_0_1_axb_8_lut6_2_o6)) + )) + (net un1_dout_0_1_axb_8 (joined + (portRef O (instanceRef un1_dout_0_1_axb_8_lut6_2_o6)) + (portRef (member S 3) (instanceRef un1_dout_0_1_cry_11)) + )) + (net un1_dout_0_1_axb_10 (joined + (portRef O (instanceRef un1_dout_0_1_axb_8_lut6_2_o5)) + (portRef (member S 1) (instanceRef un1_dout_0_1_cry_11)) + )) + (net (rename dout_0_11 "dout_0[11]") (joined + (portRef (member dout_0 24) (instanceRef inst_iir_0)) + (portRef I0 (instanceRef un1_dout_0_1_axb_9_lut6_2_o5)) + )) + (net (rename dout_0_9 "dout_0[9]") (joined + (portRef (member dout_0 26) (instanceRef inst_iir_0)) + (portRef I0 (instanceRef un1_dout_0_1_axb_9_lut6_2_o6)) + )) + (net un1_dout_0_1_axb_9 (joined + (portRef O (instanceRef un1_dout_0_1_axb_9_lut6_2_o6)) + (portRef (member S 2) (instanceRef un1_dout_0_1_cry_11)) + )) + (net un1_dout_0_1_axb_11 (joined + (portRef O (instanceRef un1_dout_0_1_axb_9_lut6_2_o5)) + (portRef (member S 0) (instanceRef un1_dout_0_1_cry_11)) + )) + (net (rename dout_0_12 "dout_0[12]") (joined + (portRef (member dout_0 23) (instanceRef inst_iir_0)) + (portRef I0 (instanceRef un1_dout_0_1_axb_12_lut6_2_o6)) + )) + (net (rename dout_0_14 "dout_0[14]") (joined + (portRef (member dout_0 21) (instanceRef inst_iir_0)) + (portRef I0 (instanceRef un1_dout_0_1_axb_12_lut6_2_o5)) + )) + (net un1_dout_0_1_axb_12 (joined + (portRef O (instanceRef un1_dout_0_1_axb_12_lut6_2_o6)) + (portRef (member S 3) (instanceRef un1_dout_0_1_cry_15)) + )) + (net un1_dout_0_1_axb_14 (joined + (portRef O (instanceRef un1_dout_0_1_axb_12_lut6_2_o5)) + (portRef (member S 1) (instanceRef un1_dout_0_1_cry_15)) + )) + (net (rename dout_0_15 "dout_0[15]") (joined + (portRef (member dout_0 20) (instanceRef inst_iir_0)) + (portRef I0 (instanceRef un1_dout_0_1_axb_13_lut6_2_o5)) + )) + (net (rename dout_0_13 "dout_0[13]") (joined + (portRef (member dout_0 22) (instanceRef inst_iir_0)) + (portRef I0 (instanceRef un1_dout_0_1_axb_13_lut6_2_o6)) + )) + (net un1_dout_0_1_axb_13 (joined + (portRef O (instanceRef un1_dout_0_1_axb_13_lut6_2_o6)) + (portRef (member S 2) (instanceRef un1_dout_0_1_cry_15)) + )) + (net un1_dout_0_1_axb_15 (joined + (portRef O (instanceRef un1_dout_0_1_axb_13_lut6_2_o5)) + (portRef (member S 0) (instanceRef un1_dout_0_1_cry_15)) + )) + (net (rename dout_0_16 "dout_0[16]") (joined + (portRef (member dout_0 19) (instanceRef inst_iir_0)) + (portRef I0 (instanceRef un1_dout_0_1_axb_16_lut6_2_o6)) + )) + (net (rename dout_0_18 "dout_0[18]") (joined + (portRef (member dout_0 17) (instanceRef inst_iir_0)) + (portRef I0 (instanceRef un1_dout_0_1_axb_16_lut6_2_o5)) + )) + (net un1_dout_0_1_axb_16 (joined + (portRef O (instanceRef un1_dout_0_1_axb_16_lut6_2_o6)) + (portRef (member S 3) (instanceRef un1_dout_0_1_cry_19)) + )) + (net un1_dout_0_1_axb_18 (joined + (portRef O (instanceRef un1_dout_0_1_axb_16_lut6_2_o5)) + (portRef (member S 1) (instanceRef un1_dout_0_1_cry_19)) + )) + (net (rename dout_0_17 "dout_0[17]") (joined + (portRef (member dout_0 18) (instanceRef inst_iir_0)) + (portRef I0 (instanceRef un1_dout_0_1_axb_17_lut6_2_o6)) + )) + (net (rename dout_0_19 "dout_0[19]") (joined + (portRef (member dout_0 16) (instanceRef inst_iir_0)) + (portRef I0 (instanceRef un1_dout_0_1_axb_17_lut6_2_o5)) + (portRef (member DI 0) (instanceRef un1_dout_0_1_cry_19)) + )) + (net un1_dout_0_1_axb_17 (joined + (portRef O (instanceRef un1_dout_0_1_axb_17_lut6_2_o6)) + (portRef (member S 2) (instanceRef un1_dout_0_1_cry_19)) + )) + (net un1_dout_0_1_axb_19 (joined + (portRef O (instanceRef un1_dout_0_1_axb_17_lut6_2_o5)) + (portRef (member S 0) (instanceRef un1_dout_0_1_cry_19)) + )) + (net (rename dout_1_0 "dout_1[0]") (joined + (portRef (member dout_1 35) (instanceRef inst_iir_1)) + (portRef I0 (instanceRef un1_dout_1_1_axb_0_lut6_2_o6)) + )) + (net (rename dout_1_14 "dout_1[14]") (joined + (portRef (member dout_1 21) (instanceRef inst_iir_1)) + (portRef I0 (instanceRef un1_dout_1_1_axb_0_lut6_2_o5)) + )) + (net (rename dout_1_35 "dout_1[35]") (joined + (portRef (member dout_1 0) (instanceRef inst_iir_1)) + (portRef I1 (instanceRef un1_dout_1_1_axb_0_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_1_1_axb_0_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_1_1_axb_1_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_1_1_axb_1_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_1_1_axb_2_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_1_1_axb_2_lut6_2_o6)) + (portRef I0 (instanceRef un1_dout_1_1_axb_3_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_1_1_axb_3_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_1_1_axb_4_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_1_1_axb_4_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_1_1_axb_6_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_1_1_axb_6_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_1_1_axb_7_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_1_1_axb_7_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_1_1_axb_8_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_1_1_axb_8_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_1_1_axb_16_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_1_1_axb_16_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_1_1_axb_17_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_1_1_axb_17_lut6_2_o6)) + (portRef (member DI 1) (instanceRef un1_dout_1_1_cry_19)) + (portRef (member DI 2) (instanceRef un1_dout_1_1_cry_19)) + (portRef (member DI 3) (instanceRef un1_dout_1_1_cry_19)) + (portRef (member DI 0) (instanceRef un1_dout_1_1_cry_15)) + (portRef (member DI 1) (instanceRef un1_dout_1_1_cry_15)) + (portRef (member DI 2) (instanceRef un1_dout_1_1_cry_15)) + (portRef (member DI 3) (instanceRef un1_dout_1_1_cry_15)) + (portRef (member DI 0) (instanceRef un1_dout_1_1_cry_11)) + (portRef (member DI 1) (instanceRef un1_dout_1_1_cry_11)) + (portRef (member DI 2) (instanceRef un1_dout_1_1_cry_11)) + (portRef (member DI 3) (instanceRef un1_dout_1_1_cry_11)) + (portRef (member DI 0) (instanceRef un1_dout_1_1_cry_7)) + (portRef (member DI 1) (instanceRef un1_dout_1_1_cry_7)) + (portRef (member DI 2) (instanceRef un1_dout_1_1_cry_7)) + (portRef (member DI 3) (instanceRef un1_dout_1_1_cry_7)) + (portRef (member DI 0) (instanceRef un1_dout_1_1_cry_3)) + (portRef (member DI 1) (instanceRef un1_dout_1_1_cry_3)) + (portRef (member DI 2) (instanceRef un1_dout_1_1_cry_3)) + (portRef (member DI 3) (instanceRef un1_dout_1_1_cry_3)) + (portRef I0 (instanceRef un1_dout_1_1_axb_35)) + )) + (net un1_dout_1_1_axb_0 (joined + (portRef O (instanceRef un1_dout_1_1_axb_0_lut6_2_o6)) + (portRef (member S 3) (instanceRef un1_dout_1_1_cry_3)) + )) + (net un1_dout_1_1_axb_14 (joined + (portRef O (instanceRef un1_dout_1_1_axb_0_lut6_2_o5)) + (portRef (member S 1) (instanceRef un1_dout_1_1_cry_15)) + )) + (net (rename dout_1_1 "dout_1[1]") (joined + (portRef (member dout_1 34) (instanceRef inst_iir_1)) + (portRef I0 (instanceRef un1_dout_1_1_axb_1_lut6_2_o6)) + )) + (net (rename dout_1_13 "dout_1[13]") (joined + (portRef (member dout_1 22) (instanceRef inst_iir_1)) + (portRef I0 (instanceRef un1_dout_1_1_axb_1_lut6_2_o5)) + )) + (net un1_dout_1_1_axb_1 (joined + (portRef O (instanceRef un1_dout_1_1_axb_1_lut6_2_o6)) + (portRef (member S 2) (instanceRef un1_dout_1_1_cry_3)) + )) + (net un1_dout_1_1_axb_13 (joined + (portRef O (instanceRef un1_dout_1_1_axb_1_lut6_2_o5)) + (portRef (member S 2) (instanceRef un1_dout_1_1_cry_15)) + )) + (net (rename dout_1_12 "dout_1[12]") (joined + (portRef (member dout_1 23) (instanceRef inst_iir_1)) + (portRef I0 (instanceRef un1_dout_1_1_axb_2_lut6_2_o5)) + )) + (net (rename dout_1_2 "dout_1[2]") (joined + (portRef (member dout_1 33) (instanceRef inst_iir_1)) + (portRef I0 (instanceRef un1_dout_1_1_axb_2_lut6_2_o6)) + )) + (net un1_dout_1_1_axb_2 (joined + (portRef O (instanceRef un1_dout_1_1_axb_2_lut6_2_o6)) + (portRef (member S 1) (instanceRef un1_dout_1_1_cry_3)) + )) + (net un1_dout_1_1_axb_12 (joined + (portRef O (instanceRef un1_dout_1_1_axb_2_lut6_2_o5)) + (portRef (member S 3) (instanceRef un1_dout_1_1_cry_15)) + )) + (net (rename dout_1_3 "dout_1[3]") (joined + (portRef (member dout_1 32) (instanceRef inst_iir_1)) + (portRef I0 (instanceRef un1_dout_1_1_axb_3_lut6_2_o6)) + )) + (net (rename dout_1_5 "dout_1[5]") (joined + (portRef (member dout_1 30) (instanceRef inst_iir_1)) + (portRef I1 (instanceRef un1_dout_1_1_axb_3_lut6_2_o5)) + )) + (net un1_dout_1_1_axb_3 (joined + (portRef O (instanceRef un1_dout_1_1_axb_3_lut6_2_o6)) + (portRef (member S 0) (instanceRef un1_dout_1_1_cry_3)) + )) + (net un1_dout_1_1_axb_5 (joined + (portRef O (instanceRef un1_dout_1_1_axb_3_lut6_2_o5)) + (portRef (member S 2) (instanceRef un1_dout_1_1_cry_7)) + )) + (net (rename dout_1_4 "dout_1[4]") (joined + (portRef (member dout_1 31) (instanceRef inst_iir_1)) + (portRef I0 (instanceRef un1_dout_1_1_axb_4_lut6_2_o6)) + )) + (net (rename dout_1_15 "dout_1[15]") (joined + (portRef (member dout_1 20) (instanceRef inst_iir_1)) + (portRef I0 (instanceRef un1_dout_1_1_axb_4_lut6_2_o5)) + )) + (net un1_dout_1_1_axb_4 (joined + (portRef O (instanceRef un1_dout_1_1_axb_4_lut6_2_o6)) + (portRef (member S 3) (instanceRef un1_dout_1_1_cry_7)) + )) + (net un1_dout_1_1_axb_15 (joined + (portRef O (instanceRef un1_dout_1_1_axb_4_lut6_2_o5)) + (portRef (member S 0) (instanceRef un1_dout_1_1_cry_15)) + )) + (net (rename dout_1_6 "dout_1[6]") (joined + (portRef (member dout_1 29) (instanceRef inst_iir_1)) + (portRef I0 (instanceRef un1_dout_1_1_axb_6_lut6_2_o6)) + )) + (net (rename dout_1_11 "dout_1[11]") (joined + (portRef (member dout_1 24) (instanceRef inst_iir_1)) + (portRef I0 (instanceRef un1_dout_1_1_axb_6_lut6_2_o5)) + )) + (net un1_dout_1_1_axb_6 (joined + (portRef O (instanceRef un1_dout_1_1_axb_6_lut6_2_o6)) + (portRef (member S 1) (instanceRef un1_dout_1_1_cry_7)) + )) + (net un1_dout_1_1_axb_11 (joined + (portRef O (instanceRef un1_dout_1_1_axb_6_lut6_2_o5)) + (portRef (member S 0) (instanceRef un1_dout_1_1_cry_11)) + )) + (net (rename dout_1_10 "dout_1[10]") (joined + (portRef (member dout_1 25) (instanceRef inst_iir_1)) + (portRef I0 (instanceRef un1_dout_1_1_axb_7_lut6_2_o5)) + )) + (net (rename dout_1_7 "dout_1[7]") (joined + (portRef (member dout_1 28) (instanceRef inst_iir_1)) + (portRef I0 (instanceRef un1_dout_1_1_axb_7_lut6_2_o6)) + )) + (net un1_dout_1_1_axb_7 (joined + (portRef O (instanceRef un1_dout_1_1_axb_7_lut6_2_o6)) + (portRef (member S 0) (instanceRef un1_dout_1_1_cry_7)) + )) + (net un1_dout_1_1_axb_10 (joined + (portRef O (instanceRef un1_dout_1_1_axb_7_lut6_2_o5)) + (portRef (member S 1) (instanceRef un1_dout_1_1_cry_11)) + )) + (net (rename dout_1_8 "dout_1[8]") (joined + (portRef (member dout_1 27) (instanceRef inst_iir_1)) + (portRef I0 (instanceRef un1_dout_1_1_axb_8_lut6_2_o6)) + )) + (net (rename dout_1_9 "dout_1[9]") (joined + (portRef (member dout_1 26) (instanceRef inst_iir_1)) + (portRef I0 (instanceRef un1_dout_1_1_axb_8_lut6_2_o5)) + )) + (net un1_dout_1_1_axb_8 (joined + (portRef O (instanceRef un1_dout_1_1_axb_8_lut6_2_o6)) + (portRef (member S 3) (instanceRef un1_dout_1_1_cry_11)) + )) + (net un1_dout_1_1_axb_9 (joined + (portRef O (instanceRef un1_dout_1_1_axb_8_lut6_2_o5)) + (portRef (member S 2) (instanceRef un1_dout_1_1_cry_11)) + )) + (net (rename dout_1_16 "dout_1[16]") (joined + (portRef (member dout_1 19) (instanceRef inst_iir_1)) + (portRef I0 (instanceRef un1_dout_1_1_axb_16_lut6_2_o6)) + )) + (net (rename dout_1_18 "dout_1[18]") (joined + (portRef (member dout_1 17) (instanceRef inst_iir_1)) + (portRef I0 (instanceRef un1_dout_1_1_axb_16_lut6_2_o5)) + )) + (net un1_dout_1_1_axb_16 (joined + (portRef O (instanceRef un1_dout_1_1_axb_16_lut6_2_o6)) + (portRef (member S 3) (instanceRef un1_dout_1_1_cry_19)) + )) + (net un1_dout_1_1_axb_18 (joined + (portRef O (instanceRef un1_dout_1_1_axb_16_lut6_2_o5)) + (portRef (member S 1) (instanceRef un1_dout_1_1_cry_19)) + )) + (net (rename dout_1_17 "dout_1[17]") (joined + (portRef (member dout_1 18) (instanceRef inst_iir_1)) + (portRef I0 (instanceRef un1_dout_1_1_axb_17_lut6_2_o6)) + )) + (net (rename dout_1_19 "dout_1[19]") (joined + (portRef (member dout_1 16) (instanceRef inst_iir_1)) + (portRef I0 (instanceRef un1_dout_1_1_axb_17_lut6_2_o5)) + (portRef (member DI 0) (instanceRef un1_dout_1_1_cry_19)) + )) + (net un1_dout_1_1_axb_17 (joined + (portRef O (instanceRef un1_dout_1_1_axb_17_lut6_2_o6)) + (portRef (member S 2) (instanceRef un1_dout_1_1_cry_19)) + )) + (net un1_dout_1_1_axb_19 (joined + (portRef O (instanceRef un1_dout_1_1_axb_17_lut6_2_o5)) + (portRef (member S 0) (instanceRef un1_dout_1_1_cry_19)) + )) + (net (rename din_r4_14_DOUTZ0Z_0 "din_r4_14_DOUT[0]") (joined + (portRef Q (instanceRef din_r4_14_DOUT_0)) + (portRef I0 (instanceRef Ysum_8_0_cry_2_RNO_lut6_2_o6)) + )) + (net (rename din_r4_1_DOUTZ0Z_0 "din_r4_1_DOUT[0]") (joined + (portRef Q (instanceRef din_r4_1_DOUT_0)) + (portRef I0 (instanceRef Ysum_8_0_cry_2_RNO_lut6_2_o5)) + (portRef I1 (instanceRef Ysum_8_0_axb_13)) + )) + (net (rename dout_cut5_r0Z0Z_13 "dout_cut5_r0[13]") (joined + (portRef Q (instanceRef dout_cut5_r0_13)) + (portRef I1 (instanceRef Ysum_8_0_cry_2_RNO_lut6_2_o5)) + (portRef I0 (instanceRef Ysum_8_0_axb_13)) + )) + (net (rename dout_cut4_r0Z0Z_13 "dout_cut4_r0[13]") (joined + (portRef Q (instanceRef dout_cut4_r0_13)) + (portRef I2 (instanceRef Ysum_8_0_cry_2_RNO_lut6_2_o5)) + (portRef I2 (instanceRef Ysum_8_0_axb_13)) + )) + (net (rename din_r4_0 "din_r4[0]") (joined + (portRef O (instanceRef Ysum_8_0_cry_2_RNO_lut6_2_o6)) + (portRef (member S 3) (instanceRef Ysum_8_0_cry_2)) + )) + (net Ysum_8_0_o5_13 (joined + (portRef O (instanceRef Ysum_8_0_cry_2_RNO_lut6_2_o5)) + (portRef (member DI 0) (instanceRef Ysum_8_0_cry_14)) + (portRef I4 (instanceRef Ysum_8_0_axb_14)) + )) + (net (rename Ysum_0_cryZ0Z_15 "Ysum_0_cry_15") (joined + (portRef (member CO 0) (instanceRef Ysum_0_cry_15)) + (portRef I0 (instanceRef Ysum_0_s_16_lut)) + )) + (net (rename Ysum_6_15 "Ysum_6[15]") (joined + (portRef (member O 0) (instanceRef Ysum_6_0_cry_15)) + (portRef I2 (instanceRef Ysum_0_axb_15)) + (portRef I1 (instanceRef Ysum_0_s_16_lut)) + )) + (net (rename Ysum_6_16 "Ysum_6[16]") (joined + (portRef O (instanceRef Ysum_6_0_s_16_lut)) + (portRef I2 (instanceRef Ysum_0_s_16_lut)) + )) + (net (rename Ysum_8_15 "Ysum_8[15]") (joined + (portRef (member O 3) (instanceRef Ysum_8_0_s_16)) + (portRef I3 (instanceRef Ysum_0_axb_15)) + (portRef I3 (instanceRef Ysum_0_s_16_lut)) + )) + (net (rename Ysum_8_16 "Ysum_8[16]") (joined + (portRef (member O 2) (instanceRef Ysum_8_0_s_16)) + (portRef I4 (instanceRef Ysum_0_s_16_lut)) + )) + (net (rename dout_cut0_r0Z0Z_15 "dout_cut0_r0[15]") (joined + (portRef Q (instanceRef dout_cut0_r0_15)) + (portRef I1 (instanceRef Ysum_0_axb_15)) + (portRef I5 (instanceRef Ysum_0_s_16_lut)) + )) + (net (rename Ysum_16 "Ysum[16]") (joined + (portRef O (instanceRef Ysum_0_s_16_lut)) + (portRef I2 (instanceRef dout_r_8_iv_15)) + (portRef I4 (instanceRef dout_r_8_iv_11)) + (portRef I4 (instanceRef dout_r_8_iv_12)) + (portRef I4 (instanceRef dout_r_8_ivZ0Z_13)) + (portRef I4 (instanceRef dout_r_8_iv_14)) + (portRef I4 (instanceRef dout_r_8_iv_6)) + (portRef I4 (instanceRef dout_r_8_iv_7)) + (portRef I4 (instanceRef dout_r_8_iv_8)) + (portRef I4 (instanceRef dout_r_8_iv_9)) + (portRef I4 (instanceRef dout_r_8_ivZ0Z_10)) + (portRef I4 (instanceRef dout_r_8_ivZ0Z_1)) + (portRef I4 (instanceRef dout_r_8_iv_2)) + (portRef I4 (instanceRef dout_r_8_iv_3)) + (portRef I4 (instanceRef dout_r_8_ivZ0Z_4)) + (portRef I4 (instanceRef dout_r_8_iv_5)) + (portRef I4 (instanceRef dout_r_8_iv_0)) + )) + (net (rename Ysum_6_0_cryZ0Z_15 "Ysum_6_0_cry_15") (joined + (portRef (member CO 0) (instanceRef Ysum_6_0_cry_15)) + (portRef I0 (instanceRef Ysum_6_0_s_16_lut)) + )) + (net (rename dout_cut1_r0Z0Z_15 "dout_cut1_r0[15]") (joined + (portRef Q (instanceRef dout_cut1_r0_15)) + (portRef I4 (instanceRef Ysum_6_0_axb_15)) + (portRef I1 (instanceRef Ysum_6_0_s_16_lut)) + )) + (net (rename dout_cut2_r0Z0Z_15 "dout_cut2_r0[15]") (joined + (portRef Q (instanceRef dout_cut2_r0_15)) + (portRef I5 (instanceRef Ysum_6_0_axb_15)) + (portRef I2 (instanceRef Ysum_6_0_s_16_lut)) + )) + (net (rename dout_cut3_r0Z0Z_15 "dout_cut3_r0[15]") (joined + (portRef Q (instanceRef dout_cut3_r0_15)) + (portRef I2 (instanceRef Ysum_6_0_axb_15)) + (portRef I3 (instanceRef Ysum_6_0_s_16_lut)) + )) + (net (rename iir_out_14 "IIR_out_14") (joined + (portRef Q (instanceRef dout_r_0)) + (portRef I1 (instanceRef dout_r_8_iv_13)) + (portRef IIR_out_14) + )) + (net dout_r_8_iv_13_0 (joined + (portRef LO (instanceRef dout_r_8_iv_13)) + (portRef D (instanceRef dout_r_0)) + )) + (net clk (joined + (portRef clk) + (portRef clk (instanceRef inst_iir_0)) + (portRef clk (instanceRef inst_iir_1)) + (portRef clk (instanceRef inst_iir_2)) + (portRef clk (instanceRef inst_iir_3)) + (portRef clk (instanceRef inst_iir_4)) + (portRef clk (instanceRef inst_iir_5)) + (portRef clk (instanceRef inst_diffRe)) + (portRef C (instanceRef dout_r_1)) + (portRef C (instanceRef dout_r_15)) + (portRef C (instanceRef dout_r_14)) + (portRef C (instanceRef dout_r_12)) + (portRef C (instanceRef dout_r_11)) + (portRef C (instanceRef dout_r_10)) + (portRef C (instanceRef dout_r_9)) + (portRef C (instanceRef dout_r_8)) + (portRef C (instanceRef dout_r_6)) + (portRef C (instanceRef dout_r_5)) + (portRef C (instanceRef dout_r_3)) + (portRef C (instanceRef dout_cut5_r0_15)) + (portRef C (instanceRef dout_cut5_r0_14)) + (portRef C (instanceRef dout_cut5_r0_13)) + (portRef C (instanceRef dout_cut5_r0_12)) + (portRef C (instanceRef dout_cut5_r0_11)) + (portRef C (instanceRef dout_cut5_r0_10)) + (portRef C (instanceRef dout_cut5_r0_9)) + (portRef C (instanceRef dout_cut5_r0_8)) + (portRef C (instanceRef dout_cut5_r0_7)) + (portRef C (instanceRef dout_cut5_r0_6)) + (portRef C (instanceRef dout_cut5_r0_5)) + (portRef C (instanceRef dout_cut5_r0_4)) + (portRef C (instanceRef dout_cut5_r0_3)) + (portRef C (instanceRef dout_cut5_r0_2)) + (portRef C (instanceRef dout_cut5_r0_1)) + (portRef C (instanceRef dout_cut5_r0_0)) + (portRef C (instanceRef dout_cut4_r0_15)) + (portRef C (instanceRef dout_cut4_r0_14)) + (portRef C (instanceRef dout_cut4_r0_13)) + (portRef C (instanceRef dout_cut4_r0_12)) + (portRef C (instanceRef dout_cut4_r0_11)) + (portRef C (instanceRef dout_cut4_r0_10)) + (portRef C (instanceRef dout_cut4_r0_9)) + (portRef C (instanceRef dout_cut4_r0_8)) + (portRef C (instanceRef dout_cut4_r0_7)) + (portRef C (instanceRef dout_cut4_r0_6)) + (portRef C (instanceRef dout_cut4_r0_5)) + (portRef C (instanceRef dout_cut4_r0_4)) + (portRef C (instanceRef dout_cut4_r0_3)) + (portRef C (instanceRef dout_cut4_r0_2)) + (portRef C (instanceRef dout_cut4_r0_1)) + (portRef C (instanceRef dout_cut4_r0_0)) + (portRef C (instanceRef dout_cut3_r0_15)) + (portRef C (instanceRef dout_cut3_r0_14)) + (portRef C (instanceRef dout_cut3_r0_13)) + (portRef C (instanceRef dout_cut3_r0_12)) + (portRef C (instanceRef dout_cut3_r0_11)) + (portRef C (instanceRef dout_cut3_r0_10)) + (portRef C (instanceRef dout_cut3_r0_9)) + (portRef C (instanceRef dout_cut3_r0_8)) + (portRef C (instanceRef dout_cut3_r0_7)) + (portRef C (instanceRef dout_cut3_r0_6)) + (portRef C (instanceRef dout_cut3_r0_5)) + (portRef C (instanceRef dout_cut3_r0_4)) + (portRef C (instanceRef dout_cut3_r0_3)) + (portRef C (instanceRef dout_cut3_r0_2)) + (portRef C (instanceRef dout_cut3_r0_1)) + (portRef C (instanceRef dout_cut3_r0_0)) + (portRef C (instanceRef dout_cut2_r0_15)) + (portRef C (instanceRef dout_cut2_r0_14)) + (portRef C (instanceRef dout_cut2_r0_13)) + (portRef C (instanceRef dout_cut2_r0_12)) + (portRef C (instanceRef dout_cut2_r0_11)) + (portRef C (instanceRef dout_cut2_r0_10)) + (portRef C (instanceRef dout_cut2_r0_9)) + (portRef C (instanceRef dout_cut2_r0_8)) + (portRef C (instanceRef dout_cut2_r0_7)) + (portRef C (instanceRef dout_cut2_r0_6)) + (portRef C (instanceRef dout_cut2_r0_5)) + (portRef C (instanceRef dout_cut2_r0_4)) + (portRef C (instanceRef dout_cut2_r0_3)) + (portRef C (instanceRef dout_cut2_r0_2)) + (portRef C (instanceRef dout_cut2_r0_1)) + (portRef C (instanceRef dout_cut2_r0_0)) + (portRef C (instanceRef dout_cut1_r0_15)) + (portRef C (instanceRef dout_cut1_r0_14)) + (portRef C (instanceRef dout_cut1_r0_13)) + (portRef C (instanceRef dout_cut1_r0_12)) + (portRef C (instanceRef dout_cut1_r0_11)) + (portRef C (instanceRef dout_cut1_r0_10)) + (portRef C (instanceRef dout_cut1_r0_9)) + (portRef C (instanceRef dout_cut1_r0_8)) + (portRef C (instanceRef dout_cut1_r0_7)) + (portRef C (instanceRef dout_cut1_r0_6)) + (portRef C (instanceRef dout_cut1_r0_5)) + (portRef C (instanceRef dout_cut1_r0_4)) + (portRef C (instanceRef dout_cut1_r0_3)) + (portRef C (instanceRef dout_cut1_r0_2)) + (portRef C (instanceRef dout_cut1_r0_1)) + (portRef C (instanceRef dout_cut1_r0_0)) + (portRef C (instanceRef dout_cut0_r0_15)) + (portRef C (instanceRef dout_cut0_r0_14)) + (portRef C (instanceRef dout_cut0_r0_13)) + (portRef C (instanceRef dout_cut0_r0_12)) + (portRef C (instanceRef dout_cut0_r0_11)) + (portRef C (instanceRef dout_cut0_r0_10)) + (portRef C (instanceRef dout_cut0_r0_9)) + (portRef C (instanceRef dout_cut0_r0_8)) + (portRef C (instanceRef dout_cut0_r0_7)) + (portRef C (instanceRef dout_cut0_r0_6)) + (portRef C (instanceRef dout_cut0_r0_5)) + (portRef C (instanceRef dout_cut0_r0_4)) + (portRef C (instanceRef dout_cut0_r0_3)) + (portRef C (instanceRef dout_cut0_r0_2)) + (portRef C (instanceRef dout_cut0_r0_1)) + (portRef C (instanceRef dout_cut0_r0_0)) + (portRef C (instanceRef dout_round_5_35)) + (portRef C (instanceRef dout_round_5_34)) + (portRef C (instanceRef dout_round_5_33)) + (portRef C (instanceRef dout_round_5_32)) + (portRef C (instanceRef dout_round_5_31)) + (portRef C (instanceRef dout_round_5_30)) + (portRef C (instanceRef dout_round_5_29)) + (portRef C (instanceRef dout_round_5_28)) + (portRef C (instanceRef dout_round_5_27)) + (portRef C (instanceRef dout_round_5_26)) + (portRef C (instanceRef dout_round_5_25)) + (portRef C (instanceRef dout_round_5_24)) + (portRef C (instanceRef dout_round_5_23)) + (portRef C (instanceRef dout_round_5_22)) + (portRef C (instanceRef dout_round_5_21)) + (portRef C (instanceRef dout_round_5_20)) + (portRef C (instanceRef dout_round_4_35)) + (portRef C (instanceRef dout_round_4_34)) + (portRef C (instanceRef dout_round_4_33)) + (portRef C (instanceRef dout_round_4_32)) + (portRef C (instanceRef dout_round_4_31)) + (portRef C (instanceRef dout_round_4_30)) + (portRef C (instanceRef dout_round_4_29)) + (portRef C (instanceRef dout_round_4_28)) + (portRef C (instanceRef dout_round_4_27)) + (portRef C (instanceRef dout_round_4_26)) + (portRef C (instanceRef dout_round_4_25)) + (portRef C (instanceRef dout_round_4_24)) + (portRef C (instanceRef dout_round_4_23)) + (portRef C (instanceRef dout_round_4_22)) + (portRef C (instanceRef dout_round_4_21)) + (portRef C (instanceRef dout_round_4_20)) + (portRef C (instanceRef dout_round_3_35)) + (portRef C (instanceRef dout_round_3_34)) + (portRef C (instanceRef dout_round_3_33)) + (portRef C (instanceRef dout_round_3_32)) + (portRef C (instanceRef dout_round_3_31)) + (portRef C (instanceRef dout_round_3_30)) + (portRef C (instanceRef dout_round_3_29)) + (portRef C (instanceRef dout_round_3_28)) + (portRef C (instanceRef dout_round_3_27)) + (portRef C (instanceRef dout_round_3_26)) + (portRef C (instanceRef dout_round_3_25)) + (portRef C (instanceRef dout_round_3_24)) + (portRef C (instanceRef dout_round_3_23)) + (portRef C (instanceRef dout_round_3_22)) + (portRef C (instanceRef dout_round_3_21)) + (portRef C (instanceRef dout_round_3_20)) + (portRef C (instanceRef dout_round_2_35)) + (portRef C (instanceRef dout_round_2_34)) + (portRef C (instanceRef dout_round_2_33)) + (portRef C (instanceRef dout_round_2_32)) + (portRef C (instanceRef dout_round_2_31)) + (portRef C (instanceRef dout_round_2_30)) + (portRef C (instanceRef dout_round_2_29)) + (portRef C (instanceRef dout_round_2_28)) + (portRef C (instanceRef dout_round_2_27)) + (portRef C (instanceRef dout_round_2_26)) + (portRef C (instanceRef dout_round_2_25)) + (portRef C (instanceRef dout_round_2_24)) + (portRef C (instanceRef dout_round_2_23)) + (portRef C (instanceRef dout_round_2_22)) + (portRef C (instanceRef dout_round_2_21)) + (portRef C (instanceRef dout_round_2_20)) + (portRef C (instanceRef dout_round_1_35)) + (portRef C (instanceRef dout_round_1_34)) + (portRef C (instanceRef dout_round_1_33)) + (portRef C (instanceRef dout_round_1_32)) + (portRef C (instanceRef dout_round_1_31)) + (portRef C (instanceRef dout_round_1_30)) + (portRef C (instanceRef dout_round_1_29)) + (portRef C (instanceRef dout_round_1_28)) + (portRef C (instanceRef dout_round_1_27)) + (portRef C (instanceRef dout_round_1_26)) + (portRef C (instanceRef dout_round_1_25)) + (portRef C (instanceRef dout_round_1_24)) + (portRef C (instanceRef dout_round_1_23)) + (portRef C (instanceRef dout_round_1_22)) + (portRef C (instanceRef dout_round_1_21)) + (portRef C (instanceRef dout_round_1_20)) + (portRef C (instanceRef dout_round_0_35)) + (portRef C (instanceRef dout_round_0_34)) + (portRef C (instanceRef dout_round_0_33)) + (portRef C (instanceRef dout_round_0_32)) + (portRef C (instanceRef dout_round_0_31)) + (portRef C (instanceRef dout_round_0_30)) + (portRef C (instanceRef dout_round_0_29)) + (portRef C (instanceRef dout_round_0_28)) + (portRef C (instanceRef dout_round_0_27)) + (portRef C (instanceRef dout_round_0_26)) + (portRef C (instanceRef dout_round_0_25)) + (portRef C (instanceRef dout_round_0_24)) + (portRef C (instanceRef dout_round_0_23)) + (portRef C (instanceRef dout_round_0_22)) + (portRef C (instanceRef dout_round_0_21)) + (portRef C (instanceRef dout_round_0_20)) + (portRef C (instanceRef din_r0_0)) + (portRef C (instanceRef din_r1_0)) + (portRef C (instanceRef din_r0_1)) + (portRef C (instanceRef din_r1_1)) + (portRef C (instanceRef din_r0_2)) + (portRef C (instanceRef din_r1_2)) + (portRef C (instanceRef din_r0_3)) + (portRef C (instanceRef din_r1_3)) + (portRef C (instanceRef din_r0_4)) + (portRef C (instanceRef din_r1_4)) + (portRef C (instanceRef din_r0_5)) + (portRef C (instanceRef din_r1_5)) + (portRef C (instanceRef din_r0_6)) + (portRef C (instanceRef din_r1_6)) + (portRef C (instanceRef din_r0_7)) + (portRef C (instanceRef din_r1_7)) + (portRef C (instanceRef din_r0_8)) + (portRef C (instanceRef din_r1_8)) + (portRef C (instanceRef din_r0_9)) + (portRef C (instanceRef din_r1_9)) + (portRef C (instanceRef din_r0_10)) + (portRef C (instanceRef din_r1_10)) + (portRef C (instanceRef din_r0_11)) + (portRef C (instanceRef din_r1_11)) + (portRef C (instanceRef din_r0_12)) + (portRef C (instanceRef din_r1_12)) + (portRef C (instanceRef din_r0_13)) + (portRef C (instanceRef din_r1_13)) + (portRef C (instanceRef din_r0_14)) + (portRef C (instanceRef din_r1_14)) + (portRef C (instanceRef din_r0_15)) + (portRef C (instanceRef din_r1_15)) + (portRef C (instanceRef din_r4_DOUT_0)) + (portRef C (instanceRef din_r4_0_DOUT_0)) + (portRef C (instanceRef din_r4_1_DOUT_0)) + (portRef C (instanceRef din_r4_2_DOUT_0)) + (portRef C (instanceRef din_r4_3_DOUT_0)) + (portRef C (instanceRef din_r4_4_DOUT_0)) + (portRef C (instanceRef din_r4_5_DOUT_0)) + (portRef C (instanceRef din_r4_6_DOUT_0)) + (portRef C (instanceRef din_r4_7_DOUT_0)) + (portRef C (instanceRef din_r4_8_DOUT_0)) + (portRef C (instanceRef din_r4_9_DOUT_0)) + (portRef C (instanceRef din_r4_10_DOUT_0)) + (portRef C (instanceRef din_r4_11_DOUT_0)) + (portRef C (instanceRef din_r4_12_DOUT_0)) + (portRef C (instanceRef din_r4_13_DOUT_0)) + (portRef C (instanceRef din_r4_14_DOUT_0)) + (portRef CLK (instanceRef din_r4_inst_TailCorr_top_din_r4_1)) + (portRef CLK (instanceRef din_r4_0_inst_TailCorr_top_din_r4_1)) + (portRef CLK (instanceRef din_r4_1_inst_TailCorr_top_din_r4_1)) + (portRef CLK (instanceRef din_r4_2_inst_TailCorr_top_din_r4_1)) + (portRef CLK (instanceRef din_r4_3_inst_TailCorr_top_din_r4_1)) + (portRef CLK (instanceRef din_r4_4_inst_TailCorr_top_din_r4_1)) + (portRef CLK (instanceRef din_r4_5_inst_TailCorr_top_din_r4_1)) + (portRef CLK (instanceRef din_r4_6_inst_TailCorr_top_din_r4_1)) + (portRef CLK (instanceRef din_r4_7_inst_TailCorr_top_din_r4_1)) + (portRef CLK (instanceRef din_r4_8_inst_TailCorr_top_din_r4_1)) + (portRef CLK (instanceRef din_r4_9_inst_TailCorr_top_din_r4_1)) + (portRef CLK (instanceRef din_r4_10_inst_TailCorr_top_din_r4_1)) + (portRef CLK (instanceRef din_r4_11_inst_TailCorr_top_din_r4_1)) + (portRef CLK (instanceRef din_r4_12_inst_TailCorr_top_din_r4_1)) + (portRef CLK (instanceRef din_r4_13_inst_TailCorr_top_din_r4_1)) + (portRef CLK (instanceRef din_r4_14_inst_TailCorr_top_din_r4_1)) + (portRef C (instanceRef dout_r_2)) + (portRef C (instanceRef dout_r_4)) + (portRef C (instanceRef dout_r_7)) + (portRef C (instanceRef dout_r_13)) + (portRef C (instanceRef dout_r_0)) + )) + (net rstn_i (joined + (portRef rstn_i) + (portRef rstn_i (instanceRef inst_iir_0)) + (portRef rstn_i (instanceRef inst_iir_1)) + (portRef rstn_i (instanceRef inst_iir_2)) + (portRef rstn_i (instanceRef inst_iir_3)) + (portRef rstn_i (instanceRef inst_iir_4)) + (portRef rstn_i (instanceRef inst_iir_5)) + (portRef rstn_i (instanceRef inst_diffRe)) + (portRef CLR (instanceRef dout_r_1)) + (portRef CLR (instanceRef dout_r_15)) + (portRef CLR (instanceRef dout_r_14)) + (portRef CLR (instanceRef dout_r_12)) + (portRef CLR (instanceRef dout_r_11)) + (portRef CLR (instanceRef dout_r_10)) + (portRef CLR (instanceRef dout_r_9)) + (portRef CLR (instanceRef dout_r_8)) + (portRef CLR (instanceRef dout_r_6)) + (portRef CLR (instanceRef dout_r_5)) + (portRef CLR (instanceRef dout_r_3)) + (portRef CLR (instanceRef dout_cut5_r0_15)) + (portRef CLR (instanceRef dout_cut5_r0_14)) + (portRef CLR (instanceRef dout_cut5_r0_13)) + (portRef CLR (instanceRef dout_cut5_r0_12)) + (portRef CLR (instanceRef dout_cut5_r0_11)) + (portRef CLR (instanceRef dout_cut5_r0_10)) + (portRef CLR (instanceRef dout_cut5_r0_9)) + (portRef CLR (instanceRef dout_cut5_r0_8)) + (portRef CLR (instanceRef dout_cut5_r0_7)) + (portRef CLR (instanceRef dout_cut5_r0_6)) + (portRef CLR (instanceRef dout_cut5_r0_5)) + (portRef CLR (instanceRef dout_cut5_r0_4)) + (portRef CLR (instanceRef dout_cut5_r0_3)) + (portRef CLR (instanceRef dout_cut5_r0_2)) + (portRef CLR (instanceRef dout_cut5_r0_1)) + (portRef CLR (instanceRef dout_cut5_r0_0)) + (portRef CLR (instanceRef dout_cut4_r0_15)) + (portRef CLR (instanceRef dout_cut4_r0_14)) + (portRef CLR (instanceRef dout_cut4_r0_13)) + (portRef CLR (instanceRef dout_cut4_r0_12)) + (portRef CLR (instanceRef dout_cut4_r0_11)) + (portRef CLR (instanceRef dout_cut4_r0_10)) + (portRef CLR (instanceRef dout_cut4_r0_9)) + (portRef CLR (instanceRef dout_cut4_r0_8)) + (portRef CLR (instanceRef dout_cut4_r0_7)) + (portRef CLR (instanceRef dout_cut4_r0_6)) + (portRef CLR (instanceRef dout_cut4_r0_5)) + (portRef CLR (instanceRef dout_cut4_r0_4)) + (portRef CLR (instanceRef dout_cut4_r0_3)) + (portRef CLR (instanceRef dout_cut4_r0_2)) + (portRef CLR (instanceRef dout_cut4_r0_1)) + (portRef CLR (instanceRef dout_cut4_r0_0)) + (portRef CLR (instanceRef dout_cut3_r0_15)) + (portRef CLR (instanceRef dout_cut3_r0_14)) + (portRef CLR (instanceRef dout_cut3_r0_13)) + (portRef CLR (instanceRef dout_cut3_r0_12)) + (portRef CLR (instanceRef dout_cut3_r0_11)) + (portRef CLR (instanceRef dout_cut3_r0_10)) + (portRef CLR (instanceRef dout_cut3_r0_9)) + (portRef CLR (instanceRef dout_cut3_r0_8)) + (portRef CLR (instanceRef dout_cut3_r0_7)) + (portRef CLR (instanceRef dout_cut3_r0_6)) + (portRef CLR (instanceRef dout_cut3_r0_5)) + (portRef CLR (instanceRef dout_cut3_r0_4)) + (portRef CLR (instanceRef dout_cut3_r0_3)) + (portRef CLR (instanceRef dout_cut3_r0_2)) + (portRef CLR (instanceRef dout_cut3_r0_1)) + (portRef CLR (instanceRef dout_cut3_r0_0)) + (portRef CLR (instanceRef dout_cut2_r0_15)) + (portRef CLR (instanceRef dout_cut2_r0_14)) + (portRef CLR (instanceRef dout_cut2_r0_13)) + (portRef CLR (instanceRef dout_cut2_r0_12)) + (portRef CLR (instanceRef dout_cut2_r0_11)) + (portRef CLR (instanceRef dout_cut2_r0_10)) + (portRef CLR (instanceRef dout_cut2_r0_9)) + (portRef CLR (instanceRef dout_cut2_r0_8)) + (portRef CLR (instanceRef dout_cut2_r0_7)) + (portRef CLR (instanceRef dout_cut2_r0_6)) + (portRef CLR (instanceRef dout_cut2_r0_5)) + (portRef CLR (instanceRef dout_cut2_r0_4)) + (portRef CLR (instanceRef dout_cut2_r0_3)) + (portRef CLR (instanceRef dout_cut2_r0_2)) + (portRef CLR (instanceRef dout_cut2_r0_1)) + (portRef CLR (instanceRef dout_cut2_r0_0)) + (portRef CLR (instanceRef dout_cut1_r0_15)) + (portRef CLR (instanceRef dout_cut1_r0_14)) + (portRef CLR (instanceRef dout_cut1_r0_13)) + (portRef CLR (instanceRef dout_cut1_r0_12)) + (portRef CLR (instanceRef dout_cut1_r0_11)) + (portRef CLR (instanceRef dout_cut1_r0_10)) + (portRef CLR (instanceRef dout_cut1_r0_9)) + (portRef CLR (instanceRef dout_cut1_r0_8)) + (portRef CLR (instanceRef dout_cut1_r0_7)) + (portRef CLR (instanceRef dout_cut1_r0_6)) + (portRef CLR (instanceRef dout_cut1_r0_5)) + (portRef CLR (instanceRef dout_cut1_r0_4)) + (portRef CLR (instanceRef dout_cut1_r0_3)) + (portRef CLR (instanceRef dout_cut1_r0_2)) + (portRef CLR (instanceRef dout_cut1_r0_1)) + (portRef CLR (instanceRef dout_cut1_r0_0)) + (portRef CLR (instanceRef dout_cut0_r0_15)) + (portRef CLR (instanceRef dout_cut0_r0_14)) + (portRef CLR (instanceRef dout_cut0_r0_13)) + (portRef CLR (instanceRef dout_cut0_r0_12)) + (portRef CLR (instanceRef dout_cut0_r0_11)) + (portRef CLR (instanceRef dout_cut0_r0_10)) + (portRef CLR (instanceRef dout_cut0_r0_9)) + (portRef CLR (instanceRef dout_cut0_r0_8)) + (portRef CLR (instanceRef dout_cut0_r0_7)) + (portRef CLR (instanceRef dout_cut0_r0_6)) + (portRef CLR (instanceRef dout_cut0_r0_5)) + (portRef CLR (instanceRef dout_cut0_r0_4)) + (portRef CLR (instanceRef dout_cut0_r0_3)) + (portRef CLR (instanceRef dout_cut0_r0_2)) + (portRef CLR (instanceRef dout_cut0_r0_1)) + (portRef CLR (instanceRef dout_cut0_r0_0)) + (portRef CLR (instanceRef dout_round_5_35)) + (portRef CLR (instanceRef dout_round_5_34)) + (portRef CLR (instanceRef dout_round_5_33)) + (portRef CLR (instanceRef dout_round_5_32)) + (portRef CLR (instanceRef dout_round_5_31)) + (portRef CLR (instanceRef dout_round_5_30)) + (portRef CLR (instanceRef dout_round_5_29)) + (portRef CLR (instanceRef dout_round_5_28)) + (portRef CLR (instanceRef dout_round_5_27)) + (portRef CLR (instanceRef dout_round_5_26)) + (portRef CLR (instanceRef dout_round_5_25)) + (portRef CLR (instanceRef dout_round_5_24)) + (portRef CLR (instanceRef dout_round_5_23)) + (portRef CLR (instanceRef dout_round_5_22)) + (portRef CLR (instanceRef dout_round_5_21)) + (portRef CLR (instanceRef dout_round_5_20)) + (portRef CLR (instanceRef dout_round_4_35)) + (portRef CLR (instanceRef dout_round_4_34)) + (portRef CLR (instanceRef dout_round_4_33)) + (portRef CLR (instanceRef dout_round_4_32)) + (portRef CLR (instanceRef dout_round_4_31)) + (portRef CLR (instanceRef dout_round_4_30)) + (portRef CLR (instanceRef dout_round_4_29)) + (portRef CLR (instanceRef dout_round_4_28)) + (portRef CLR (instanceRef dout_round_4_27)) + (portRef CLR (instanceRef dout_round_4_26)) + (portRef CLR (instanceRef dout_round_4_25)) + (portRef CLR (instanceRef dout_round_4_24)) + (portRef CLR (instanceRef dout_round_4_23)) + (portRef CLR (instanceRef dout_round_4_22)) + (portRef CLR (instanceRef dout_round_4_21)) + (portRef CLR (instanceRef dout_round_4_20)) + (portRef CLR (instanceRef dout_round_3_35)) + (portRef CLR (instanceRef dout_round_3_34)) + (portRef CLR (instanceRef dout_round_3_33)) + (portRef CLR (instanceRef dout_round_3_32)) + (portRef CLR (instanceRef dout_round_3_31)) + (portRef CLR (instanceRef dout_round_3_30)) + (portRef CLR (instanceRef dout_round_3_29)) + (portRef CLR (instanceRef dout_round_3_28)) + (portRef CLR (instanceRef dout_round_3_27)) + (portRef CLR (instanceRef dout_round_3_26)) + (portRef CLR (instanceRef dout_round_3_25)) + (portRef CLR (instanceRef dout_round_3_24)) + (portRef CLR (instanceRef dout_round_3_23)) + (portRef CLR (instanceRef dout_round_3_22)) + (portRef CLR (instanceRef dout_round_3_21)) + (portRef CLR (instanceRef dout_round_3_20)) + (portRef CLR (instanceRef dout_round_2_35)) + (portRef CLR (instanceRef dout_round_2_34)) + (portRef CLR (instanceRef dout_round_2_33)) + (portRef CLR (instanceRef dout_round_2_32)) + (portRef CLR (instanceRef dout_round_2_31)) + (portRef CLR (instanceRef dout_round_2_30)) + (portRef CLR (instanceRef dout_round_2_29)) + (portRef CLR (instanceRef dout_round_2_28)) + (portRef CLR (instanceRef dout_round_2_27)) + (portRef CLR (instanceRef dout_round_2_26)) + (portRef CLR (instanceRef dout_round_2_25)) + (portRef CLR (instanceRef dout_round_2_24)) + (portRef CLR (instanceRef dout_round_2_23)) + (portRef CLR (instanceRef dout_round_2_22)) + (portRef CLR (instanceRef dout_round_2_21)) + (portRef CLR (instanceRef dout_round_2_20)) + (portRef CLR (instanceRef dout_round_1_35)) + (portRef CLR (instanceRef dout_round_1_34)) + (portRef CLR (instanceRef dout_round_1_33)) + (portRef CLR (instanceRef dout_round_1_32)) + (portRef CLR (instanceRef dout_round_1_31)) + (portRef CLR (instanceRef dout_round_1_30)) + (portRef CLR (instanceRef dout_round_1_29)) + (portRef CLR (instanceRef dout_round_1_28)) + (portRef CLR (instanceRef dout_round_1_27)) + (portRef CLR (instanceRef dout_round_1_26)) + (portRef CLR (instanceRef dout_round_1_25)) + (portRef CLR (instanceRef dout_round_1_24)) + (portRef CLR (instanceRef dout_round_1_23)) + (portRef CLR (instanceRef dout_round_1_22)) + (portRef CLR (instanceRef dout_round_1_21)) + (portRef CLR (instanceRef dout_round_1_20)) + (portRef CLR (instanceRef dout_round_0_35)) + (portRef CLR (instanceRef dout_round_0_34)) + (portRef CLR (instanceRef dout_round_0_33)) + (portRef CLR (instanceRef dout_round_0_32)) + (portRef CLR (instanceRef dout_round_0_31)) + (portRef CLR (instanceRef dout_round_0_30)) + (portRef CLR (instanceRef dout_round_0_29)) + (portRef CLR (instanceRef dout_round_0_28)) + (portRef CLR (instanceRef dout_round_0_27)) + (portRef CLR (instanceRef dout_round_0_26)) + (portRef CLR (instanceRef dout_round_0_25)) + (portRef CLR (instanceRef dout_round_0_24)) + (portRef CLR (instanceRef dout_round_0_23)) + (portRef CLR (instanceRef dout_round_0_22)) + (portRef CLR (instanceRef dout_round_0_21)) + (portRef CLR (instanceRef dout_round_0_20)) + (portRef CLR (instanceRef din_r0_0)) + (portRef CLR (instanceRef din_r1_0)) + (portRef CLR (instanceRef din_r0_1)) + (portRef CLR (instanceRef din_r1_1)) + (portRef CLR (instanceRef din_r0_2)) + (portRef CLR (instanceRef din_r1_2)) + (portRef CLR (instanceRef din_r0_3)) + (portRef CLR (instanceRef din_r1_3)) + (portRef CLR (instanceRef din_r0_4)) + (portRef CLR (instanceRef din_r1_4)) + (portRef CLR (instanceRef din_r0_5)) + (portRef CLR (instanceRef din_r1_5)) + (portRef CLR (instanceRef din_r0_6)) + (portRef CLR (instanceRef din_r1_6)) + (portRef CLR (instanceRef din_r0_7)) + (portRef CLR (instanceRef din_r1_7)) + (portRef CLR (instanceRef din_r0_8)) + (portRef CLR (instanceRef din_r1_8)) + (portRef CLR (instanceRef din_r0_9)) + (portRef CLR (instanceRef din_r1_9)) + (portRef CLR (instanceRef din_r0_10)) + (portRef CLR (instanceRef din_r1_10)) + (portRef CLR (instanceRef din_r0_11)) + (portRef CLR (instanceRef din_r1_11)) + (portRef CLR (instanceRef din_r0_12)) + (portRef CLR (instanceRef din_r1_12)) + (portRef CLR (instanceRef din_r0_13)) + (portRef CLR (instanceRef din_r1_13)) + (portRef CLR (instanceRef din_r0_14)) + (portRef CLR (instanceRef din_r1_14)) + (portRef CLR (instanceRef din_r0_15)) + (portRef CLR (instanceRef din_r1_15)) + (portRef CLR (instanceRef dout_r_2)) + (portRef CLR (instanceRef dout_r_4)) + (portRef CLR (instanceRef dout_r_7)) + (portRef CLR (instanceRef dout_r_13)) + (portRef CLR (instanceRef dout_r_0)) + )) + (net (rename iir_out_1 "IIR_out_1") (joined + (portRef Q (instanceRef dout_r_13)) + (portRef I1 (instanceRef dout_r_8_iv_10)) + (portRef IIR_out_1) + )) + (net dout_r_8_iv_10_0 (joined + (portRef LO (instanceRef dout_r_8_iv_10)) + (portRef D (instanceRef dout_r_13)) + )) + (net (rename iir_out_7 "IIR_out_7") (joined + (portRef Q (instanceRef dout_r_7)) + (portRef I1 (instanceRef dout_r_8_iv_4)) + (portRef IIR_out_7) + )) + (net dout_r_8_iv_4_0 (joined + (portRef LO (instanceRef dout_r_8_iv_4)) + (portRef D (instanceRef dout_r_7)) + )) + (net (rename iir_out_10 "IIR_out_10") (joined + (portRef Q (instanceRef dout_r_4)) + (portRef I1 (instanceRef dout_r_8_iv_1)) + (portRef IIR_out_10) + )) + (net dout_r_8_iv_1_0 (joined + (portRef LO (instanceRef dout_r_8_iv_1)) + (portRef D (instanceRef dout_r_4)) + )) + (net (rename iir_out_12 "IIR_out_12") (joined + (portRef Q (instanceRef dout_r_2)) + (portRef I1 (instanceRef dout_r_8_iv)) + (portRef IIR_out_12) + )) + (net (rename dout_r_8_ivZ0Z_15 "dout_r_8_iv_15") (joined + (portRef LO (instanceRef dout_r_8_iv)) + (portRef D (instanceRef dout_r_2)) + )) + (net (rename dout_r_3_sqmuxa_iZ0 "dout_r_3_sqmuxa_i") (joined + (portRef O (instanceRef dout_r_3_sqmuxa_i)) + (portRef CE (instanceRef dout_r_1)) + (portRef CE (instanceRef dout_r_15)) + (portRef CE (instanceRef dout_r_14)) + (portRef CE (instanceRef dout_r_12)) + (portRef CE (instanceRef dout_r_11)) + (portRef CE (instanceRef dout_r_10)) + (portRef CE (instanceRef dout_r_9)) + (portRef CE (instanceRef dout_r_8)) + (portRef CE (instanceRef dout_r_6)) + (portRef CE (instanceRef dout_r_5)) + (portRef CE (instanceRef dout_r_3)) + (portRef I0 (instanceRef dout_r_8_iv_10)) + (portRef I0 (instanceRef dout_r_8_iv_1)) + (portRef I0 (instanceRef dout_r_8_iv)) + (portRef I0 (instanceRef dout_r_8_iv_4)) + (portRef I0 (instanceRef dout_r_8_iv_13)) + )) + (net (rename dout_r_8_ivZ1Z_13 "dout_r_8_iv_13") (joined + (portRef LO (instanceRef dout_r_8_iv_0)) + (portRef I2 (instanceRef dout_r_8_iv_13)) + )) + (net (rename dout_r_8_ivZ1Z_4 "dout_r_8_iv_4") (joined + (portRef LO (instanceRef dout_r_8_iv_7)) + (portRef I2 (instanceRef dout_r_8_iv_4)) + )) + (net (rename dout_r_8_ivZ0 "dout_r_8_iv") (joined + (portRef LO (instanceRef dout_r_8_iv_2)) + (portRef I2 (instanceRef dout_r_8_iv)) + )) + (net (rename dout_r_8_ivZ1Z_1 "dout_r_8_iv_1") (joined + (portRef LO (instanceRef dout_r_8_ivZ0Z_4)) + (portRef I2 (instanceRef dout_r_8_iv_1)) + )) + (net (rename dout_r_8_ivZ1Z_10 "dout_r_8_iv_10") (joined + (portRef LO (instanceRef dout_r_8_ivZ0Z_13)) + (portRef I2 (instanceRef dout_r_8_iv_10)) + )) + (net (rename din_re_0 "din_re[0]") (joined + (portRef (member din_re 15)) + (portRef D (instanceRef din_r0_0)) + (portRef I0 (instanceRef dout_r_8_iv_0)) + )) + (net tc_bypass (joined + (portRef tc_bypass) + (portRef I1 (instanceRef dout_r_8_iv_15)) + (portRef I1 (instanceRef dout_r_3_sqmuxa_i)) + (portRef I1 (instanceRef dout_r_8_iv_11)) + (portRef I1 (instanceRef dout_r_8_iv_12)) + (portRef I1 (instanceRef dout_r_8_ivZ0Z_13)) + (portRef I1 (instanceRef dout_r_8_iv_14)) + (portRef I1 (instanceRef dout_r_8_iv_6)) + (portRef I1 (instanceRef dout_r_8_iv_7)) + (portRef I1 (instanceRef dout_r_8_iv_8)) + (portRef I1 (instanceRef dout_r_8_iv_9)) + (portRef I1 (instanceRef dout_r_8_ivZ0Z_10)) + (portRef I1 (instanceRef dout_r_8_ivZ0Z_1)) + (portRef I1 (instanceRef dout_r_8_iv_2)) + (portRef I1 (instanceRef dout_r_8_iv_3)) + (portRef I1 (instanceRef dout_r_8_ivZ0Z_4)) + (portRef I1 (instanceRef dout_r_8_iv_5)) + (portRef I1 (instanceRef dout_r_8_iv_0)) + )) + (net (rename Ysum_0 "Ysum[0]") (joined + (portRef (member O 3) (instanceRef Ysum_0_cry_3)) + (portRef I2 (instanceRef dout_r_8_iv_0)) + )) + (net (rename Ysum_15 "Ysum[15]") (joined + (portRef (member O 0) (instanceRef Ysum_0_cry_15)) + (portRef I2 (instanceRef dout_r_8_iv_11)) + (portRef I2 (instanceRef dout_r_8_iv_12)) + (portRef I2 (instanceRef dout_r_8_ivZ0Z_13)) + (portRef I2 (instanceRef dout_r_8_iv_14)) + (portRef I2 (instanceRef dout_r_8_iv_6)) + (portRef I2 (instanceRef dout_r_8_iv_7)) + (portRef I2 (instanceRef dout_r_8_iv_8)) + (portRef I2 (instanceRef dout_r_8_iv_9)) + (portRef I2 (instanceRef dout_r_8_ivZ0Z_10)) + (portRef I3 (instanceRef dout_r_8_ivZ0Z_1)) + (portRef I3 (instanceRef dout_r_8_iv_2)) + (portRef I2 (instanceRef dout_r_8_iv_3)) + (portRef I2 (instanceRef dout_r_8_ivZ0Z_4)) + (portRef I2 (instanceRef dout_r_8_iv_5)) + (portRef I3 (instanceRef dout_r_8_iv_0)) + )) + (net (rename din_re_5 "din_re[5]") (joined + (portRef (member din_re 10)) + (portRef D (instanceRef din_r0_5)) + (portRef I0 (instanceRef dout_r_8_iv_5)) + )) + (net (rename Ysum_5 "Ysum[5]") (joined + (portRef Q (instanceRef Ysum_0_s_5_xorcy_latch)) + (portRef I3 (instanceRef dout_r_8_iv_5)) + )) + (net (rename dout_r_8_ivZ0Z_2 "dout_r_8_iv_2") (joined + (portRef LO (instanceRef dout_r_8_iv_5)) + (portRef D (instanceRef dout_r_5)) + )) + (net (rename din_re_4 "din_re[4]") (joined + (portRef (member din_re 11)) + (portRef D (instanceRef din_r0_4)) + (portRef I0 (instanceRef dout_r_8_ivZ0Z_4)) + )) + (net (rename Ysum_4 "Ysum[4]") (joined + (portRef Q (instanceRef Ysum_0_s_4_xorcy_latch)) + (portRef I3 (instanceRef dout_r_8_ivZ0Z_4)) + )) + (net (rename din_re_3 "din_re[3]") (joined + (portRef (member din_re 12)) + (portRef D (instanceRef din_r0_3)) + (portRef I0 (instanceRef dout_r_8_iv_3)) + )) + (net (rename Ysum_3 "Ysum[3]") (joined + (portRef Q (instanceRef Ysum_0_s_3_xorcy_latch)) + (portRef I3 (instanceRef dout_r_8_iv_3)) + )) + (net (rename dout_r_8_ivZ0Z_0 "dout_r_8_iv_0") (joined + (portRef LO (instanceRef dout_r_8_iv_3)) + (portRef D (instanceRef dout_r_3)) + )) + (net (rename din_re_2 "din_re[2]") (joined + (portRef (member din_re 13)) + (portRef D (instanceRef din_r0_2)) + (portRef I0 (instanceRef dout_r_8_iv_2)) + )) + (net (rename Ysum_2 "Ysum[2]") (joined + (portRef Q (instanceRef Ysum_0_s_2_xorcy_latch)) + (portRef I2 (instanceRef dout_r_8_iv_2)) + )) + (net (rename din_re_1 "din_re[1]") (joined + (portRef (member din_re 14)) + (portRef D (instanceRef din_r0_1)) + (portRef I0 (instanceRef dout_r_8_ivZ0Z_1)) + )) + (net (rename Ysum_1 "Ysum[1]") (joined + (portRef Q (instanceRef Ysum_0_s_1_xorcy_latch)) + (portRef I2 (instanceRef dout_r_8_ivZ0Z_1)) + )) + (net (rename dout_r_8_ivZ0Z_14 "dout_r_8_iv_14") (joined + (portRef LO (instanceRef dout_r_8_ivZ0Z_1)) + (portRef D (instanceRef dout_r_1)) + )) + (net (rename din_re_10 "din_re[10]") (joined + (portRef (member din_re 5)) + (portRef D (instanceRef din_r0_10)) + (portRef I0 (instanceRef dout_r_8_ivZ0Z_10)) + )) + (net (rename Ysum_10 "Ysum[10]") (joined + (portRef Q (instanceRef Ysum_0_s_10_xorcy_latch)) + (portRef I3 (instanceRef dout_r_8_ivZ0Z_10)) + )) + (net (rename dout_r_8_ivZ0Z_7 "dout_r_8_iv_7") (joined + (portRef LO (instanceRef dout_r_8_ivZ0Z_10)) + (portRef D (instanceRef dout_r_10)) + )) + (net (rename din_re_9 "din_re[9]") (joined + (portRef (member din_re 6)) + (portRef D (instanceRef din_r0_9)) + (portRef I0 (instanceRef dout_r_8_iv_9)) + )) + (net (rename Ysum_9 "Ysum[9]") (joined + (portRef Q (instanceRef Ysum_0_s_9_xorcy_latch)) + (portRef I3 (instanceRef dout_r_8_iv_9)) + )) + (net (rename dout_r_8_ivZ0Z_6 "dout_r_8_iv_6") (joined + (portRef LO (instanceRef dout_r_8_iv_9)) + (portRef D (instanceRef dout_r_9)) + )) + (net (rename din_re_8 "din_re[8]") (joined + (portRef (member din_re 7)) + (portRef D (instanceRef din_r0_8)) + (portRef I0 (instanceRef dout_r_8_iv_8)) + )) + (net (rename Ysum_8 "Ysum[8]") (joined + (portRef Q (instanceRef Ysum_0_s_8_xorcy_latch)) + (portRef I3 (instanceRef dout_r_8_iv_8)) + )) + (net (rename dout_r_8_ivZ0Z_5 "dout_r_8_iv_5") (joined + (portRef LO (instanceRef dout_r_8_iv_8)) + (portRef D (instanceRef dout_r_8)) + )) + (net (rename din_re_7 "din_re[7]") (joined + (portRef (member din_re 8)) + (portRef D (instanceRef din_r0_7)) + (portRef I0 (instanceRef dout_r_8_iv_7)) + )) + (net (rename Ysum_7 "Ysum[7]") (joined + (portRef Q (instanceRef Ysum_0_s_7_xorcy_latch)) + (portRef I3 (instanceRef dout_r_8_iv_7)) + )) + (net (rename din_re_6 "din_re[6]") (joined + (portRef (member din_re 9)) + (portRef D (instanceRef din_r0_6)) + (portRef I0 (instanceRef dout_r_8_iv_6)) + )) + (net (rename Ysum_6 "Ysum[6]") (joined + (portRef Q (instanceRef Ysum_0_s_6_xorcy_latch)) + (portRef I3 (instanceRef dout_r_8_iv_6)) + )) + (net (rename dout_r_8_ivZ0Z_3 "dout_r_8_iv_3") (joined + (portRef LO (instanceRef dout_r_8_iv_6)) + (portRef D (instanceRef dout_r_6)) + )) + (net (rename din_re_14 "din_re[14]") (joined + (portRef (member din_re 1)) + (portRef D (instanceRef din_r0_14)) + (portRef I0 (instanceRef dout_r_8_iv_14)) + )) + (net (rename Ysum_14 "Ysum[14]") (joined + (portRef Q (instanceRef Ysum_0_s_14_xorcy_latch)) + (portRef I3 (instanceRef dout_r_8_iv_14)) + )) + (net (rename dout_r_8_ivZ0Z_11 "dout_r_8_iv_11") (joined + (portRef LO (instanceRef dout_r_8_iv_14)) + (portRef D (instanceRef dout_r_14)) + )) + (net (rename din_re_13 "din_re[13]") (joined + (portRef (member din_re 2)) + (portRef D (instanceRef din_r0_13)) + (portRef I0 (instanceRef dout_r_8_ivZ0Z_13)) + )) + (net (rename Ysum_13 "Ysum[13]") (joined + (portRef Q (instanceRef Ysum_0_s_13_xorcy_latch)) + (portRef I3 (instanceRef dout_r_8_ivZ0Z_13)) + )) + (net (rename din_re_12 "din_re[12]") (joined + (portRef (member din_re 3)) + (portRef D (instanceRef din_r0_12)) + (portRef I0 (instanceRef dout_r_8_iv_12)) + )) + (net (rename Ysum_12 "Ysum[12]") (joined + (portRef Q (instanceRef Ysum_0_s_12_xorcy_latch)) + (portRef I3 (instanceRef dout_r_8_iv_12)) + )) + (net (rename dout_r_8_ivZ0Z_9 "dout_r_8_iv_9") (joined + (portRef LO (instanceRef dout_r_8_iv_12)) + (portRef D (instanceRef dout_r_12)) + )) + (net (rename din_re_11 "din_re[11]") (joined + (portRef (member din_re 4)) + (portRef D (instanceRef din_r0_11)) + (portRef I0 (instanceRef dout_r_8_iv_11)) + )) + (net (rename Ysum_11 "Ysum[11]") (joined + (portRef Q (instanceRef Ysum_0_s_11_xorcy_latch)) + (portRef I3 (instanceRef dout_r_8_iv_11)) + )) + (net (rename dout_r_8_ivZ0Z_8 "dout_r_8_iv_8") (joined + (portRef LO (instanceRef dout_r_8_iv_11)) + (portRef D (instanceRef dout_r_11)) + )) + (net (rename dout_cut0_r0Z0Z_2 "dout_cut0_r0[2]") (joined + (portRef Q (instanceRef dout_cut0_r0_2)) + (portRef I0 (instanceRef Ysum_0_axb_2)) + (portRef I0 (instanceRef Ysum_0_axb_3)) + (portRef I0 (instanceRef Ysum_0_o5_2)) + )) + (net (rename Ysum_6_2 "Ysum_6[2]") (joined + (portRef Q (instanceRef Ysum_6_0_s_2_xorcy_latch)) + (portRef I3 (instanceRef Ysum_0_axb_2)) + (portRef I2 (instanceRef Ysum_0_axb_3)) + (portRef I1 (instanceRef Ysum_0_o5_2)) + )) + (net (rename Ysum_8_2 "Ysum_8[2]") (joined + (portRef Q (instanceRef Ysum_8_0_s_2_xorcy_latch)) + (portRef I5 (instanceRef Ysum_0_axb_2)) + (portRef I4 (instanceRef Ysum_0_axb_3)) + (portRef I2 (instanceRef Ysum_0_o5_2)) + )) + (net (rename Ysum_0_o5Z0Z_2 "Ysum_0_o5_2") (joined + (portRef O (instanceRef Ysum_0_o5_2)) + (portRef (member DI 0) (instanceRef Ysum_0_cry_3)) + )) + (net (rename dout_cut0_r0Z0Z_3 "dout_cut0_r0[3]") (joined + (portRef Q (instanceRef dout_cut0_r0_3)) + (portRef I1 (instanceRef Ysum_0_axb_3)) + (portRef I0 (instanceRef Ysum_0_axb_4)) + (portRef I0 (instanceRef Ysum_0_o5_3)) + )) + (net (rename Ysum_6_3 "Ysum_6[3]") (joined + (portRef Q (instanceRef Ysum_6_0_s_3_xorcy_latch)) + (portRef I3 (instanceRef Ysum_0_axb_3)) + (portRef I2 (instanceRef Ysum_0_axb_4)) + (portRef I1 (instanceRef Ysum_0_o5_3)) + )) + (net (rename Ysum_8_3 "Ysum_8[3]") (joined + (portRef Q (instanceRef Ysum_8_0_s_3_xorcy_latch)) + (portRef I5 (instanceRef Ysum_0_axb_3)) + (portRef I4 (instanceRef Ysum_0_axb_4)) + (portRef I2 (instanceRef Ysum_0_o5_3)) + )) + (net (rename Ysum_0_o5Z0Z_3 "Ysum_0_o5_3") (joined + (portRef O (instanceRef Ysum_0_o5_3)) + (portRef (member DI 3) (instanceRef Ysum_0_cry_7)) + )) + (net (rename dout_cut0_r0Z0Z_4 "dout_cut0_r0[4]") (joined + (portRef Q (instanceRef dout_cut0_r0_4)) + (portRef I1 (instanceRef Ysum_0_axb_4)) + (portRef I0 (instanceRef Ysum_0_axb_5)) + (portRef I0 (instanceRef Ysum_0_o5_4)) + )) + (net (rename Ysum_6_4 "Ysum_6[4]") (joined + (portRef Q (instanceRef Ysum_6_0_s_4_xorcy_latch)) + (portRef I3 (instanceRef Ysum_0_axb_4)) + (portRef I2 (instanceRef Ysum_0_axb_5)) + (portRef I1 (instanceRef Ysum_0_o5_4)) + )) + (net (rename Ysum_8_4 "Ysum_8[4]") (joined + (portRef Q (instanceRef Ysum_8_0_s_4_xorcy_latch)) + (portRef I5 (instanceRef Ysum_0_axb_4)) + (portRef I5 (instanceRef Ysum_0_axb_5)) + (portRef I2 (instanceRef Ysum_0_o5_4)) + )) + (net (rename Ysum_0_o5Z0Z_4 "Ysum_0_o5_4") (joined + (portRef O (instanceRef Ysum_0_o5_4)) + (portRef (member DI 2) (instanceRef Ysum_0_cry_7)) + )) + (net (rename dout_cut0_r0Z0Z_5 "dout_cut0_r0[5]") (joined + (portRef Q (instanceRef dout_cut0_r0_5)) + (portRef I1 (instanceRef Ysum_0_axb_5)) + (portRef I1 (instanceRef Ysum_0_axb_6)) + (portRef I0 (instanceRef Ysum_0_o5_5)) + )) + (net (rename Ysum_6_5 "Ysum_6[5]") (joined + (portRef Q (instanceRef Ysum_6_0_s_5_xorcy_latch)) + (portRef I3 (instanceRef Ysum_0_axb_5)) + (portRef I3 (instanceRef Ysum_0_axb_6)) + (portRef I1 (instanceRef Ysum_0_o5_5)) + )) + (net (rename Ysum_8_5 "Ysum_8[5]") (joined + (portRef Q (instanceRef Ysum_8_0_s_5_xorcy_latch)) + (portRef I4 (instanceRef Ysum_0_axb_5)) + (portRef I4 (instanceRef Ysum_0_axb_6)) + (portRef I2 (instanceRef Ysum_0_o5_5)) + )) + (net (rename Ysum_0_o5Z0Z_5 "Ysum_0_o5_5") (joined + (portRef O (instanceRef Ysum_0_o5_5)) + (portRef (member DI 1) (instanceRef Ysum_0_cry_7)) + )) + (net (rename dout_cut0_r0Z0Z_6 "dout_cut0_r0[6]") (joined + (portRef Q (instanceRef dout_cut0_r0_6)) + (portRef I0 (instanceRef Ysum_0_axb_7)) + (portRef I0 (instanceRef Ysum_0_axb_6)) + (portRef I0 (instanceRef Ysum_0_o5_6)) + )) + (net (rename Ysum_6_6 "Ysum_6[6]") (joined + (portRef Q (instanceRef Ysum_6_0_s_6_xorcy_latch)) + (portRef I3 (instanceRef Ysum_0_axb_7)) + (portRef I2 (instanceRef Ysum_0_axb_6)) + (portRef I1 (instanceRef Ysum_0_o5_6)) + )) + (net (rename Ysum_8_6 "Ysum_8[6]") (joined + (portRef Q (instanceRef Ysum_8_0_s_6_xorcy_latch)) + (portRef I5 (instanceRef Ysum_0_axb_7)) + (portRef I5 (instanceRef Ysum_0_axb_6)) + (portRef I2 (instanceRef Ysum_0_o5_6)) + )) + (net (rename Ysum_0_o5Z0Z_6 "Ysum_0_o5_6") (joined + (portRef O (instanceRef Ysum_0_o5_6)) + (portRef (member DI 0) (instanceRef Ysum_0_cry_7)) + )) + (net (rename dout_cut0_r0Z0Z_7 "dout_cut0_r0[7]") (joined + (portRef Q (instanceRef dout_cut0_r0_7)) + (portRef I1 (instanceRef Ysum_0_axb_7)) + (portRef I1 (instanceRef Ysum_0_axb_8)) + (portRef I0 (instanceRef Ysum_0_o5_7)) + )) + (net (rename Ysum_6_7 "Ysum_6[7]") (joined + (portRef Q (instanceRef Ysum_6_0_s_7_xorcy_latch)) + (portRef I2 (instanceRef Ysum_0_axb_7)) + (portRef I2 (instanceRef Ysum_0_axb_8)) + (portRef I1 (instanceRef Ysum_0_o5_7)) + )) + (net (rename Ysum_8_7 "Ysum_8[7]") (joined + (portRef Q (instanceRef Ysum_8_0_s_7_xorcy_latch)) + (portRef I4 (instanceRef Ysum_0_axb_7)) + (portRef I4 (instanceRef Ysum_0_axb_8)) + (portRef I2 (instanceRef Ysum_0_o5_7)) + )) + (net (rename Ysum_0_o5Z0Z_7 "Ysum_0_o5_7") (joined + (portRef O (instanceRef Ysum_0_o5_7)) + (portRef (member DI 3) (instanceRef Ysum_0_cry_11)) + )) + (net (rename dout_cut0_r0Z0Z_8 "dout_cut0_r0[8]") (joined + (portRef Q (instanceRef dout_cut0_r0_8)) + (portRef I0 (instanceRef Ysum_0_axb_8)) + (portRef I0 (instanceRef Ysum_0_axb_9)) + (portRef I0 (instanceRef Ysum_0_o5_8)) + )) + (net (rename Ysum_6_8 "Ysum_6[8]") (joined + (portRef Q (instanceRef Ysum_6_0_s_8_xorcy_latch)) + (portRef I3 (instanceRef Ysum_0_axb_8)) + (portRef I2 (instanceRef Ysum_0_axb_9)) + (portRef I1 (instanceRef Ysum_0_o5_8)) + )) + (net (rename Ysum_8_8 "Ysum_8[8]") (joined + (portRef Q (instanceRef Ysum_8_0_s_8_xorcy_latch)) + (portRef I5 (instanceRef Ysum_0_axb_8)) + (portRef I5 (instanceRef Ysum_0_axb_9)) + (portRef I2 (instanceRef Ysum_0_o5_8)) + )) + (net (rename Ysum_0_o5Z0Z_8 "Ysum_0_o5_8") (joined + (portRef O (instanceRef Ysum_0_o5_8)) + (portRef (member DI 2) (instanceRef Ysum_0_cry_11)) + )) + (net (rename dout_cut0_r0Z0Z_9 "dout_cut0_r0[9]") (joined + (portRef Q (instanceRef dout_cut0_r0_9)) + (portRef I1 (instanceRef Ysum_0_axb_9)) + (portRef I1 (instanceRef Ysum_0_axb_10)) + (portRef I0 (instanceRef Ysum_0_o5_9)) + )) + (net (rename Ysum_6_9 "Ysum_6[9]") (joined + (portRef Q (instanceRef Ysum_6_0_s_9_xorcy_latch)) + (portRef I3 (instanceRef Ysum_0_axb_9)) + (portRef I3 (instanceRef Ysum_0_axb_10)) + (portRef I1 (instanceRef Ysum_0_o5_9)) + )) + (net (rename Ysum_8_9 "Ysum_8[9]") (joined + (portRef Q (instanceRef Ysum_8_0_s_9_xorcy_latch)) + (portRef I4 (instanceRef Ysum_0_axb_9)) + (portRef I4 (instanceRef Ysum_0_axb_10)) + (portRef I2 (instanceRef Ysum_0_o5_9)) + )) + (net (rename Ysum_0_o5Z0Z_9 "Ysum_0_o5_9") (joined + (portRef O (instanceRef Ysum_0_o5_9)) + (portRef (member DI 1) (instanceRef Ysum_0_cry_11)) + )) + (net (rename dout_cut0_r0Z0Z_10 "dout_cut0_r0[10]") (joined + (portRef Q (instanceRef dout_cut0_r0_10)) + (portRef I0 (instanceRef Ysum_0_axb_10)) + (portRef I0 (instanceRef Ysum_0_axb_11)) + (portRef I0 (instanceRef Ysum_0_o5_10)) + )) + (net (rename Ysum_6_10 "Ysum_6[10]") (joined + (portRef Q (instanceRef Ysum_6_0_s_10_xorcy_latch)) + (portRef I2 (instanceRef Ysum_0_axb_10)) + (portRef I2 (instanceRef Ysum_0_axb_11)) + (portRef I1 (instanceRef Ysum_0_o5_10)) + )) + (net (rename Ysum_8_10 "Ysum_8[10]") (joined + (portRef Q (instanceRef Ysum_8_0_s_10_xorcy_latch)) + (portRef I5 (instanceRef Ysum_0_axb_10)) + (portRef I4 (instanceRef Ysum_0_axb_11)) + (portRef I2 (instanceRef Ysum_0_o5_10)) + )) + (net (rename Ysum_0_o5Z0Z_10 "Ysum_0_o5_10") (joined + (portRef O (instanceRef Ysum_0_o5_10)) + (portRef (member DI 0) (instanceRef Ysum_0_cry_11)) + )) + (net (rename dout_cut0_r0Z0Z_11 "dout_cut0_r0[11]") (joined + (portRef Q (instanceRef dout_cut0_r0_11)) + (portRef I1 (instanceRef Ysum_0_axb_11)) + (portRef I1 (instanceRef Ysum_0_axb_12)) + (portRef I0 (instanceRef Ysum_0_o5_11)) + )) + (net (rename Ysum_6_11 "Ysum_6[11]") (joined + (portRef Q (instanceRef Ysum_6_0_s_11_xorcy_latch)) + (portRef I3 (instanceRef Ysum_0_axb_11)) + (portRef I3 (instanceRef Ysum_0_axb_12)) + (portRef I1 (instanceRef Ysum_0_o5_11)) + )) + (net (rename Ysum_8_11 "Ysum_8[11]") (joined + (portRef Q (instanceRef Ysum_8_0_s_11_xorcy_latch)) + (portRef I5 (instanceRef Ysum_0_axb_11)) + (portRef I5 (instanceRef Ysum_0_axb_12)) + (portRef I2 (instanceRef Ysum_0_o5_11)) + )) + (net (rename Ysum_0_o5Z0Z_11 "Ysum_0_o5_11") (joined + (portRef O (instanceRef Ysum_0_o5_11)) + (portRef (member DI 3) (instanceRef Ysum_0_cry_15)) + )) + (net (rename dout_cut0_r0Z0Z_12 "dout_cut0_r0[12]") (joined + (portRef Q (instanceRef dout_cut0_r0_12)) + (portRef I0 (instanceRef Ysum_0_axb_13)) + (portRef I0 (instanceRef Ysum_0_axb_12)) + (portRef I0 (instanceRef Ysum_0_o5_12)) + )) + (net (rename Ysum_6_12 "Ysum_6[12]") (joined + (portRef Q (instanceRef Ysum_6_0_s_12_xorcy_latch)) + (portRef I3 (instanceRef Ysum_0_axb_13)) + (portRef I2 (instanceRef Ysum_0_axb_12)) + (portRef I1 (instanceRef Ysum_0_o5_12)) + )) + (net (rename Ysum_8_12 "Ysum_8[12]") (joined + (portRef Q (instanceRef Ysum_8_0_s_12_xorcy_latch)) + (portRef I5 (instanceRef Ysum_0_axb_13)) + (portRef I4 (instanceRef Ysum_0_axb_12)) + (portRef I2 (instanceRef Ysum_0_o5_12)) + )) + (net (rename Ysum_0_o5Z0Z_12 "Ysum_0_o5_12") (joined + (portRef O (instanceRef Ysum_0_o5_12)) + (portRef (member DI 2) (instanceRef Ysum_0_cry_15)) + )) + (net (rename dout_cut0_r0Z0Z_13 "dout_cut0_r0[13]") (joined + (portRef Q (instanceRef dout_cut0_r0_13)) + (portRef I1 (instanceRef Ysum_0_axb_13)) + (portRef I0 (instanceRef Ysum_0_axb_14)) + (portRef I0 (instanceRef Ysum_0_o5_13)) + )) + (net (rename Ysum_6_13 "Ysum_6[13]") (joined + (portRef Q (instanceRef Ysum_6_0_s_13_xorcy_latch)) + (portRef I2 (instanceRef Ysum_0_axb_13)) + (portRef I3 (instanceRef Ysum_0_axb_14)) + (portRef I1 (instanceRef Ysum_0_o5_13)) + )) + (net (rename Ysum_8_13 "Ysum_8[13]") (joined + (portRef Q (instanceRef Ysum_8_0_s_13_xorcy_latch)) + (portRef I4 (instanceRef Ysum_0_axb_13)) + (portRef I4 (instanceRef Ysum_0_axb_14)) + (portRef I2 (instanceRef Ysum_0_o5_13)) + )) + (net (rename Ysum_0_o5Z0Z_13 "Ysum_0_o5_13") (joined + (portRef O (instanceRef Ysum_0_o5_13)) + (portRef (member DI 1) (instanceRef Ysum_0_cry_15)) + )) + (net (rename dout_cut0_r0Z0Z_14 "dout_cut0_r0[14]") (joined + (portRef Q (instanceRef dout_cut0_r0_14)) + (portRef I1 (instanceRef Ysum_0_axb_14)) + (portRef I0 (instanceRef Ysum_0_axb_15)) + (portRef I0 (instanceRef Ysum_0_o5_14)) + )) + (net (rename Ysum_6_14 "Ysum_6[14]") (joined + (portRef Q (instanceRef Ysum_6_0_s_14_xorcy_latch)) + (portRef I2 (instanceRef Ysum_0_axb_14)) + (portRef I4 (instanceRef Ysum_0_axb_15)) + (portRef I1 (instanceRef Ysum_0_o5_14)) + )) + (net (rename Ysum_8_14 "Ysum_8[14]") (joined + (portRef Q (instanceRef Ysum_8_0_s_14_xorcy_latch)) + (portRef I5 (instanceRef Ysum_0_axb_14)) + (portRef I5 (instanceRef Ysum_0_axb_15)) + (portRef I2 (instanceRef Ysum_0_o5_14)) + )) + (net (rename Ysum_0_o5Z0Z_14 "Ysum_0_o5_14") (joined + (portRef O (instanceRef Ysum_0_o5_14)) + (portRef (member DI 0) (instanceRef Ysum_0_cry_15)) + )) + (net (rename dout_cut3_r0Z0Z_2 "dout_cut3_r0[2]") (joined + (portRef Q (instanceRef dout_cut3_r0_2)) + (portRef I3 (instanceRef Ysum_6_0_axb_2)) + (portRef I3 (instanceRef Ysum_6_0_axb_3)) + (portRef I0 (instanceRef Ysum_6_0_o5_2)) + )) + (net (rename dout_cut2_r0Z0Z_2 "dout_cut2_r0[2]") (joined + (portRef Q (instanceRef dout_cut2_r0_2)) + (portRef I0 (instanceRef Ysum_6_0_axb_2)) + (portRef I1 (instanceRef Ysum_6_0_axb_3)) + (portRef I1 (instanceRef Ysum_6_0_o5_2)) + )) + (net (rename dout_cut1_r0Z0Z_2 "dout_cut1_r0[2]") (joined + (portRef Q (instanceRef dout_cut1_r0_2)) + (portRef I1 (instanceRef Ysum_6_0_axb_2)) + (portRef I0 (instanceRef Ysum_6_0_axb_3)) + (portRef I2 (instanceRef Ysum_6_0_o5_2)) + )) + (net (rename Ysum_6_0_o5Z0Z_2 "Ysum_6_0_o5_2") (joined + (portRef O (instanceRef Ysum_6_0_o5_2)) + (portRef (member DI 0) (instanceRef Ysum_6_0_cry_3)) + )) + (net (rename dout_cut3_r0Z0Z_3 "dout_cut3_r0[3]") (joined + (portRef Q (instanceRef dout_cut3_r0_3)) + (portRef I2 (instanceRef Ysum_6_0_axb_3)) + (portRef I1 (instanceRef Ysum_6_0_axb_4)) + (portRef I0 (instanceRef Ysum_6_0_o5_3)) + )) + (net (rename dout_cut1_r0Z0Z_3 "dout_cut1_r0[3]") (joined + (portRef Q (instanceRef dout_cut1_r0_3)) + (portRef I4 (instanceRef Ysum_6_0_axb_3)) + (portRef I5 (instanceRef Ysum_6_0_axb_4)) + (portRef I1 (instanceRef Ysum_6_0_o5_3)) + )) + (net (rename dout_cut2_r0Z0Z_3 "dout_cut2_r0[3]") (joined + (portRef Q (instanceRef dout_cut2_r0_3)) + (portRef I5 (instanceRef Ysum_6_0_axb_3)) + (portRef I3 (instanceRef Ysum_6_0_axb_4)) + (portRef I2 (instanceRef Ysum_6_0_o5_3)) + )) + (net (rename Ysum_6_0_o5Z0Z_3 "Ysum_6_0_o5_3") (joined + (portRef O (instanceRef Ysum_6_0_o5_3)) + (portRef (member DI 3) (instanceRef Ysum_6_0_cry_7)) + )) + (net (rename dout_cut3_r0Z0Z_4 "dout_cut3_r0[4]") (joined + (portRef Q (instanceRef dout_cut3_r0_4)) + (portRef I2 (instanceRef Ysum_6_0_axb_5)) + (portRef I2 (instanceRef Ysum_6_0_axb_4)) + (portRef I0 (instanceRef Ysum_6_0_o5_4)) + )) + (net (rename dout_cut2_r0Z0Z_4 "dout_cut2_r0[4]") (joined + (portRef Q (instanceRef dout_cut2_r0_4)) + (portRef I4 (instanceRef Ysum_6_0_axb_5)) + (portRef I0 (instanceRef Ysum_6_0_axb_4)) + (portRef I1 (instanceRef Ysum_6_0_o5_4)) + )) + (net (rename dout_cut1_r0Z0Z_4 "dout_cut1_r0[4]") (joined + (portRef Q (instanceRef dout_cut1_r0_4)) + (portRef I5 (instanceRef Ysum_6_0_axb_5)) + (portRef I4 (instanceRef Ysum_6_0_axb_4)) + (portRef I2 (instanceRef Ysum_6_0_o5_4)) + )) + (net (rename Ysum_6_0_o5Z0Z_4 "Ysum_6_0_o5_4") (joined + (portRef O (instanceRef Ysum_6_0_o5_4)) + (portRef (member DI 2) (instanceRef Ysum_6_0_cry_7)) + )) + (net (rename dout_cut2_r0Z0Z_5 "dout_cut2_r0[5]") (joined + (portRef Q (instanceRef dout_cut2_r0_5)) + (portRef I0 (instanceRef Ysum_6_0_axb_5)) + (portRef I0 (instanceRef Ysum_6_0_axb_6)) + (portRef I0 (instanceRef Ysum_6_0_o5_5)) + )) + (net (rename dout_cut3_r0Z0Z_5 "dout_cut3_r0[5]") (joined + (portRef Q (instanceRef dout_cut3_r0_5)) + (portRef I1 (instanceRef Ysum_6_0_axb_5)) + (portRef I2 (instanceRef Ysum_6_0_axb_6)) + (portRef I1 (instanceRef Ysum_6_0_o5_5)) + )) + (net (rename dout_cut1_r0Z0Z_5 "dout_cut1_r0[5]") (joined + (portRef Q (instanceRef dout_cut1_r0_5)) + (portRef I3 (instanceRef Ysum_6_0_axb_5)) + (portRef I5 (instanceRef Ysum_6_0_axb_6)) + (portRef I2 (instanceRef Ysum_6_0_o5_5)) + )) + (net (rename Ysum_6_0_o5Z0Z_5 "Ysum_6_0_o5_5") (joined + (portRef O (instanceRef Ysum_6_0_o5_5)) + (portRef (member DI 1) (instanceRef Ysum_6_0_cry_7)) + )) + (net (rename dout_cut2_r0Z0Z_6 "dout_cut2_r0[6]") (joined + (portRef Q (instanceRef dout_cut2_r0_6)) + (portRef I1 (instanceRef Ysum_6_0_axb_6)) + (portRef I4 (instanceRef Ysum_6_0_axb_7)) + (portRef I0 (instanceRef Ysum_6_0_o5_6)) + )) + (net (rename dout_cut1_r0Z0Z_6 "dout_cut1_r0[6]") (joined + (portRef Q (instanceRef dout_cut1_r0_6)) + (portRef I3 (instanceRef Ysum_6_0_axb_6)) + (portRef I0 (instanceRef Ysum_6_0_axb_7)) + (portRef I1 (instanceRef Ysum_6_0_o5_6)) + )) + (net (rename dout_cut3_r0Z0Z_6 "dout_cut3_r0[6]") (joined + (portRef Q (instanceRef dout_cut3_r0_6)) + (portRef I4 (instanceRef Ysum_6_0_axb_6)) + (portRef I2 (instanceRef Ysum_6_0_axb_7)) + (portRef I2 (instanceRef Ysum_6_0_o5_6)) + )) + (net (rename Ysum_6_0_o5Z0Z_6 "Ysum_6_0_o5_6") (joined + (portRef O (instanceRef Ysum_6_0_o5_6)) + (portRef (member DI 0) (instanceRef Ysum_6_0_cry_7)) + )) + (net (rename dout_cut1_r0Z0Z_7 "dout_cut1_r0[7]") (joined + (portRef Q (instanceRef dout_cut1_r0_7)) + (portRef I1 (instanceRef Ysum_6_0_axb_8)) + (portRef I3 (instanceRef Ysum_6_0_axb_7)) + (portRef I0 (instanceRef Ysum_6_0_o5_7)) + )) + (net (rename dout_cut3_r0Z0Z_7 "dout_cut3_r0[7]") (joined + (portRef Q (instanceRef dout_cut3_r0_7)) + (portRef I2 (instanceRef Ysum_6_0_axb_8)) + (portRef I1 (instanceRef Ysum_6_0_axb_7)) + (portRef I1 (instanceRef Ysum_6_0_o5_7)) + )) + (net (rename dout_cut2_r0Z0Z_7 "dout_cut2_r0[7]") (joined + (portRef Q (instanceRef dout_cut2_r0_7)) + (portRef I5 (instanceRef Ysum_6_0_axb_8)) + (portRef I5 (instanceRef Ysum_6_0_axb_7)) + (portRef I2 (instanceRef Ysum_6_0_o5_7)) + )) + (net (rename Ysum_6_0_o5Z0Z_7 "Ysum_6_0_o5_7") (joined + (portRef O (instanceRef Ysum_6_0_o5_7)) + (portRef (member DI 3) (instanceRef Ysum_6_0_cry_11)) + )) + (net (rename dout_cut2_r0Z0Z_8 "dout_cut2_r0[8]") (joined + (portRef Q (instanceRef dout_cut2_r0_8)) + (portRef I4 (instanceRef Ysum_6_0_axb_8)) + (portRef I2 (instanceRef Ysum_6_0_axb_9)) + (portRef I0 (instanceRef Ysum_6_0_o5_8)) + )) + (net (rename dout_cut3_r0Z0Z_8 "dout_cut3_r0[8]") (joined + (portRef Q (instanceRef dout_cut3_r0_8)) + (portRef I3 (instanceRef Ysum_6_0_axb_8)) + (portRef I0 (instanceRef Ysum_6_0_axb_9)) + (portRef I1 (instanceRef Ysum_6_0_o5_8)) + )) + (net (rename dout_cut1_r0Z0Z_8 "dout_cut1_r0[8]") (joined + (portRef Q (instanceRef dout_cut1_r0_8)) + (portRef I0 (instanceRef Ysum_6_0_axb_8)) + (portRef I3 (instanceRef Ysum_6_0_axb_9)) + (portRef I2 (instanceRef Ysum_6_0_o5_8)) + )) + (net (rename Ysum_6_0_o5Z0Z_8 "Ysum_6_0_o5_8") (joined + (portRef O (instanceRef Ysum_6_0_o5_8)) + (portRef (member DI 2) (instanceRef Ysum_6_0_cry_11)) + )) + (net (rename dout_cut3_r0Z0Z_9 "dout_cut3_r0[9]") (joined + (portRef Q (instanceRef dout_cut3_r0_9)) + (portRef I1 (instanceRef Ysum_6_0_axb_9)) + (portRef I0 (instanceRef Ysum_6_0_axb_10)) + (portRef I0 (instanceRef Ysum_6_0_o5_9)) + )) + (net (rename dout_cut1_r0Z0Z_9 "dout_cut1_r0[9]") (joined + (portRef Q (instanceRef dout_cut1_r0_9)) + (portRef I4 (instanceRef Ysum_6_0_axb_9)) + (portRef I4 (instanceRef Ysum_6_0_axb_10)) + (portRef I1 (instanceRef Ysum_6_0_o5_9)) + )) + (net (rename dout_cut2_r0Z0Z_9 "dout_cut2_r0[9]") (joined + (portRef Q (instanceRef dout_cut2_r0_9)) + (portRef I5 (instanceRef Ysum_6_0_axb_9)) + (portRef I5 (instanceRef Ysum_6_0_axb_10)) + (portRef I2 (instanceRef Ysum_6_0_o5_9)) + )) + (net (rename Ysum_6_0_o5Z0Z_9 "Ysum_6_0_o5_9") (joined + (portRef O (instanceRef Ysum_6_0_o5_9)) + (portRef (member DI 1) (instanceRef Ysum_6_0_cry_11)) + )) + (net (rename dout_cut2_r0Z0Z_10 "dout_cut2_r0[10]") (joined + (portRef Q (instanceRef dout_cut2_r0_10)) + (portRef I1 (instanceRef Ysum_6_0_axb_10)) + (portRef I4 (instanceRef Ysum_6_0_axb_11)) + (portRef I0 (instanceRef Ysum_6_0_o5_10)) + )) + (net (rename dout_cut3_r0Z0Z_10 "dout_cut3_r0[10]") (joined + (portRef Q (instanceRef dout_cut3_r0_10)) + (portRef I2 (instanceRef Ysum_6_0_axb_10)) + (portRef I3 (instanceRef Ysum_6_0_axb_11)) + (portRef I1 (instanceRef Ysum_6_0_o5_10)) + )) + (net (rename dout_cut1_r0Z0Z_10 "dout_cut1_r0[10]") (joined + (portRef Q (instanceRef dout_cut1_r0_10)) + (portRef I3 (instanceRef Ysum_6_0_axb_10)) + (portRef I2 (instanceRef Ysum_6_0_axb_11)) + (portRef I2 (instanceRef Ysum_6_0_o5_10)) + )) + (net (rename Ysum_6_0_o5Z0Z_10 "Ysum_6_0_o5_10") (joined + (portRef O (instanceRef Ysum_6_0_o5_10)) + (portRef (member DI 0) (instanceRef Ysum_6_0_cry_11)) + )) + (net (rename dout_cut3_r0Z0Z_11 "dout_cut3_r0[11]") (joined + (portRef Q (instanceRef dout_cut3_r0_11)) + (portRef I1 (instanceRef Ysum_6_0_axb_11)) + (portRef I3 (instanceRef Ysum_6_0_axb_12)) + (portRef I0 (instanceRef Ysum_6_0_o5_11)) + )) + (net (rename dout_cut1_r0Z0Z_11 "dout_cut1_r0[11]") (joined + (portRef Q (instanceRef dout_cut1_r0_11)) + (portRef I0 (instanceRef Ysum_6_0_axb_11)) + (portRef I4 (instanceRef Ysum_6_0_axb_12)) + (portRef I1 (instanceRef Ysum_6_0_o5_11)) + )) + (net (rename dout_cut2_r0Z0Z_11 "dout_cut2_r0[11]") (joined + (portRef Q (instanceRef dout_cut2_r0_11)) + (portRef I5 (instanceRef Ysum_6_0_axb_11)) + (portRef I0 (instanceRef Ysum_6_0_axb_12)) + (portRef I2 (instanceRef Ysum_6_0_o5_11)) + )) + (net (rename Ysum_6_0_o5Z0Z_11 "Ysum_6_0_o5_11") (joined + (portRef O (instanceRef Ysum_6_0_o5_11)) + (portRef (member DI 3) (instanceRef Ysum_6_0_cry_15)) + )) + (net (rename dout_cut2_r0Z0Z_12 "dout_cut2_r0[12]") (joined + (portRef Q (instanceRef dout_cut2_r0_12)) + (portRef I1 (instanceRef Ysum_6_0_axb_12)) + (portRef I3 (instanceRef Ysum_6_0_axb_13)) + (portRef I0 (instanceRef Ysum_6_0_o5_12)) + )) + (net (rename dout_cut3_r0Z0Z_12 "dout_cut3_r0[12]") (joined + (portRef Q (instanceRef dout_cut3_r0_12)) + (portRef I2 (instanceRef Ysum_6_0_axb_12)) + (portRef I4 (instanceRef Ysum_6_0_axb_13)) + (portRef I1 (instanceRef Ysum_6_0_o5_12)) + )) + (net (rename dout_cut1_r0Z0Z_12 "dout_cut1_r0[12]") (joined + (portRef Q (instanceRef dout_cut1_r0_12)) + (portRef I5 (instanceRef Ysum_6_0_axb_12)) + (portRef I0 (instanceRef Ysum_6_0_axb_13)) + (portRef I2 (instanceRef Ysum_6_0_o5_12)) + )) + (net (rename Ysum_6_0_o5Z0Z_12 "Ysum_6_0_o5_12") (joined + (portRef O (instanceRef Ysum_6_0_o5_12)) + (portRef (member DI 2) (instanceRef Ysum_6_0_cry_15)) + )) + (net (rename dout_cut1_r0Z0Z_13 "dout_cut1_r0[13]") (joined + (portRef Q (instanceRef dout_cut1_r0_13)) + (portRef I1 (instanceRef Ysum_6_0_axb_13)) + (portRef I0 (instanceRef Ysum_6_0_axb_14)) + (portRef I0 (instanceRef Ysum_6_0_o5_13)) + )) + (net (rename dout_cut3_r0Z0Z_13 "dout_cut3_r0[13]") (joined + (portRef Q (instanceRef dout_cut3_r0_13)) + (portRef I2 (instanceRef Ysum_6_0_axb_13)) + (portRef I2 (instanceRef Ysum_6_0_axb_14)) + (portRef I1 (instanceRef Ysum_6_0_o5_13)) + )) + (net (rename dout_cut2_r0Z0Z_13 "dout_cut2_r0[13]") (joined + (portRef Q (instanceRef dout_cut2_r0_13)) + (portRef I5 (instanceRef Ysum_6_0_axb_13)) + (portRef I5 (instanceRef Ysum_6_0_axb_14)) + (portRef I2 (instanceRef Ysum_6_0_o5_13)) + )) + (net (rename Ysum_6_0_o5Z0Z_13 "Ysum_6_0_o5_13") (joined + (portRef O (instanceRef Ysum_6_0_o5_13)) + (portRef (member DI 1) (instanceRef Ysum_6_0_cry_15)) + )) + (net (rename dout_cut2_r0Z0Z_14 "dout_cut2_r0[14]") (joined + (portRef Q (instanceRef dout_cut2_r0_14)) + (portRef I0 (instanceRef Ysum_6_0_axb_15)) + (portRef I3 (instanceRef Ysum_6_0_axb_14)) + (portRef I0 (instanceRef Ysum_6_0_o5_14)) + )) + (net (rename dout_cut3_r0Z0Z_14 "dout_cut3_r0[14]") (joined + (portRef Q (instanceRef dout_cut3_r0_14)) + (portRef I1 (instanceRef Ysum_6_0_axb_15)) + (portRef I4 (instanceRef Ysum_6_0_axb_14)) + (portRef I1 (instanceRef Ysum_6_0_o5_14)) + )) + (net (rename dout_cut1_r0Z0Z_14 "dout_cut1_r0[14]") (joined + (portRef Q (instanceRef dout_cut1_r0_14)) + (portRef I3 (instanceRef Ysum_6_0_axb_15)) + (portRef I1 (instanceRef Ysum_6_0_axb_14)) + (portRef I2 (instanceRef Ysum_6_0_o5_14)) + )) + (net (rename Ysum_6_0_o5Z0Z_14 "Ysum_6_0_o5_14") (joined + (portRef O (instanceRef Ysum_6_0_o5_14)) + (portRef (member DI 0) (instanceRef Ysum_6_0_cry_15)) + )) + (net en (joined + (portRef en) + (portRef en (instanceRef inst_iir_0)) + (portRef en (instanceRef inst_iir_1)) + (portRef en (instanceRef inst_iir_2)) + (portRef en (instanceRef inst_iir_3)) + (portRef en (instanceRef inst_iir_4)) + (portRef en (instanceRef inst_iir_5)) + (portRef en (instanceRef inst_diffRe)) + (portRef CE (instanceRef dout_cut5_r0_15)) + (portRef CE (instanceRef dout_cut5_r0_14)) + (portRef CE (instanceRef dout_cut5_r0_13)) + (portRef CE (instanceRef dout_cut5_r0_12)) + (portRef CE (instanceRef dout_cut5_r0_11)) + (portRef CE (instanceRef dout_cut5_r0_10)) + (portRef CE (instanceRef dout_cut5_r0_9)) + (portRef CE (instanceRef dout_cut5_r0_8)) + (portRef CE (instanceRef dout_cut5_r0_7)) + (portRef CE (instanceRef dout_cut5_r0_6)) + (portRef CE (instanceRef dout_cut5_r0_5)) + (portRef CE (instanceRef dout_cut5_r0_4)) + (portRef CE (instanceRef dout_cut5_r0_3)) + (portRef CE (instanceRef dout_cut5_r0_2)) + (portRef CE (instanceRef dout_cut5_r0_1)) + (portRef CE (instanceRef dout_cut5_r0_0)) + (portRef CE (instanceRef dout_cut4_r0_15)) + (portRef CE (instanceRef dout_cut4_r0_14)) + (portRef CE (instanceRef dout_cut4_r0_13)) + (portRef CE (instanceRef dout_cut4_r0_12)) + (portRef CE (instanceRef dout_cut4_r0_11)) + (portRef CE (instanceRef dout_cut4_r0_10)) + (portRef CE (instanceRef dout_cut4_r0_9)) + (portRef CE (instanceRef dout_cut4_r0_8)) + (portRef CE (instanceRef dout_cut4_r0_7)) + (portRef CE (instanceRef dout_cut4_r0_6)) + (portRef CE (instanceRef dout_cut4_r0_5)) + (portRef CE (instanceRef dout_cut4_r0_4)) + (portRef CE (instanceRef dout_cut4_r0_3)) + (portRef CE (instanceRef dout_cut4_r0_2)) + (portRef CE (instanceRef dout_cut4_r0_1)) + (portRef CE (instanceRef dout_cut4_r0_0)) + (portRef CE (instanceRef dout_cut3_r0_15)) + (portRef CE (instanceRef dout_cut3_r0_14)) + (portRef CE (instanceRef dout_cut3_r0_13)) + (portRef CE (instanceRef dout_cut3_r0_12)) + (portRef CE (instanceRef dout_cut3_r0_11)) + (portRef CE (instanceRef dout_cut3_r0_10)) + (portRef CE (instanceRef dout_cut3_r0_9)) + (portRef CE (instanceRef dout_cut3_r0_8)) + (portRef CE (instanceRef dout_cut3_r0_7)) + (portRef CE (instanceRef dout_cut3_r0_6)) + (portRef CE (instanceRef dout_cut3_r0_5)) + (portRef CE (instanceRef dout_cut3_r0_4)) + (portRef CE (instanceRef dout_cut3_r0_3)) + (portRef CE (instanceRef dout_cut3_r0_2)) + (portRef CE (instanceRef dout_cut3_r0_1)) + (portRef CE (instanceRef dout_cut3_r0_0)) + (portRef CE (instanceRef dout_cut2_r0_15)) + (portRef CE (instanceRef dout_cut2_r0_14)) + (portRef CE (instanceRef dout_cut2_r0_13)) + (portRef CE (instanceRef dout_cut2_r0_12)) + (portRef CE (instanceRef dout_cut2_r0_11)) + (portRef CE (instanceRef dout_cut2_r0_10)) + (portRef CE (instanceRef dout_cut2_r0_9)) + (portRef CE (instanceRef dout_cut2_r0_8)) + (portRef CE (instanceRef dout_cut2_r0_7)) + (portRef CE (instanceRef dout_cut2_r0_6)) + (portRef CE (instanceRef dout_cut2_r0_5)) + (portRef CE (instanceRef dout_cut2_r0_4)) + (portRef CE (instanceRef dout_cut2_r0_3)) + (portRef CE (instanceRef dout_cut2_r0_2)) + (portRef CE (instanceRef dout_cut2_r0_1)) + (portRef CE (instanceRef dout_cut2_r0_0)) + (portRef CE (instanceRef dout_cut1_r0_15)) + (portRef CE (instanceRef dout_cut1_r0_14)) + (portRef CE (instanceRef dout_cut1_r0_13)) + (portRef CE (instanceRef dout_cut1_r0_12)) + (portRef CE (instanceRef dout_cut1_r0_11)) + (portRef CE (instanceRef dout_cut1_r0_10)) + (portRef CE (instanceRef dout_cut1_r0_9)) + (portRef CE (instanceRef dout_cut1_r0_8)) + (portRef CE (instanceRef dout_cut1_r0_7)) + (portRef CE (instanceRef dout_cut1_r0_6)) + (portRef CE (instanceRef dout_cut1_r0_5)) + (portRef CE (instanceRef dout_cut1_r0_4)) + (portRef CE (instanceRef dout_cut1_r0_3)) + (portRef CE (instanceRef dout_cut1_r0_2)) + (portRef CE (instanceRef dout_cut1_r0_1)) + (portRef CE (instanceRef dout_cut1_r0_0)) + (portRef CE (instanceRef dout_cut0_r0_15)) + (portRef CE (instanceRef dout_cut0_r0_14)) + (portRef CE (instanceRef dout_cut0_r0_13)) + (portRef CE (instanceRef dout_cut0_r0_12)) + (portRef CE (instanceRef dout_cut0_r0_11)) + (portRef CE (instanceRef dout_cut0_r0_10)) + (portRef CE (instanceRef dout_cut0_r0_9)) + (portRef CE (instanceRef dout_cut0_r0_8)) + (portRef CE (instanceRef dout_cut0_r0_7)) + (portRef CE (instanceRef dout_cut0_r0_6)) + (portRef CE (instanceRef dout_cut0_r0_5)) + (portRef CE (instanceRef dout_cut0_r0_4)) + (portRef CE (instanceRef dout_cut0_r0_3)) + (portRef CE (instanceRef dout_cut0_r0_2)) + (portRef CE (instanceRef dout_cut0_r0_1)) + (portRef CE (instanceRef dout_cut0_r0_0)) + (portRef CE (instanceRef dout_round_5_35)) + (portRef CE (instanceRef dout_round_5_34)) + (portRef CE (instanceRef dout_round_5_33)) + (portRef CE (instanceRef dout_round_5_32)) + (portRef CE (instanceRef dout_round_5_31)) + (portRef CE (instanceRef dout_round_5_30)) + (portRef CE (instanceRef dout_round_5_29)) + (portRef CE (instanceRef dout_round_5_28)) + (portRef CE (instanceRef dout_round_5_27)) + (portRef CE (instanceRef dout_round_5_26)) + (portRef CE (instanceRef dout_round_5_25)) + (portRef CE (instanceRef dout_round_5_24)) + (portRef CE (instanceRef dout_round_5_23)) + (portRef CE (instanceRef dout_round_5_22)) + (portRef CE (instanceRef dout_round_5_21)) + (portRef CE (instanceRef dout_round_5_20)) + (portRef CE (instanceRef dout_round_4_35)) + (portRef CE (instanceRef dout_round_4_34)) + (portRef CE (instanceRef dout_round_4_33)) + (portRef CE (instanceRef dout_round_4_32)) + (portRef CE (instanceRef dout_round_4_31)) + (portRef CE (instanceRef dout_round_4_30)) + (portRef CE (instanceRef dout_round_4_29)) + (portRef CE (instanceRef dout_round_4_28)) + (portRef CE (instanceRef dout_round_4_27)) + (portRef CE (instanceRef dout_round_4_26)) + (portRef CE (instanceRef dout_round_4_25)) + (portRef CE (instanceRef dout_round_4_24)) + (portRef CE (instanceRef dout_round_4_23)) + (portRef CE (instanceRef dout_round_4_22)) + (portRef CE (instanceRef dout_round_4_21)) + (portRef CE (instanceRef dout_round_4_20)) + (portRef CE (instanceRef dout_round_3_35)) + (portRef CE (instanceRef dout_round_3_34)) + (portRef CE (instanceRef dout_round_3_33)) + (portRef CE (instanceRef dout_round_3_32)) + (portRef CE (instanceRef dout_round_3_31)) + (portRef CE (instanceRef dout_round_3_30)) + (portRef CE (instanceRef dout_round_3_29)) + (portRef CE (instanceRef dout_round_3_28)) + (portRef CE (instanceRef dout_round_3_27)) + (portRef CE (instanceRef dout_round_3_26)) + (portRef CE (instanceRef dout_round_3_25)) + (portRef CE (instanceRef dout_round_3_24)) + (portRef CE (instanceRef dout_round_3_23)) + (portRef CE (instanceRef dout_round_3_22)) + (portRef CE (instanceRef dout_round_3_21)) + (portRef CE (instanceRef dout_round_3_20)) + (portRef CE (instanceRef dout_round_2_35)) + (portRef CE (instanceRef dout_round_2_34)) + (portRef CE (instanceRef dout_round_2_33)) + (portRef CE (instanceRef dout_round_2_32)) + (portRef CE (instanceRef dout_round_2_31)) + (portRef CE (instanceRef dout_round_2_30)) + (portRef CE (instanceRef dout_round_2_29)) + (portRef CE (instanceRef dout_round_2_28)) + (portRef CE (instanceRef dout_round_2_27)) + (portRef CE (instanceRef dout_round_2_26)) + (portRef CE (instanceRef dout_round_2_25)) + (portRef CE (instanceRef dout_round_2_24)) + (portRef CE (instanceRef dout_round_2_23)) + (portRef CE (instanceRef dout_round_2_22)) + (portRef CE (instanceRef dout_round_2_21)) + (portRef CE (instanceRef dout_round_2_20)) + (portRef CE (instanceRef dout_round_1_35)) + (portRef CE (instanceRef dout_round_1_34)) + (portRef CE (instanceRef dout_round_1_33)) + (portRef CE (instanceRef dout_round_1_32)) + (portRef CE (instanceRef dout_round_1_31)) + (portRef CE (instanceRef dout_round_1_30)) + (portRef CE (instanceRef dout_round_1_29)) + (portRef CE (instanceRef dout_round_1_28)) + (portRef CE (instanceRef dout_round_1_27)) + (portRef CE (instanceRef dout_round_1_26)) + (portRef CE (instanceRef dout_round_1_25)) + (portRef CE (instanceRef dout_round_1_24)) + (portRef CE (instanceRef dout_round_1_23)) + (portRef CE (instanceRef dout_round_1_22)) + (portRef CE (instanceRef dout_round_1_21)) + (portRef CE (instanceRef dout_round_1_20)) + (portRef CE (instanceRef dout_round_0_35)) + (portRef CE (instanceRef dout_round_0_34)) + (portRef CE (instanceRef dout_round_0_33)) + (portRef CE (instanceRef dout_round_0_32)) + (portRef CE (instanceRef dout_round_0_31)) + (portRef CE (instanceRef dout_round_0_30)) + (portRef CE (instanceRef dout_round_0_29)) + (portRef CE (instanceRef dout_round_0_28)) + (portRef CE (instanceRef dout_round_0_27)) + (portRef CE (instanceRef dout_round_0_26)) + (portRef CE (instanceRef dout_round_0_25)) + (portRef CE (instanceRef dout_round_0_24)) + (portRef CE (instanceRef dout_round_0_23)) + (portRef CE (instanceRef dout_round_0_22)) + (portRef CE (instanceRef dout_round_0_21)) + (portRef CE (instanceRef dout_round_0_20)) + (portRef CE (instanceRef din_r0_0)) + (portRef CE (instanceRef din_r1_0)) + (portRef CE (instanceRef din_r0_1)) + (portRef CE (instanceRef din_r1_1)) + (portRef CE (instanceRef din_r0_2)) + (portRef CE (instanceRef din_r1_2)) + (portRef CE (instanceRef din_r0_3)) + (portRef CE (instanceRef din_r1_3)) + (portRef CE (instanceRef din_r0_4)) + (portRef CE (instanceRef din_r1_4)) + (portRef CE (instanceRef din_r0_5)) + (portRef CE (instanceRef din_r1_5)) + (portRef CE (instanceRef din_r0_6)) + (portRef CE (instanceRef din_r1_6)) + (portRef CE (instanceRef din_r0_7)) + (portRef CE (instanceRef din_r1_7)) + (portRef CE (instanceRef din_r0_8)) + (portRef CE (instanceRef din_r1_8)) + (portRef CE (instanceRef din_r0_9)) + (portRef CE (instanceRef din_r1_9)) + (portRef CE (instanceRef din_r0_10)) + (portRef CE (instanceRef din_r1_10)) + (portRef CE (instanceRef din_r0_11)) + (portRef CE (instanceRef din_r1_11)) + (portRef CE (instanceRef din_r0_12)) + (portRef CE (instanceRef din_r1_12)) + (portRef CE (instanceRef din_r0_13)) + (portRef CE (instanceRef din_r1_13)) + (portRef CE (instanceRef din_r0_14)) + (portRef CE (instanceRef din_r1_14)) + (portRef CE (instanceRef din_r0_15)) + (portRef CE (instanceRef din_r1_15)) + (portRef CE (instanceRef din_r4_DOUT_0)) + (portRef CE (instanceRef din_r4_0_DOUT_0)) + (portRef CE (instanceRef din_r4_1_DOUT_0)) + (portRef CE (instanceRef din_r4_2_DOUT_0)) + (portRef CE (instanceRef din_r4_3_DOUT_0)) + (portRef CE (instanceRef din_r4_4_DOUT_0)) + (portRef CE (instanceRef din_r4_5_DOUT_0)) + (portRef CE (instanceRef din_r4_6_DOUT_0)) + (portRef CE (instanceRef din_r4_7_DOUT_0)) + (portRef CE (instanceRef din_r4_8_DOUT_0)) + (portRef CE (instanceRef din_r4_9_DOUT_0)) + (portRef CE (instanceRef din_r4_10_DOUT_0)) + (portRef CE (instanceRef din_r4_11_DOUT_0)) + (portRef CE (instanceRef din_r4_12_DOUT_0)) + (portRef CE (instanceRef din_r4_13_DOUT_0)) + (portRef CE (instanceRef din_r4_14_DOUT_0)) + (portRef CE (instanceRef din_r4_inst_TailCorr_top_din_r4_1)) + (portRef CE (instanceRef din_r4_0_inst_TailCorr_top_din_r4_1)) + (portRef CE (instanceRef din_r4_1_inst_TailCorr_top_din_r4_1)) + (portRef CE (instanceRef din_r4_2_inst_TailCorr_top_din_r4_1)) + (portRef CE (instanceRef din_r4_3_inst_TailCorr_top_din_r4_1)) + (portRef CE (instanceRef din_r4_4_inst_TailCorr_top_din_r4_1)) + (portRef CE (instanceRef din_r4_5_inst_TailCorr_top_din_r4_1)) + (portRef CE (instanceRef din_r4_6_inst_TailCorr_top_din_r4_1)) + (portRef CE (instanceRef din_r4_7_inst_TailCorr_top_din_r4_1)) + (portRef CE (instanceRef din_r4_8_inst_TailCorr_top_din_r4_1)) + (portRef CE (instanceRef din_r4_9_inst_TailCorr_top_din_r4_1)) + (portRef CE (instanceRef din_r4_10_inst_TailCorr_top_din_r4_1)) + (portRef CE (instanceRef din_r4_11_inst_TailCorr_top_din_r4_1)) + (portRef CE (instanceRef din_r4_12_inst_TailCorr_top_din_r4_1)) + (portRef CE (instanceRef din_r4_13_inst_TailCorr_top_din_r4_1)) + (portRef CE (instanceRef din_r4_14_inst_TailCorr_top_din_r4_1)) + (portRef I0 (instanceRef dout_r_3_sqmuxa_i)) + )) + (net (rename din_re_15 "din_re[15]") (joined + (portRef (member din_re 0)) + (portRef D (instanceRef din_r0_15)) + (portRef I0 (instanceRef dout_r_8_iv_15)) + )) + (net (rename dout_r_8_ivZ0Z_12 "dout_r_8_iv_12") (joined + (portRef LO (instanceRef dout_r_8_iv_15)) + (portRef D (instanceRef dout_r_15)) + )) + (net (rename dout_2_20 "dout_2[20]") (joined + (portRef (member dout_2 15) (instanceRef inst_iir_2)) + (portRef I0 (instanceRef un1_dout_2_1_axb_20)) + )) + (net (rename un1_dout_2_1_axbZ0Z_20 "un1_dout_2_1_axb_20") (joined + (portRef LO (instanceRef un1_dout_2_1_axb_20)) + (portRef (member S 3) (instanceRef un1_dout_2_1_cry_23)) + )) + (net (rename dout_2_21 "dout_2[21]") (joined + (portRef (member dout_2 14) (instanceRef inst_iir_2)) + (portRef I0 (instanceRef un1_dout_2_1_axb_21)) + )) + (net (rename un1_dout_2_1_axbZ0Z_21 "un1_dout_2_1_axb_21") (joined + (portRef LO (instanceRef un1_dout_2_1_axb_21)) + (portRef (member S 2) (instanceRef un1_dout_2_1_cry_23)) + )) + (net (rename dout_2_22 "dout_2[22]") (joined + (portRef (member dout_2 13) (instanceRef inst_iir_2)) + (portRef I0 (instanceRef un1_dout_2_1_axb_22)) + )) + (net (rename un1_dout_2_1_axbZ0Z_22 "un1_dout_2_1_axb_22") (joined + (portRef LO (instanceRef un1_dout_2_1_axb_22)) + (portRef (member S 1) (instanceRef un1_dout_2_1_cry_23)) + )) + (net (rename dout_2_23 "dout_2[23]") (joined + (portRef (member dout_2 12) (instanceRef inst_iir_2)) + (portRef I0 (instanceRef un1_dout_2_1_axb_23)) + )) + (net (rename un1_dout_2_1_axbZ0Z_23 "un1_dout_2_1_axb_23") (joined + (portRef LO (instanceRef un1_dout_2_1_axb_23)) + (portRef (member S 0) (instanceRef un1_dout_2_1_cry_23)) + )) + (net (rename dout_2_24 "dout_2[24]") (joined + (portRef (member dout_2 11) (instanceRef inst_iir_2)) + (portRef I0 (instanceRef un1_dout_2_1_axb_24)) + )) + (net (rename un1_dout_2_1_axbZ0Z_24 "un1_dout_2_1_axb_24") (joined + (portRef LO (instanceRef un1_dout_2_1_axb_24)) + (portRef (member S 3) (instanceRef un1_dout_2_1_cry_27)) + )) + (net (rename dout_2_25 "dout_2[25]") (joined + (portRef (member dout_2 10) (instanceRef inst_iir_2)) + (portRef I0 (instanceRef un1_dout_2_1_axb_25)) + )) + (net (rename un1_dout_2_1_axbZ0Z_25 "un1_dout_2_1_axb_25") (joined + (portRef LO (instanceRef un1_dout_2_1_axb_25)) + (portRef (member S 2) (instanceRef un1_dout_2_1_cry_27)) + )) + (net (rename dout_2_26 "dout_2[26]") (joined + (portRef (member dout_2 9) (instanceRef inst_iir_2)) + (portRef I0 (instanceRef un1_dout_2_1_axb_26)) + )) + (net (rename un1_dout_2_1_axbZ0Z_26 "un1_dout_2_1_axb_26") (joined + (portRef LO (instanceRef un1_dout_2_1_axb_26)) + (portRef (member S 1) (instanceRef un1_dout_2_1_cry_27)) + )) + (net (rename dout_2_27 "dout_2[27]") (joined + (portRef (member dout_2 8) (instanceRef inst_iir_2)) + (portRef I0 (instanceRef un1_dout_2_1_axb_27)) + )) + (net (rename un1_dout_2_1_axbZ0Z_27 "un1_dout_2_1_axb_27") (joined + (portRef LO (instanceRef un1_dout_2_1_axb_27)) + (portRef (member S 0) (instanceRef un1_dout_2_1_cry_27)) + )) + (net (rename dout_2_28 "dout_2[28]") (joined + (portRef (member dout_2 7) (instanceRef inst_iir_2)) + (portRef I0 (instanceRef un1_dout_2_1_axb_28)) + )) + (net (rename un1_dout_2_1_axbZ0Z_28 "un1_dout_2_1_axb_28") (joined + (portRef LO (instanceRef un1_dout_2_1_axb_28)) + (portRef (member S 3) (instanceRef un1_dout_2_1_cry_31)) + )) + (net (rename dout_2_29 "dout_2[29]") (joined + (portRef (member dout_2 6) (instanceRef inst_iir_2)) + (portRef I0 (instanceRef un1_dout_2_1_axb_29)) + )) + (net (rename un1_dout_2_1_axbZ0Z_29 "un1_dout_2_1_axb_29") (joined + (portRef LO (instanceRef un1_dout_2_1_axb_29)) + (portRef (member S 2) (instanceRef un1_dout_2_1_cry_31)) + )) + (net (rename dout_2_30 "dout_2[30]") (joined + (portRef (member dout_2 5) (instanceRef inst_iir_2)) + (portRef I0 (instanceRef un1_dout_2_1_axb_30)) + )) + (net (rename un1_dout_2_1_axbZ0Z_30 "un1_dout_2_1_axb_30") (joined + (portRef LO (instanceRef un1_dout_2_1_axb_30)) + (portRef (member S 1) (instanceRef un1_dout_2_1_cry_31)) + )) + (net (rename dout_2_31 "dout_2[31]") (joined + (portRef (member dout_2 4) (instanceRef inst_iir_2)) + (portRef I0 (instanceRef un1_dout_2_1_axb_31)) + )) + (net (rename un1_dout_2_1_axbZ0Z_31 "un1_dout_2_1_axb_31") (joined + (portRef LO (instanceRef un1_dout_2_1_axb_31)) + (portRef (member S 0) (instanceRef un1_dout_2_1_cry_31)) + )) + (net (rename dout_2_32 "dout_2[32]") (joined + (portRef (member dout_2 3) (instanceRef inst_iir_2)) + (portRef I0 (instanceRef un1_dout_2_1_axb_32)) + )) + (net (rename un1_dout_2_1_axbZ0Z_32 "un1_dout_2_1_axb_32") (joined + (portRef LO (instanceRef un1_dout_2_1_axb_32)) + (portRef (member S 3) (instanceRef un1_dout_2_1_s_35)) + )) + (net (rename dout_2_33 "dout_2[33]") (joined + (portRef (member dout_2 2) (instanceRef inst_iir_2)) + (portRef I0 (instanceRef un1_dout_2_1_axb_33)) + )) + (net (rename un1_dout_2_1_axbZ0Z_33 "un1_dout_2_1_axb_33") (joined + (portRef LO (instanceRef un1_dout_2_1_axb_33)) + (portRef (member S 2) (instanceRef un1_dout_2_1_s_35)) + )) + (net (rename dout_2_34 "dout_2[34]") (joined + (portRef (member dout_2 1) (instanceRef inst_iir_2)) + (portRef I0 (instanceRef un1_dout_2_1_axb_34)) + )) + (net (rename un1_dout_2_1_axbZ0Z_34 "un1_dout_2_1_axb_34") (joined + (portRef LO (instanceRef un1_dout_2_1_axb_34)) + (portRef (member S 1) (instanceRef un1_dout_2_1_s_35)) + )) + (net (rename un1_dout_2_1_axbZ0Z_35 "un1_dout_2_1_axb_35") (joined + (portRef LO (instanceRef un1_dout_2_1_axb_35)) + (portRef (member S 0) (instanceRef un1_dout_2_1_s_35)) + )) + (net (rename dout_3_20 "dout_3[20]") (joined + (portRef (member dout_3 15) (instanceRef inst_iir_3)) + (portRef I0 (instanceRef un1_dout_3_1_axb_20)) + )) + (net (rename un1_dout_3_1_axbZ0Z_20 "un1_dout_3_1_axb_20") (joined + (portRef LO (instanceRef un1_dout_3_1_axb_20)) + (portRef (member S 3) (instanceRef un1_dout_3_1_cry_23)) + )) + (net (rename dout_3_21 "dout_3[21]") (joined + (portRef (member dout_3 14) (instanceRef inst_iir_3)) + (portRef I0 (instanceRef un1_dout_3_1_axb_21)) + )) + (net (rename un1_dout_3_1_axbZ0Z_21 "un1_dout_3_1_axb_21") (joined + (portRef LO (instanceRef un1_dout_3_1_axb_21)) + (portRef (member S 2) (instanceRef un1_dout_3_1_cry_23)) + )) + (net (rename dout_3_22 "dout_3[22]") (joined + (portRef (member dout_3 13) (instanceRef inst_iir_3)) + (portRef I0 (instanceRef un1_dout_3_1_axb_22)) + )) + (net (rename un1_dout_3_1_axbZ0Z_22 "un1_dout_3_1_axb_22") (joined + (portRef LO (instanceRef un1_dout_3_1_axb_22)) + (portRef (member S 1) (instanceRef un1_dout_3_1_cry_23)) + )) + (net (rename dout_3_23 "dout_3[23]") (joined + (portRef (member dout_3 12) (instanceRef inst_iir_3)) + (portRef I0 (instanceRef un1_dout_3_1_axb_23)) + )) + (net (rename un1_dout_3_1_axbZ0Z_23 "un1_dout_3_1_axb_23") (joined + (portRef LO (instanceRef un1_dout_3_1_axb_23)) + (portRef (member S 0) (instanceRef un1_dout_3_1_cry_23)) + )) + (net (rename dout_3_24 "dout_3[24]") (joined + (portRef (member dout_3 11) (instanceRef inst_iir_3)) + (portRef I0 (instanceRef un1_dout_3_1_axb_24)) + )) + (net (rename un1_dout_3_1_axbZ0Z_24 "un1_dout_3_1_axb_24") (joined + (portRef LO (instanceRef un1_dout_3_1_axb_24)) + (portRef (member S 3) (instanceRef un1_dout_3_1_cry_27)) + )) + (net (rename dout_3_25 "dout_3[25]") (joined + (portRef (member dout_3 10) (instanceRef inst_iir_3)) + (portRef I0 (instanceRef un1_dout_3_1_axb_25)) + )) + (net (rename un1_dout_3_1_axbZ0Z_25 "un1_dout_3_1_axb_25") (joined + (portRef LO (instanceRef un1_dout_3_1_axb_25)) + (portRef (member S 2) (instanceRef un1_dout_3_1_cry_27)) + )) + (net (rename dout_3_26 "dout_3[26]") (joined + (portRef (member dout_3 9) (instanceRef inst_iir_3)) + (portRef I0 (instanceRef un1_dout_3_1_axb_26)) + )) + (net (rename un1_dout_3_1_axbZ0Z_26 "un1_dout_3_1_axb_26") (joined + (portRef LO (instanceRef un1_dout_3_1_axb_26)) + (portRef (member S 1) (instanceRef un1_dout_3_1_cry_27)) + )) + (net (rename dout_3_27 "dout_3[27]") (joined + (portRef (member dout_3 8) (instanceRef inst_iir_3)) + (portRef I0 (instanceRef un1_dout_3_1_axb_27)) + )) + (net (rename un1_dout_3_1_axbZ0Z_27 "un1_dout_3_1_axb_27") (joined + (portRef LO (instanceRef un1_dout_3_1_axb_27)) + (portRef (member S 0) (instanceRef un1_dout_3_1_cry_27)) + )) + (net (rename dout_3_28 "dout_3[28]") (joined + (portRef (member dout_3 7) (instanceRef inst_iir_3)) + (portRef I0 (instanceRef un1_dout_3_1_axb_28)) + )) + (net (rename un1_dout_3_1_axbZ0Z_28 "un1_dout_3_1_axb_28") (joined + (portRef LO (instanceRef un1_dout_3_1_axb_28)) + (portRef (member S 3) (instanceRef un1_dout_3_1_cry_31)) + )) + (net (rename dout_3_29 "dout_3[29]") (joined + (portRef (member dout_3 6) (instanceRef inst_iir_3)) + (portRef I0 (instanceRef un1_dout_3_1_axb_29)) + )) + (net (rename un1_dout_3_1_axbZ0Z_29 "un1_dout_3_1_axb_29") (joined + (portRef LO (instanceRef un1_dout_3_1_axb_29)) + (portRef (member S 2) (instanceRef un1_dout_3_1_cry_31)) + )) + (net (rename dout_3_30 "dout_3[30]") (joined + (portRef (member dout_3 5) (instanceRef inst_iir_3)) + (portRef I0 (instanceRef un1_dout_3_1_axb_30)) + )) + (net (rename un1_dout_3_1_axbZ0Z_30 "un1_dout_3_1_axb_30") (joined + (portRef LO (instanceRef un1_dout_3_1_axb_30)) + (portRef (member S 1) (instanceRef un1_dout_3_1_cry_31)) + )) + (net (rename dout_3_31 "dout_3[31]") (joined + (portRef (member dout_3 4) (instanceRef inst_iir_3)) + (portRef I0 (instanceRef un1_dout_3_1_axb_31)) + )) + (net (rename un1_dout_3_1_axbZ0Z_31 "un1_dout_3_1_axb_31") (joined + (portRef LO (instanceRef un1_dout_3_1_axb_31)) + (portRef (member S 0) (instanceRef un1_dout_3_1_cry_31)) + )) + (net (rename dout_3_32 "dout_3[32]") (joined + (portRef (member dout_3 3) (instanceRef inst_iir_3)) + (portRef I0 (instanceRef un1_dout_3_1_axb_32)) + )) + (net (rename un1_dout_3_1_axbZ0Z_32 "un1_dout_3_1_axb_32") (joined + (portRef LO (instanceRef un1_dout_3_1_axb_32)) + (portRef (member S 3) (instanceRef un1_dout_3_1_s_35)) + )) + (net (rename dout_3_33 "dout_3[33]") (joined + (portRef (member dout_3 2) (instanceRef inst_iir_3)) + (portRef I0 (instanceRef un1_dout_3_1_axb_33)) + )) + (net (rename un1_dout_3_1_axbZ0Z_33 "un1_dout_3_1_axb_33") (joined + (portRef LO (instanceRef un1_dout_3_1_axb_33)) + (portRef (member S 2) (instanceRef un1_dout_3_1_s_35)) + )) + (net (rename dout_3_34 "dout_3[34]") (joined + (portRef (member dout_3 1) (instanceRef inst_iir_3)) + (portRef I0 (instanceRef un1_dout_3_1_axb_34)) + )) + (net (rename un1_dout_3_1_axbZ0Z_34 "un1_dout_3_1_axb_34") (joined + (portRef LO (instanceRef un1_dout_3_1_axb_34)) + (portRef (member S 1) (instanceRef un1_dout_3_1_s_35)) + )) + (net (rename un1_dout_3_1_axbZ0Z_35 "un1_dout_3_1_axb_35") (joined + (portRef LO (instanceRef un1_dout_3_1_axb_35)) + (portRef (member S 0) (instanceRef un1_dout_3_1_s_35)) + )) + (net (rename dout_4_20 "dout_4[20]") (joined + (portRef (member dout_4 15) (instanceRef inst_iir_4)) + (portRef I0 (instanceRef un1_dout_4_1_axb_20)) + )) + (net (rename un1_dout_4_1_axbZ0Z_20 "un1_dout_4_1_axb_20") (joined + (portRef LO (instanceRef un1_dout_4_1_axb_20)) + (portRef (member S 3) (instanceRef un1_dout_4_1_cry_23)) + )) + (net (rename dout_4_21 "dout_4[21]") (joined + (portRef (member dout_4 14) (instanceRef inst_iir_4)) + (portRef I0 (instanceRef un1_dout_4_1_axb_21)) + )) + (net (rename un1_dout_4_1_axbZ0Z_21 "un1_dout_4_1_axb_21") (joined + (portRef LO (instanceRef un1_dout_4_1_axb_21)) + (portRef (member S 2) (instanceRef un1_dout_4_1_cry_23)) + )) + (net (rename dout_4_22 "dout_4[22]") (joined + (portRef (member dout_4 13) (instanceRef inst_iir_4)) + (portRef I0 (instanceRef un1_dout_4_1_axb_22)) + )) + (net (rename un1_dout_4_1_axbZ0Z_22 "un1_dout_4_1_axb_22") (joined + (portRef LO (instanceRef un1_dout_4_1_axb_22)) + (portRef (member S 1) (instanceRef un1_dout_4_1_cry_23)) + )) + (net (rename dout_4_23 "dout_4[23]") (joined + (portRef (member dout_4 12) (instanceRef inst_iir_4)) + (portRef I0 (instanceRef un1_dout_4_1_axb_23)) + )) + (net (rename un1_dout_4_1_axbZ0Z_23 "un1_dout_4_1_axb_23") (joined + (portRef LO (instanceRef un1_dout_4_1_axb_23)) + (portRef (member S 0) (instanceRef un1_dout_4_1_cry_23)) + )) + (net (rename dout_4_24 "dout_4[24]") (joined + (portRef (member dout_4 11) (instanceRef inst_iir_4)) + (portRef I0 (instanceRef un1_dout_4_1_axb_24)) + )) + (net (rename un1_dout_4_1_axbZ0Z_24 "un1_dout_4_1_axb_24") (joined + (portRef LO (instanceRef un1_dout_4_1_axb_24)) + (portRef (member S 3) (instanceRef un1_dout_4_1_cry_27)) + )) + (net (rename dout_4_25 "dout_4[25]") (joined + (portRef (member dout_4 10) (instanceRef inst_iir_4)) + (portRef I0 (instanceRef un1_dout_4_1_axb_25)) + )) + (net (rename un1_dout_4_1_axbZ0Z_25 "un1_dout_4_1_axb_25") (joined + (portRef LO (instanceRef un1_dout_4_1_axb_25)) + (portRef (member S 2) (instanceRef un1_dout_4_1_cry_27)) + )) + (net (rename dout_4_26 "dout_4[26]") (joined + (portRef (member dout_4 9) (instanceRef inst_iir_4)) + (portRef I0 (instanceRef un1_dout_4_1_axb_26)) + )) + (net (rename un1_dout_4_1_axbZ0Z_26 "un1_dout_4_1_axb_26") (joined + (portRef LO (instanceRef un1_dout_4_1_axb_26)) + (portRef (member S 1) (instanceRef un1_dout_4_1_cry_27)) + )) + (net (rename dout_4_27 "dout_4[27]") (joined + (portRef (member dout_4 8) (instanceRef inst_iir_4)) + (portRef I0 (instanceRef un1_dout_4_1_axb_27)) + )) + (net (rename un1_dout_4_1_axbZ0Z_27 "un1_dout_4_1_axb_27") (joined + (portRef LO (instanceRef un1_dout_4_1_axb_27)) + (portRef (member S 0) (instanceRef un1_dout_4_1_cry_27)) + )) + (net (rename dout_4_28 "dout_4[28]") (joined + (portRef (member dout_4 7) (instanceRef inst_iir_4)) + (portRef I0 (instanceRef un1_dout_4_1_axb_28)) + )) + (net (rename un1_dout_4_1_axbZ0Z_28 "un1_dout_4_1_axb_28") (joined + (portRef LO (instanceRef un1_dout_4_1_axb_28)) + (portRef (member S 3) (instanceRef un1_dout_4_1_cry_31)) + )) + (net (rename dout_4_29 "dout_4[29]") (joined + (portRef (member dout_4 6) (instanceRef inst_iir_4)) + (portRef I0 (instanceRef un1_dout_4_1_axb_29)) + )) + (net (rename un1_dout_4_1_axbZ0Z_29 "un1_dout_4_1_axb_29") (joined + (portRef LO (instanceRef un1_dout_4_1_axb_29)) + (portRef (member S 2) (instanceRef un1_dout_4_1_cry_31)) + )) + (net (rename dout_4_30 "dout_4[30]") (joined + (portRef (member dout_4 5) (instanceRef inst_iir_4)) + (portRef I0 (instanceRef un1_dout_4_1_axb_30)) + )) + (net (rename un1_dout_4_1_axbZ0Z_30 "un1_dout_4_1_axb_30") (joined + (portRef LO (instanceRef un1_dout_4_1_axb_30)) + (portRef (member S 1) (instanceRef un1_dout_4_1_cry_31)) + )) + (net (rename dout_4_31 "dout_4[31]") (joined + (portRef (member dout_4 4) (instanceRef inst_iir_4)) + (portRef I0 (instanceRef un1_dout_4_1_axb_31)) + )) + (net (rename un1_dout_4_1_axbZ0Z_31 "un1_dout_4_1_axb_31") (joined + (portRef LO (instanceRef un1_dout_4_1_axb_31)) + (portRef (member S 0) (instanceRef un1_dout_4_1_cry_31)) + )) + (net (rename dout_4_32 "dout_4[32]") (joined + (portRef (member dout_4 3) (instanceRef inst_iir_4)) + (portRef I0 (instanceRef un1_dout_4_1_axb_32)) + )) + (net (rename un1_dout_4_1_axbZ0Z_32 "un1_dout_4_1_axb_32") (joined + (portRef LO (instanceRef un1_dout_4_1_axb_32)) + (portRef (member S 3) (instanceRef un1_dout_4_1_s_35)) + )) + (net (rename dout_4_33 "dout_4[33]") (joined + (portRef (member dout_4 2) (instanceRef inst_iir_4)) + (portRef I0 (instanceRef un1_dout_4_1_axb_33)) + )) + (net (rename un1_dout_4_1_axbZ0Z_33 "un1_dout_4_1_axb_33") (joined + (portRef LO (instanceRef un1_dout_4_1_axb_33)) + (portRef (member S 2) (instanceRef un1_dout_4_1_s_35)) + )) + (net (rename dout_4_34 "dout_4[34]") (joined + (portRef (member dout_4 1) (instanceRef inst_iir_4)) + (portRef I0 (instanceRef un1_dout_4_1_axb_34)) + )) + (net (rename un1_dout_4_1_axbZ0Z_34 "un1_dout_4_1_axb_34") (joined + (portRef LO (instanceRef un1_dout_4_1_axb_34)) + (portRef (member S 1) (instanceRef un1_dout_4_1_s_35)) + )) + (net (rename un1_dout_4_1_axbZ0Z_35 "un1_dout_4_1_axb_35") (joined + (portRef LO (instanceRef un1_dout_4_1_axb_35)) + (portRef (member S 0) (instanceRef un1_dout_4_1_s_35)) + )) + (net (rename dout_5_20 "dout_5[20]") (joined + (portRef (member dout_5 15) (instanceRef inst_iir_5)) + (portRef I0 (instanceRef un1_dout_5_1_axb_20)) + )) + (net (rename un1_dout_5_1_axbZ0Z_20 "un1_dout_5_1_axb_20") (joined + (portRef LO (instanceRef un1_dout_5_1_axb_20)) + (portRef (member S 3) (instanceRef un1_dout_5_1_cry_23)) + )) + (net (rename dout_5_21 "dout_5[21]") (joined + (portRef (member dout_5 14) (instanceRef inst_iir_5)) + (portRef I0 (instanceRef un1_dout_5_1_axb_21)) + )) + (net (rename un1_dout_5_1_axbZ0Z_21 "un1_dout_5_1_axb_21") (joined + (portRef LO (instanceRef un1_dout_5_1_axb_21)) + (portRef (member S 2) (instanceRef un1_dout_5_1_cry_23)) + )) + (net (rename dout_5_22 "dout_5[22]") (joined + (portRef (member dout_5 13) (instanceRef inst_iir_5)) + (portRef I0 (instanceRef un1_dout_5_1_axb_22)) + )) + (net (rename un1_dout_5_1_axbZ0Z_22 "un1_dout_5_1_axb_22") (joined + (portRef LO (instanceRef un1_dout_5_1_axb_22)) + (portRef (member S 1) (instanceRef un1_dout_5_1_cry_23)) + )) + (net (rename dout_5_23 "dout_5[23]") (joined + (portRef (member dout_5 12) (instanceRef inst_iir_5)) + (portRef I0 (instanceRef un1_dout_5_1_axb_23)) + )) + (net (rename un1_dout_5_1_axbZ0Z_23 "un1_dout_5_1_axb_23") (joined + (portRef LO (instanceRef un1_dout_5_1_axb_23)) + (portRef (member S 0) (instanceRef un1_dout_5_1_cry_23)) + )) + (net (rename dout_5_24 "dout_5[24]") (joined + (portRef (member dout_5 11) (instanceRef inst_iir_5)) + (portRef I0 (instanceRef un1_dout_5_1_axb_24)) + )) + (net (rename un1_dout_5_1_axbZ0Z_24 "un1_dout_5_1_axb_24") (joined + (portRef LO (instanceRef un1_dout_5_1_axb_24)) + (portRef (member S 3) (instanceRef un1_dout_5_1_cry_27)) + )) + (net (rename dout_5_25 "dout_5[25]") (joined + (portRef (member dout_5 10) (instanceRef inst_iir_5)) + (portRef I0 (instanceRef un1_dout_5_1_axb_25)) + )) + (net (rename un1_dout_5_1_axbZ0Z_25 "un1_dout_5_1_axb_25") (joined + (portRef LO (instanceRef un1_dout_5_1_axb_25)) + (portRef (member S 2) (instanceRef un1_dout_5_1_cry_27)) + )) + (net (rename dout_5_26 "dout_5[26]") (joined + (portRef (member dout_5 9) (instanceRef inst_iir_5)) + (portRef I0 (instanceRef un1_dout_5_1_axb_26)) + )) + (net (rename un1_dout_5_1_axbZ0Z_26 "un1_dout_5_1_axb_26") (joined + (portRef LO (instanceRef un1_dout_5_1_axb_26)) + (portRef (member S 1) (instanceRef un1_dout_5_1_cry_27)) + )) + (net (rename dout_5_27 "dout_5[27]") (joined + (portRef (member dout_5 8) (instanceRef inst_iir_5)) + (portRef I0 (instanceRef un1_dout_5_1_axb_27)) + )) + (net (rename un1_dout_5_1_axbZ0Z_27 "un1_dout_5_1_axb_27") (joined + (portRef LO (instanceRef un1_dout_5_1_axb_27)) + (portRef (member S 0) (instanceRef un1_dout_5_1_cry_27)) + )) + (net (rename dout_5_28 "dout_5[28]") (joined + (portRef (member dout_5 7) (instanceRef inst_iir_5)) + (portRef I0 (instanceRef un1_dout_5_1_axb_28)) + )) + (net (rename un1_dout_5_1_axbZ0Z_28 "un1_dout_5_1_axb_28") (joined + (portRef LO (instanceRef un1_dout_5_1_axb_28)) + (portRef (member S 3) (instanceRef un1_dout_5_1_cry_31)) + )) + (net (rename dout_5_29 "dout_5[29]") (joined + (portRef (member dout_5 6) (instanceRef inst_iir_5)) + (portRef I0 (instanceRef un1_dout_5_1_axb_29)) + )) + (net (rename un1_dout_5_1_axbZ0Z_29 "un1_dout_5_1_axb_29") (joined + (portRef LO (instanceRef un1_dout_5_1_axb_29)) + (portRef (member S 2) (instanceRef un1_dout_5_1_cry_31)) + )) + (net (rename dout_5_30 "dout_5[30]") (joined + (portRef (member dout_5 5) (instanceRef inst_iir_5)) + (portRef I0 (instanceRef un1_dout_5_1_axb_30)) + )) + (net (rename un1_dout_5_1_axbZ0Z_30 "un1_dout_5_1_axb_30") (joined + (portRef LO (instanceRef un1_dout_5_1_axb_30)) + (portRef (member S 1) (instanceRef un1_dout_5_1_cry_31)) + )) + (net (rename dout_5_31 "dout_5[31]") (joined + (portRef (member dout_5 4) (instanceRef inst_iir_5)) + (portRef I0 (instanceRef un1_dout_5_1_axb_31)) + )) + (net (rename un1_dout_5_1_axbZ0Z_31 "un1_dout_5_1_axb_31") (joined + (portRef LO (instanceRef un1_dout_5_1_axb_31)) + (portRef (member S 0) (instanceRef un1_dout_5_1_cry_31)) + )) + (net (rename dout_5_32 "dout_5[32]") (joined + (portRef (member dout_5 3) (instanceRef inst_iir_5)) + (portRef I0 (instanceRef un1_dout_5_1_axb_32)) + )) + (net (rename un1_dout_5_1_axbZ0Z_32 "un1_dout_5_1_axb_32") (joined + (portRef LO (instanceRef un1_dout_5_1_axb_32)) + (portRef (member S 3) (instanceRef un1_dout_5_1_s_35)) + )) + (net (rename dout_5_33 "dout_5[33]") (joined + (portRef (member dout_5 2) (instanceRef inst_iir_5)) + (portRef I0 (instanceRef un1_dout_5_1_axb_33)) + )) + (net (rename un1_dout_5_1_axbZ0Z_33 "un1_dout_5_1_axb_33") (joined + (portRef LO (instanceRef un1_dout_5_1_axb_33)) + (portRef (member S 2) (instanceRef un1_dout_5_1_s_35)) + )) + (net (rename dout_5_34 "dout_5[34]") (joined + (portRef (member dout_5 1) (instanceRef inst_iir_5)) + (portRef I0 (instanceRef un1_dout_5_1_axb_34)) + )) + (net (rename un1_dout_5_1_axbZ0Z_34 "un1_dout_5_1_axb_34") (joined + (portRef LO (instanceRef un1_dout_5_1_axb_34)) + (portRef (member S 1) (instanceRef un1_dout_5_1_s_35)) + )) + (net (rename un1_dout_5_1_axbZ0Z_35 "un1_dout_5_1_axb_35") (joined + (portRef LO (instanceRef un1_dout_5_1_axb_35)) + (portRef (member S 0) (instanceRef un1_dout_5_1_s_35)) + )) + (net (rename dout_0_20 "dout_0[20]") (joined + (portRef (member dout_0 15) (instanceRef inst_iir_0)) + (portRef I0 (instanceRef un1_dout_0_1_axb_20)) + )) + (net (rename un1_dout_0_1_axbZ0Z_20 "un1_dout_0_1_axb_20") (joined + (portRef LO (instanceRef un1_dout_0_1_axb_20)) + (portRef (member S 3) (instanceRef un1_dout_0_1_cry_23)) + )) + (net (rename dout_0_21 "dout_0[21]") (joined + (portRef (member dout_0 14) (instanceRef inst_iir_0)) + (portRef I0 (instanceRef un1_dout_0_1_axb_21)) + )) + (net (rename un1_dout_0_1_axbZ0Z_21 "un1_dout_0_1_axb_21") (joined + (portRef LO (instanceRef un1_dout_0_1_axb_21)) + (portRef (member S 2) (instanceRef un1_dout_0_1_cry_23)) + )) + (net (rename dout_0_22 "dout_0[22]") (joined + (portRef (member dout_0 13) (instanceRef inst_iir_0)) + (portRef I0 (instanceRef un1_dout_0_1_axb_22)) + )) + (net (rename un1_dout_0_1_axbZ0Z_22 "un1_dout_0_1_axb_22") (joined + (portRef LO (instanceRef un1_dout_0_1_axb_22)) + (portRef (member S 1) (instanceRef un1_dout_0_1_cry_23)) + )) + (net (rename dout_0_23 "dout_0[23]") (joined + (portRef (member dout_0 12) (instanceRef inst_iir_0)) + (portRef I0 (instanceRef un1_dout_0_1_axb_23)) + )) + (net (rename un1_dout_0_1_axbZ0Z_23 "un1_dout_0_1_axb_23") (joined + (portRef LO (instanceRef un1_dout_0_1_axb_23)) + (portRef (member S 0) (instanceRef un1_dout_0_1_cry_23)) + )) + (net (rename dout_0_24 "dout_0[24]") (joined + (portRef (member dout_0 11) (instanceRef inst_iir_0)) + (portRef I0 (instanceRef un1_dout_0_1_axb_24)) + )) + (net (rename un1_dout_0_1_axbZ0Z_24 "un1_dout_0_1_axb_24") (joined + (portRef LO (instanceRef un1_dout_0_1_axb_24)) + (portRef (member S 3) (instanceRef un1_dout_0_1_cry_27)) + )) + (net (rename dout_0_25 "dout_0[25]") (joined + (portRef (member dout_0 10) (instanceRef inst_iir_0)) + (portRef I0 (instanceRef un1_dout_0_1_axb_25)) + )) + (net (rename un1_dout_0_1_axbZ0Z_25 "un1_dout_0_1_axb_25") (joined + (portRef LO (instanceRef un1_dout_0_1_axb_25)) + (portRef (member S 2) (instanceRef un1_dout_0_1_cry_27)) + )) + (net (rename dout_0_26 "dout_0[26]") (joined + (portRef (member dout_0 9) (instanceRef inst_iir_0)) + (portRef I0 (instanceRef un1_dout_0_1_axb_26)) + )) + (net (rename un1_dout_0_1_axbZ0Z_26 "un1_dout_0_1_axb_26") (joined + (portRef LO (instanceRef un1_dout_0_1_axb_26)) + (portRef (member S 1) (instanceRef un1_dout_0_1_cry_27)) + )) + (net (rename dout_0_27 "dout_0[27]") (joined + (portRef (member dout_0 8) (instanceRef inst_iir_0)) + (portRef I0 (instanceRef un1_dout_0_1_axb_27)) + )) + (net (rename un1_dout_0_1_axbZ0Z_27 "un1_dout_0_1_axb_27") (joined + (portRef LO (instanceRef un1_dout_0_1_axb_27)) + (portRef (member S 0) (instanceRef un1_dout_0_1_cry_27)) + )) + (net (rename dout_0_28 "dout_0[28]") (joined + (portRef (member dout_0 7) (instanceRef inst_iir_0)) + (portRef I0 (instanceRef un1_dout_0_1_axb_28)) + )) + (net (rename un1_dout_0_1_axbZ0Z_28 "un1_dout_0_1_axb_28") (joined + (portRef LO (instanceRef un1_dout_0_1_axb_28)) + (portRef (member S 3) (instanceRef un1_dout_0_1_cry_31)) + )) + (net (rename dout_0_29 "dout_0[29]") (joined + (portRef (member dout_0 6) (instanceRef inst_iir_0)) + (portRef I0 (instanceRef un1_dout_0_1_axb_29)) + )) + (net (rename un1_dout_0_1_axbZ0Z_29 "un1_dout_0_1_axb_29") (joined + (portRef LO (instanceRef un1_dout_0_1_axb_29)) + (portRef (member S 2) (instanceRef un1_dout_0_1_cry_31)) + )) + (net (rename dout_0_30 "dout_0[30]") (joined + (portRef (member dout_0 5) (instanceRef inst_iir_0)) + (portRef I0 (instanceRef un1_dout_0_1_axb_30)) + )) + (net (rename un1_dout_0_1_axbZ0Z_30 "un1_dout_0_1_axb_30") (joined + (portRef LO (instanceRef un1_dout_0_1_axb_30)) + (portRef (member S 1) (instanceRef un1_dout_0_1_cry_31)) + )) + (net (rename dout_0_31 "dout_0[31]") (joined + (portRef (member dout_0 4) (instanceRef inst_iir_0)) + (portRef I0 (instanceRef un1_dout_0_1_axb_31)) + )) + (net (rename un1_dout_0_1_axbZ0Z_31 "un1_dout_0_1_axb_31") (joined + (portRef LO (instanceRef un1_dout_0_1_axb_31)) + (portRef (member S 0) (instanceRef un1_dout_0_1_cry_31)) + )) + (net (rename dout_0_32 "dout_0[32]") (joined + (portRef (member dout_0 3) (instanceRef inst_iir_0)) + (portRef I0 (instanceRef un1_dout_0_1_axb_32)) + )) + (net (rename un1_dout_0_1_axbZ0Z_32 "un1_dout_0_1_axb_32") (joined + (portRef LO (instanceRef un1_dout_0_1_axb_32)) + (portRef (member S 3) (instanceRef un1_dout_0_1_s_35)) + )) + (net (rename dout_0_33 "dout_0[33]") (joined + (portRef (member dout_0 2) (instanceRef inst_iir_0)) + (portRef I0 (instanceRef un1_dout_0_1_axb_33)) + )) + (net (rename un1_dout_0_1_axbZ0Z_33 "un1_dout_0_1_axb_33") (joined + (portRef LO (instanceRef un1_dout_0_1_axb_33)) + (portRef (member S 2) (instanceRef un1_dout_0_1_s_35)) + )) + (net (rename dout_0_34 "dout_0[34]") (joined + (portRef (member dout_0 1) (instanceRef inst_iir_0)) + (portRef I0 (instanceRef un1_dout_0_1_axb_34)) + )) + (net (rename un1_dout_0_1_axbZ0Z_34 "un1_dout_0_1_axb_34") (joined + (portRef LO (instanceRef un1_dout_0_1_axb_34)) + (portRef (member S 1) (instanceRef un1_dout_0_1_s_35)) + )) + (net (rename un1_dout_0_1_axbZ0Z_35 "un1_dout_0_1_axb_35") (joined + (portRef LO (instanceRef un1_dout_0_1_axb_35)) + (portRef (member S 0) (instanceRef un1_dout_0_1_s_35)) + )) + (net (rename dout_1_20 "dout_1[20]") (joined + (portRef (member dout_1 15) (instanceRef inst_iir_1)) + (portRef I0 (instanceRef un1_dout_1_1_axb_20)) + )) + (net (rename un1_dout_1_1_axbZ0Z_20 "un1_dout_1_1_axb_20") (joined + (portRef LO (instanceRef un1_dout_1_1_axb_20)) + (portRef (member S 3) (instanceRef un1_dout_1_1_cry_23)) + )) + (net (rename dout_1_21 "dout_1[21]") (joined + (portRef (member dout_1 14) (instanceRef inst_iir_1)) + (portRef I0 (instanceRef un1_dout_1_1_axb_21)) + )) + (net (rename un1_dout_1_1_axbZ0Z_21 "un1_dout_1_1_axb_21") (joined + (portRef LO (instanceRef un1_dout_1_1_axb_21)) + (portRef (member S 2) (instanceRef un1_dout_1_1_cry_23)) + )) + (net (rename dout_1_22 "dout_1[22]") (joined + (portRef (member dout_1 13) (instanceRef inst_iir_1)) + (portRef I0 (instanceRef un1_dout_1_1_axb_22)) + )) + (net (rename un1_dout_1_1_axbZ0Z_22 "un1_dout_1_1_axb_22") (joined + (portRef LO (instanceRef un1_dout_1_1_axb_22)) + (portRef (member S 1) (instanceRef un1_dout_1_1_cry_23)) + )) + (net (rename dout_1_23 "dout_1[23]") (joined + (portRef (member dout_1 12) (instanceRef inst_iir_1)) + (portRef I0 (instanceRef un1_dout_1_1_axb_23)) + )) + (net (rename un1_dout_1_1_axbZ0Z_23 "un1_dout_1_1_axb_23") (joined + (portRef LO (instanceRef un1_dout_1_1_axb_23)) + (portRef (member S 0) (instanceRef un1_dout_1_1_cry_23)) + )) + (net (rename dout_1_24 "dout_1[24]") (joined + (portRef (member dout_1 11) (instanceRef inst_iir_1)) + (portRef I0 (instanceRef un1_dout_1_1_axb_24)) + )) + (net (rename un1_dout_1_1_axbZ0Z_24 "un1_dout_1_1_axb_24") (joined + (portRef LO (instanceRef un1_dout_1_1_axb_24)) + (portRef (member S 3) (instanceRef un1_dout_1_1_cry_27)) + )) + (net (rename dout_1_25 "dout_1[25]") (joined + (portRef (member dout_1 10) (instanceRef inst_iir_1)) + (portRef I0 (instanceRef un1_dout_1_1_axb_25)) + )) + (net (rename un1_dout_1_1_axbZ0Z_25 "un1_dout_1_1_axb_25") (joined + (portRef LO (instanceRef un1_dout_1_1_axb_25)) + (portRef (member S 2) (instanceRef un1_dout_1_1_cry_27)) + )) + (net (rename dout_1_26 "dout_1[26]") (joined + (portRef (member dout_1 9) (instanceRef inst_iir_1)) + (portRef I0 (instanceRef un1_dout_1_1_axb_26)) + )) + (net (rename un1_dout_1_1_axbZ0Z_26 "un1_dout_1_1_axb_26") (joined + (portRef LO (instanceRef un1_dout_1_1_axb_26)) + (portRef (member S 1) (instanceRef un1_dout_1_1_cry_27)) + )) + (net (rename dout_1_27 "dout_1[27]") (joined + (portRef (member dout_1 8) (instanceRef inst_iir_1)) + (portRef I0 (instanceRef un1_dout_1_1_axb_27)) + )) + (net (rename un1_dout_1_1_axbZ0Z_27 "un1_dout_1_1_axb_27") (joined + (portRef LO (instanceRef un1_dout_1_1_axb_27)) + (portRef (member S 0) (instanceRef un1_dout_1_1_cry_27)) + )) + (net (rename dout_1_28 "dout_1[28]") (joined + (portRef (member dout_1 7) (instanceRef inst_iir_1)) + (portRef I0 (instanceRef un1_dout_1_1_axb_28)) + )) + (net (rename un1_dout_1_1_axbZ0Z_28 "un1_dout_1_1_axb_28") (joined + (portRef LO (instanceRef un1_dout_1_1_axb_28)) + (portRef (member S 3) (instanceRef un1_dout_1_1_cry_31)) + )) + (net (rename dout_1_29 "dout_1[29]") (joined + (portRef (member dout_1 6) (instanceRef inst_iir_1)) + (portRef I0 (instanceRef un1_dout_1_1_axb_29)) + )) + (net (rename un1_dout_1_1_axbZ0Z_29 "un1_dout_1_1_axb_29") (joined + (portRef LO (instanceRef un1_dout_1_1_axb_29)) + (portRef (member S 2) (instanceRef un1_dout_1_1_cry_31)) + )) + (net (rename dout_1_30 "dout_1[30]") (joined + (portRef (member dout_1 5) (instanceRef inst_iir_1)) + (portRef I0 (instanceRef un1_dout_1_1_axb_30)) + )) + (net (rename un1_dout_1_1_axbZ0Z_30 "un1_dout_1_1_axb_30") (joined + (portRef LO (instanceRef un1_dout_1_1_axb_30)) + (portRef (member S 1) (instanceRef un1_dout_1_1_cry_31)) + )) + (net (rename dout_1_31 "dout_1[31]") (joined + (portRef (member dout_1 4) (instanceRef inst_iir_1)) + (portRef I0 (instanceRef un1_dout_1_1_axb_31)) + )) + (net (rename un1_dout_1_1_axbZ0Z_31 "un1_dout_1_1_axb_31") (joined + (portRef LO (instanceRef un1_dout_1_1_axb_31)) + (portRef (member S 0) (instanceRef un1_dout_1_1_cry_31)) + )) + (net (rename dout_1_32 "dout_1[32]") (joined + (portRef (member dout_1 3) (instanceRef inst_iir_1)) + (portRef I0 (instanceRef un1_dout_1_1_axb_32)) + )) + (net (rename un1_dout_1_1_axbZ0Z_32 "un1_dout_1_1_axb_32") (joined + (portRef LO (instanceRef un1_dout_1_1_axb_32)) + (portRef (member S 3) (instanceRef un1_dout_1_1_s_35)) + )) + (net (rename dout_1_33 "dout_1[33]") (joined + (portRef (member dout_1 2) (instanceRef inst_iir_1)) + (portRef I0 (instanceRef un1_dout_1_1_axb_33)) + )) + (net (rename un1_dout_1_1_axbZ0Z_33 "un1_dout_1_1_axb_33") (joined + (portRef LO (instanceRef un1_dout_1_1_axb_33)) + (portRef (member S 2) (instanceRef un1_dout_1_1_s_35)) + )) + (net (rename dout_1_34 "dout_1[34]") (joined + (portRef (member dout_1 1) (instanceRef inst_iir_1)) + (portRef I0 (instanceRef un1_dout_1_1_axb_34)) + )) + (net (rename un1_dout_1_1_axbZ0Z_34 "un1_dout_1_1_axb_34") (joined + (portRef LO (instanceRef un1_dout_1_1_axb_34)) + (portRef (member S 1) (instanceRef un1_dout_1_1_s_35)) + )) + (net (rename un1_dout_1_1_axbZ0Z_35 "un1_dout_1_1_axb_35") (joined + (portRef LO (instanceRef un1_dout_1_1_axb_35)) + (portRef (member S 0) (instanceRef un1_dout_1_1_s_35)) + )) + (net (rename Ysum_0_cry_15_O_2 "Ysum_0_cry_15_O[2]") (joined + (portRef (member O 1) (instanceRef Ysum_0_cry_15)) + (portRef D (instanceRef Ysum_0_s_14_xorcy_latch)) + )) + (net (rename Ysum_0_cry_15_O_1 "Ysum_0_cry_15_O[1]") (joined + (portRef (member O 2) (instanceRef Ysum_0_cry_15)) + (portRef D (instanceRef Ysum_0_s_13_xorcy_latch)) + )) + (net (rename Ysum_0_cry_15_O_0 "Ysum_0_cry_15_O[0]") (joined + (portRef (member O 3) (instanceRef Ysum_0_cry_15)) + (portRef D (instanceRef Ysum_0_s_12_xorcy_latch)) + )) + (net (rename Ysum_0_cry_11_O_3 "Ysum_0_cry_11_O[3]") (joined + (portRef (member O 0) (instanceRef Ysum_0_cry_11)) + (portRef D (instanceRef Ysum_0_s_11_xorcy_latch)) + )) + (net (rename Ysum_0_cry_11_O_2 "Ysum_0_cry_11_O[2]") (joined + (portRef (member O 1) (instanceRef Ysum_0_cry_11)) + (portRef D (instanceRef Ysum_0_s_10_xorcy_latch)) + )) + (net (rename Ysum_0_cry_11_O_1 "Ysum_0_cry_11_O[1]") (joined + (portRef (member O 2) (instanceRef Ysum_0_cry_11)) + (portRef D (instanceRef Ysum_0_s_9_xorcy_latch)) + )) + (net (rename Ysum_0_cry_11_O_0 "Ysum_0_cry_11_O[0]") (joined + (portRef (member O 3) (instanceRef Ysum_0_cry_11)) + (portRef D (instanceRef Ysum_0_s_8_xorcy_latch)) + )) + (net (rename Ysum_0_cry_7_O_3 "Ysum_0_cry_7_O[3]") (joined + (portRef (member O 0) (instanceRef Ysum_0_cry_7)) + (portRef D (instanceRef Ysum_0_s_7_xorcy_latch)) + )) + (net (rename Ysum_0_cry_7_O_2 "Ysum_0_cry_7_O[2]") (joined + (portRef (member O 1) (instanceRef Ysum_0_cry_7)) + (portRef D (instanceRef Ysum_0_s_6_xorcy_latch)) + )) + (net (rename Ysum_0_cry_7_O_1 "Ysum_0_cry_7_O[1]") (joined + (portRef (member O 2) (instanceRef Ysum_0_cry_7)) + (portRef D (instanceRef Ysum_0_s_5_xorcy_latch)) + )) + (net (rename Ysum_0_cry_7_O_0 "Ysum_0_cry_7_O[0]") (joined + (portRef (member O 3) (instanceRef Ysum_0_cry_7)) + (portRef D (instanceRef Ysum_0_s_4_xorcy_latch)) + )) + (net (rename Ysum_0_cry_3_O_3 "Ysum_0_cry_3_O[3]") (joined + (portRef (member O 0) (instanceRef Ysum_0_cry_3)) + (portRef D (instanceRef Ysum_0_s_3_xorcy_latch)) + )) + (net (rename Ysum_0_cry_3_O_2 "Ysum_0_cry_3_O[2]") (joined + (portRef (member O 1) (instanceRef Ysum_0_cry_3)) + (portRef D (instanceRef Ysum_0_s_2_xorcy_latch)) + )) + (net (rename Ysum_0_cry_3_O_1 "Ysum_0_cry_3_O[1]") (joined + (portRef (member O 2) (instanceRef Ysum_0_cry_3)) + (portRef D (instanceRef Ysum_0_s_1_xorcy_latch)) + )) + (net (rename Ysum_8_0_cry_14_O_3 "Ysum_8_0_cry_14_O[3]") (joined + (portRef (member O 0) (instanceRef Ysum_8_0_cry_14)) + (portRef D (instanceRef Ysum_8_0_s_14_xorcy_latch)) + )) + (net (rename Ysum_8_0_cry_14_O_2 "Ysum_8_0_cry_14_O[2]") (joined + (portRef (member O 1) (instanceRef Ysum_8_0_cry_14)) + (portRef D (instanceRef Ysum_8_0_s_13_xorcy_latch)) + )) + (net (rename Ysum_8_0_cry_14_O_1 "Ysum_8_0_cry_14_O[1]") (joined + (portRef (member O 2) (instanceRef Ysum_8_0_cry_14)) + (portRef D (instanceRef Ysum_8_0_s_12_xorcy_latch)) + )) + (net (rename Ysum_8_0_cry_14_O_0 "Ysum_8_0_cry_14_O[0]") (joined + (portRef (member O 3) (instanceRef Ysum_8_0_cry_14)) + (portRef D (instanceRef Ysum_8_0_s_11_xorcy_latch)) + )) + (net (rename Ysum_8_0_cry_10_O_3 "Ysum_8_0_cry_10_O[3]") (joined + (portRef (member O 0) (instanceRef Ysum_8_0_cry_10)) + (portRef D (instanceRef Ysum_8_0_s_10_xorcy_latch)) + )) + (net (rename Ysum_8_0_cry_10_O_2 "Ysum_8_0_cry_10_O[2]") (joined + (portRef (member O 1) (instanceRef Ysum_8_0_cry_10)) + (portRef D (instanceRef Ysum_8_0_s_9_xorcy_latch)) + )) + (net (rename Ysum_8_0_cry_10_O_1 "Ysum_8_0_cry_10_O[1]") (joined + (portRef (member O 2) (instanceRef Ysum_8_0_cry_10)) + (portRef D (instanceRef Ysum_8_0_s_8_xorcy_latch)) + )) + (net (rename Ysum_8_0_cry_10_O_0 "Ysum_8_0_cry_10_O[0]") (joined + (portRef (member O 3) (instanceRef Ysum_8_0_cry_10)) + (portRef D (instanceRef Ysum_8_0_s_7_xorcy_latch)) + )) + (net (rename Ysum_8_0_cry_6_O_3 "Ysum_8_0_cry_6_O[3]") (joined + (portRef (member O 0) (instanceRef Ysum_8_0_cry_6)) + (portRef D (instanceRef Ysum_8_0_s_6_xorcy_latch)) + )) + (net (rename Ysum_8_0_cry_6_O_2 "Ysum_8_0_cry_6_O[2]") (joined + (portRef (member O 1) (instanceRef Ysum_8_0_cry_6)) + (portRef D (instanceRef Ysum_8_0_s_5_xorcy_latch)) + )) + (net (rename Ysum_8_0_cry_6_O_1 "Ysum_8_0_cry_6_O[1]") (joined + (portRef (member O 2) (instanceRef Ysum_8_0_cry_6)) + (portRef D (instanceRef Ysum_8_0_s_4_xorcy_latch)) + )) + (net (rename Ysum_8_0_cry_6_O_0 "Ysum_8_0_cry_6_O[0]") (joined + (portRef (member O 3) (instanceRef Ysum_8_0_cry_6)) + (portRef D (instanceRef Ysum_8_0_s_3_xorcy_latch)) + )) + (net (rename Ysum_8_0_cry_2_O_3 "Ysum_8_0_cry_2_O[3]") (joined + (portRef (member O 0) (instanceRef Ysum_8_0_cry_2)) + (portRef D (instanceRef Ysum_8_0_s_2_xorcy_latch)) + )) + (net (rename Ysum_8_0_cry_2_O_2 "Ysum_8_0_cry_2_O[2]") (joined + (portRef (member O 1) (instanceRef Ysum_8_0_cry_2)) + (portRef D (instanceRef Ysum_8_0_s_1_xorcy_latch)) + )) + (net (rename Ysum_6_0_cry_15_O_2 "Ysum_6_0_cry_15_O[2]") (joined + (portRef (member O 1) (instanceRef Ysum_6_0_cry_15)) + (portRef D (instanceRef Ysum_6_0_s_14_xorcy_latch)) + )) + (net (rename Ysum_6_0_cry_15_O_1 "Ysum_6_0_cry_15_O[1]") (joined + (portRef (member O 2) (instanceRef Ysum_6_0_cry_15)) + (portRef D (instanceRef Ysum_6_0_s_13_xorcy_latch)) + )) + (net (rename Ysum_6_0_cry_15_O_0 "Ysum_6_0_cry_15_O[0]") (joined + (portRef (member O 3) (instanceRef Ysum_6_0_cry_15)) + (portRef D (instanceRef Ysum_6_0_s_12_xorcy_latch)) + )) + (net (rename Ysum_6_0_cry_11_O_3 "Ysum_6_0_cry_11_O[3]") (joined + (portRef (member O 0) (instanceRef Ysum_6_0_cry_11)) + (portRef D (instanceRef Ysum_6_0_s_11_xorcy_latch)) + )) + (net (rename Ysum_6_0_cry_11_O_2 "Ysum_6_0_cry_11_O[2]") (joined + (portRef (member O 1) (instanceRef Ysum_6_0_cry_11)) + (portRef D (instanceRef Ysum_6_0_s_10_xorcy_latch)) + )) + (net (rename Ysum_6_0_cry_11_O_1 "Ysum_6_0_cry_11_O[1]") (joined + (portRef (member O 2) (instanceRef Ysum_6_0_cry_11)) + (portRef D (instanceRef Ysum_6_0_s_9_xorcy_latch)) + )) + (net (rename Ysum_6_0_cry_11_O_0 "Ysum_6_0_cry_11_O[0]") (joined + (portRef (member O 3) (instanceRef Ysum_6_0_cry_11)) + (portRef D (instanceRef Ysum_6_0_s_8_xorcy_latch)) + )) + (net (rename Ysum_6_0_cry_7_O_3 "Ysum_6_0_cry_7_O[3]") (joined + (portRef (member O 0) (instanceRef Ysum_6_0_cry_7)) + (portRef D (instanceRef Ysum_6_0_s_7_xorcy_latch)) + )) + (net (rename Ysum_6_0_cry_7_O_2 "Ysum_6_0_cry_7_O[2]") (joined + (portRef (member O 1) (instanceRef Ysum_6_0_cry_7)) + (portRef D (instanceRef Ysum_6_0_s_6_xorcy_latch)) + )) + (net (rename Ysum_6_0_cry_7_O_1 "Ysum_6_0_cry_7_O[1]") (joined + (portRef (member O 2) (instanceRef Ysum_6_0_cry_7)) + (portRef D (instanceRef Ysum_6_0_s_5_xorcy_latch)) + )) + (net (rename Ysum_6_0_cry_7_O_0 "Ysum_6_0_cry_7_O[0]") (joined + (portRef (member O 3) (instanceRef Ysum_6_0_cry_7)) + (portRef D (instanceRef Ysum_6_0_s_4_xorcy_latch)) + )) + (net (rename Ysum_6_0_cry_3_O_3 "Ysum_6_0_cry_3_O[3]") (joined + (portRef (member O 0) (instanceRef Ysum_6_0_cry_3)) + (portRef D (instanceRef Ysum_6_0_s_3_xorcy_latch)) + )) + (net (rename Ysum_6_0_cry_3_O_2 "Ysum_6_0_cry_3_O[2]") (joined + (portRef (member O 1) (instanceRef Ysum_6_0_cry_3)) + (portRef D (instanceRef Ysum_6_0_s_2_xorcy_latch)) + )) + (net (rename Ysum_6_0_cry_3_O_1 "Ysum_6_0_cry_3_O[1]") (joined + (portRef (member O 2) (instanceRef Ysum_6_0_cry_3)) + (portRef D (instanceRef Ysum_6_0_s_1_xorcy_latch)) + )) + (net (rename Ysum_6_0_axbZ0Z_7 "Ysum_6_0_axb_7") (joined + (portRef O (instanceRef Ysum_6_0_axb_7)) + (portRef (member S 0) (instanceRef Ysum_6_0_cry_7)) + )) + (net (rename Ysum_6_0_axbZ0Z_6 "Ysum_6_0_axb_6") (joined + (portRef O (instanceRef Ysum_6_0_axb_6)) + (portRef (member S 1) (instanceRef Ysum_6_0_cry_7)) + )) + (net (rename Ysum_0_axbZ0Z_6 "Ysum_0_axb_6") (joined + (portRef O (instanceRef Ysum_0_axb_6)) + (portRef (member S 1) (instanceRef Ysum_0_cry_7)) + )) + (net (rename Ysum_0_axbZ0Z_5 "Ysum_0_axb_5") (joined + (portRef O (instanceRef Ysum_0_axb_5)) + (portRef (member S 2) (instanceRef Ysum_0_cry_7)) + )) + (net (rename Ysum_6_0_axbZ0Z_4 "Ysum_6_0_axb_4") (joined + (portRef O (instanceRef Ysum_6_0_axb_4)) + (portRef (member S 3) (instanceRef Ysum_6_0_cry_7)) + )) + (net (rename Ysum_6_0_axbZ0Z_3 "Ysum_6_0_axb_3") (joined + (portRef O (instanceRef Ysum_6_0_axb_3)) + (portRef (member S 0) (instanceRef Ysum_6_0_cry_3)) + )) + (net (rename Ysum_0_axbZ0Z_4 "Ysum_0_axb_4") (joined + (portRef O (instanceRef Ysum_0_axb_4)) + (portRef (member S 3) (instanceRef Ysum_0_cry_7)) + )) + (net (rename Ysum_6_0_axbZ0Z_5 "Ysum_6_0_axb_5") (joined + (portRef O (instanceRef Ysum_6_0_axb_5)) + (portRef (member S 2) (instanceRef Ysum_6_0_cry_7)) + )) + (net (rename Ysum_6_0_axbZ0Z_14 "Ysum_6_0_axb_14") (joined + (portRef O (instanceRef Ysum_6_0_axb_14)) + (portRef (member S 1) (instanceRef Ysum_6_0_cry_15)) + )) + (net (rename Ysum_6_0_axbZ0Z_13 "Ysum_6_0_axb_13") (joined + (portRef O (instanceRef Ysum_6_0_axb_13)) + (portRef (member S 2) (instanceRef Ysum_6_0_cry_15)) + )) + (net (rename Ysum_6_0_axbZ0Z_12 "Ysum_6_0_axb_12") (joined + (portRef O (instanceRef Ysum_6_0_axb_12)) + (portRef (member S 3) (instanceRef Ysum_6_0_cry_15)) + )) + (net (rename Ysum_6_0_axbZ0Z_11 "Ysum_6_0_axb_11") (joined + (portRef O (instanceRef Ysum_6_0_axb_11)) + (portRef (member S 0) (instanceRef Ysum_6_0_cry_11)) + )) + (net (rename Ysum_6_0_axbZ0Z_10 "Ysum_6_0_axb_10") (joined + (portRef O (instanceRef Ysum_6_0_axb_10)) + (portRef (member S 1) (instanceRef Ysum_6_0_cry_11)) + )) + (net (rename Ysum_6_0_axbZ0Z_9 "Ysum_6_0_axb_9") (joined + (portRef O (instanceRef Ysum_6_0_axb_9)) + (portRef (member S 2) (instanceRef Ysum_6_0_cry_11)) + )) + (net (rename Ysum_6_0_axbZ0Z_8 "Ysum_6_0_axb_8") (joined + (portRef O (instanceRef Ysum_6_0_axb_8)) + (portRef (member S 3) (instanceRef Ysum_6_0_cry_11)) + )) + (net (rename Ysum_0_axbZ0Z_3 "Ysum_0_axb_3") (joined + (portRef O (instanceRef Ysum_0_axb_3)) + (portRef (member S 0) (instanceRef Ysum_0_cry_3)) + )) + (net (rename Ysum_0_axbZ0Z_2 "Ysum_0_axb_2") (joined + (portRef O (instanceRef Ysum_0_axb_2)) + (portRef (member S 1) (instanceRef Ysum_0_cry_3)) + )) + (net (rename Ysum_6_0_axbZ0Z_2 "Ysum_6_0_axb_2") (joined + (portRef O (instanceRef Ysum_6_0_axb_2)) + (portRef (member S 1) (instanceRef Ysum_6_0_cry_3)) + )) + (net (rename Ysum_0_axbZ0Z_12 "Ysum_0_axb_12") (joined + (portRef O (instanceRef Ysum_0_axb_12)) + (portRef (member S 3) (instanceRef Ysum_0_cry_15)) + )) + (net (rename Ysum_0_axbZ0Z_11 "Ysum_0_axb_11") (joined + (portRef O (instanceRef Ysum_0_axb_11)) + (portRef (member S 0) (instanceRef Ysum_0_cry_11)) + )) + (net (rename Ysum_0_axbZ0Z_10 "Ysum_0_axb_10") (joined + (portRef O (instanceRef Ysum_0_axb_10)) + (portRef (member S 1) (instanceRef Ysum_0_cry_11)) + )) + (net (rename Ysum_0_axbZ0Z_9 "Ysum_0_axb_9") (joined + (portRef O (instanceRef Ysum_0_axb_9)) + (portRef (member S 2) (instanceRef Ysum_0_cry_11)) + )) + (net (rename Ysum_0_axbZ0Z_8 "Ysum_0_axb_8") (joined + (portRef O (instanceRef Ysum_0_axb_8)) + (portRef (member S 3) (instanceRef Ysum_0_cry_11)) + )) + (net (rename din_r4_0_DOUTZ0Z_0 "din_r4_0_DOUT[0]") (joined + (portRef Q (instanceRef din_r4_0_DOUT_0)) + (portRef I0 (instanceRef Ysum_8_0_axb_14)) + (portRef I0 (instanceRef Ysum_8_0_o5_14)) + )) + (net (rename dout_cut4_r0Z0Z_14 "dout_cut4_r0[14]") (joined + (portRef Q (instanceRef dout_cut4_r0_14)) + (portRef I1 (instanceRef Ysum_8_0_axb_14)) + (portRef I1 (instanceRef Ysum_8_0_o5_14)) + )) + (net (rename dout_cut5_r0Z0Z_14 "dout_cut5_r0[14]") (joined + (portRef Q (instanceRef dout_cut5_r0_14)) + (portRef I2 (instanceRef Ysum_8_0_axb_14)) + (portRef I2 (instanceRef Ysum_8_0_o5_14)) + )) + (net (rename Ysum_8_0_o5Z0Z_14 "Ysum_8_0_o5_14") (joined + (portRef O (instanceRef Ysum_8_0_o5_14)) + (portRef (member DI 3) (instanceRef Ysum_8_0_s_16)) + (portRef I4 (instanceRef Ysum_8_0_axb_15)) + )) + (net (rename Ysum_0_axbZ0Z_15 "Ysum_0_axb_15") (joined + (portRef O (instanceRef Ysum_0_axb_15)) + (portRef (member S 0) (instanceRef Ysum_0_cry_15)) + )) + (net (rename Ysum_6_0_axbZ0Z_15 "Ysum_6_0_axb_15") (joined + (portRef O (instanceRef Ysum_6_0_axb_15)) + (portRef (member S 0) (instanceRef Ysum_6_0_cry_15)) + )) + (net (rename din_r4_DOUTZ0Z_0 "din_r4_DOUT[0]") (joined + (portRef Q (instanceRef din_r4_DOUT_0)) + (portRef I1 (instanceRef Ysum_8_0_axb_15)) + (portRef I0 (instanceRef Ysum_8_0_axb_16)) + )) + (net (rename dout_cut5_r0Z0Z_15 "dout_cut5_r0[15]") (joined + (portRef Q (instanceRef dout_cut5_r0_15)) + (portRef I0 (instanceRef Ysum_8_0_axb_15)) + (portRef I1 (instanceRef Ysum_8_0_axb_16)) + )) + (net (rename dout_cut4_r0Z0Z_15 "dout_cut4_r0[15]") (joined + (portRef Q (instanceRef dout_cut4_r0_15)) + (portRef I2 (instanceRef Ysum_8_0_axb_15)) + (portRef I2 (instanceRef Ysum_8_0_axb_16)) + )) + (net (rename Ysum_8_0_axbZ0Z_16 "Ysum_8_0_axb_16") (joined + (portRef O (instanceRef Ysum_8_0_axb_16)) + (portRef (member S 2) (instanceRef Ysum_8_0_s_16)) + )) + (net (rename Ysum_0_axbZ0Z_7 "Ysum_0_axb_7") (joined + (portRef O (instanceRef Ysum_0_axb_7)) + (portRef (member S 0) (instanceRef Ysum_0_cry_7)) + )) + (net (rename din_r4_4_DOUTZ0Z_0 "din_r4_4_DOUT[0]") (joined + (portRef Q (instanceRef din_r4_4_DOUT_0)) + (portRef I0 (instanceRef Ysum_8_0_axb_10)) + (portRef I0 (instanceRef Ysum_8_0_o5_10)) + )) + (net (rename dout_cut4_r0Z0Z_10 "dout_cut4_r0[10]") (joined + (portRef Q (instanceRef dout_cut4_r0_10)) + (portRef I1 (instanceRef Ysum_8_0_axb_10)) + (portRef I1 (instanceRef Ysum_8_0_o5_10)) + )) + (net (rename dout_cut5_r0Z0Z_10 "dout_cut5_r0[10]") (joined + (portRef Q (instanceRef dout_cut5_r0_10)) + (portRef I2 (instanceRef Ysum_8_0_axb_10)) + (portRef I2 (instanceRef Ysum_8_0_o5_10)) + )) + (net (rename Ysum_8_0_o5Z0Z_10 "Ysum_8_0_o5_10") (joined + (portRef O (instanceRef Ysum_8_0_o5_10)) + (portRef (member DI 3) (instanceRef Ysum_8_0_cry_14)) + (portRef I4 (instanceRef Ysum_8_0_axb_11)) + )) + (net (rename Ysum_0_axbZ0Z_14 "Ysum_0_axb_14") (joined + (portRef O (instanceRef Ysum_0_axb_14)) + (portRef (member S 1) (instanceRef Ysum_0_cry_15)) + )) + (net (rename Ysum_0_axbZ0Z_13 "Ysum_0_axb_13") (joined + (portRef O (instanceRef Ysum_0_axb_13)) + (portRef (member S 2) (instanceRef Ysum_0_cry_15)) + )) + (net (rename din_r4_2_DOUTZ0Z_0 "din_r4_2_DOUT[0]") (joined + (portRef Q (instanceRef din_r4_2_DOUT_0)) + (portRef I1 (instanceRef Ysum_8_0_axb_12)) + (portRef I0 (instanceRef Ysum_8_0_o5_12)) + )) + (net (rename dout_cut5_r0Z0Z_12 "dout_cut5_r0[12]") (joined + (portRef Q (instanceRef dout_cut5_r0_12)) + (portRef I0 (instanceRef Ysum_8_0_axb_12)) + (portRef I1 (instanceRef Ysum_8_0_o5_12)) + )) + (net (rename dout_cut4_r0Z0Z_12 "dout_cut4_r0[12]") (joined + (portRef Q (instanceRef dout_cut4_r0_12)) + (portRef I2 (instanceRef Ysum_8_0_axb_12)) + (portRef I2 (instanceRef Ysum_8_0_o5_12)) + )) + (net (rename Ysum_8_0_o5Z0Z_12 "Ysum_8_0_o5_12") (joined + (portRef O (instanceRef Ysum_8_0_o5_12)) + (portRef (member DI 1) (instanceRef Ysum_8_0_cry_14)) + (portRef I4 (instanceRef Ysum_8_0_axb_13)) + )) + (net (rename din_r4_3_DOUTZ0Z_0 "din_r4_3_DOUT[0]") (joined + (portRef Q (instanceRef din_r4_3_DOUT_0)) + (portRef I1 (instanceRef Ysum_8_0_axb_11)) + (portRef I0 (instanceRef Ysum_8_0_o5_11)) + )) + (net (rename dout_cut5_r0Z0Z_11 "dout_cut5_r0[11]") (joined + (portRef Q (instanceRef dout_cut5_r0_11)) + (portRef I0 (instanceRef Ysum_8_0_axb_11)) + (portRef I1 (instanceRef Ysum_8_0_o5_11)) + )) + (net (rename dout_cut4_r0Z0Z_11 "dout_cut4_r0[11]") (joined + (portRef Q (instanceRef dout_cut4_r0_11)) + (portRef I2 (instanceRef Ysum_8_0_axb_11)) + (portRef I2 (instanceRef Ysum_8_0_o5_11)) + )) + (net (rename Ysum_8_0_o5Z0Z_11 "Ysum_8_0_o5_11") (joined + (portRef O (instanceRef Ysum_8_0_o5_11)) + (portRef (member DI 2) (instanceRef Ysum_8_0_cry_14)) + (portRef I4 (instanceRef Ysum_8_0_axb_12)) + )) + (net (rename din_r4_12_DOUTZ0Z_0 "din_r4_12_DOUT[0]") (joined + (portRef Q (instanceRef din_r4_12_DOUT_0)) + (portRef I1 (instanceRef Ysum_8_0_axb_2)) + (portRef I0 (instanceRef Ysum_8_0_o5_2)) + )) + (net (rename dout_cut5_r0Z0Z_2 "dout_cut5_r0[2]") (joined + (portRef Q (instanceRef dout_cut5_r0_2)) + (portRef I0 (instanceRef Ysum_8_0_axb_2)) + (portRef I1 (instanceRef Ysum_8_0_o5_2)) + )) + (net (rename dout_cut4_r0Z0Z_2 "dout_cut4_r0[2]") (joined + (portRef Q (instanceRef dout_cut4_r0_2)) + (portRef I2 (instanceRef Ysum_8_0_axb_2)) + (portRef I2 (instanceRef Ysum_8_0_o5_2)) + )) + (net (rename Ysum_8_0_o5Z0Z_2 "Ysum_8_0_o5_2") (joined + (portRef O (instanceRef Ysum_8_0_o5_2)) + (portRef (member DI 3) (instanceRef Ysum_8_0_cry_6)) + (portRef I4 (instanceRef Ysum_8_0_axb_3)) + )) + (net (rename din_r4_7_DOUTZ0Z_0 "din_r4_7_DOUT[0]") (joined + (portRef Q (instanceRef din_r4_7_DOUT_0)) + (portRef I0 (instanceRef Ysum_8_0_axb_7)) + (portRef I0 (instanceRef Ysum_8_0_o5_7)) + )) + (net (rename dout_cut4_r0Z0Z_7 "dout_cut4_r0[7]") (joined + (portRef Q (instanceRef dout_cut4_r0_7)) + (portRef I1 (instanceRef Ysum_8_0_axb_7)) + (portRef I1 (instanceRef Ysum_8_0_o5_7)) + )) + (net (rename dout_cut5_r0Z0Z_7 "dout_cut5_r0[7]") (joined + (portRef Q (instanceRef dout_cut5_r0_7)) + (portRef I2 (instanceRef Ysum_8_0_axb_7)) + (portRef I2 (instanceRef Ysum_8_0_o5_7)) + )) + (net (rename Ysum_8_0_o5Z0Z_7 "Ysum_8_0_o5_7") (joined + (portRef O (instanceRef Ysum_8_0_o5_7)) + (portRef (member DI 2) (instanceRef Ysum_8_0_cry_10)) + (portRef I4 (instanceRef Ysum_8_0_axb_8)) + )) + (net (rename din_r4_11_DOUTZ0Z_0 "din_r4_11_DOUT[0]") (joined + (portRef Q (instanceRef din_r4_11_DOUT_0)) + (portRef I1 (instanceRef Ysum_8_0_axb_3)) + (portRef I0 (instanceRef Ysum_8_0_o5_3)) + )) + (net (rename dout_cut5_r0Z0Z_3 "dout_cut5_r0[3]") (joined + (portRef Q (instanceRef dout_cut5_r0_3)) + (portRef I2 (instanceRef Ysum_8_0_axb_3)) + (portRef I1 (instanceRef Ysum_8_0_o5_3)) + )) + (net (rename dout_cut4_r0Z0Z_3 "dout_cut4_r0[3]") (joined + (portRef Q (instanceRef dout_cut4_r0_3)) + (portRef I0 (instanceRef Ysum_8_0_axb_3)) + (portRef I2 (instanceRef Ysum_8_0_o5_3)) + )) + (net (rename Ysum_8_0_o5Z0Z_3 "Ysum_8_0_o5_3") (joined + (portRef O (instanceRef Ysum_8_0_o5_3)) + (portRef (member DI 2) (instanceRef Ysum_8_0_cry_6)) + (portRef I4 (instanceRef Ysum_8_0_axb_4)) + )) + (net (rename din_r4_6_DOUTZ0Z_0 "din_r4_6_DOUT[0]") (joined + (portRef Q (instanceRef din_r4_6_DOUT_0)) + (portRef I1 (instanceRef Ysum_8_0_axb_8)) + (portRef I0 (instanceRef Ysum_8_0_o5_8)) + )) + (net (rename dout_cut4_r0Z0Z_8 "dout_cut4_r0[8]") (joined + (portRef Q (instanceRef dout_cut4_r0_8)) + (portRef I2 (instanceRef Ysum_8_0_axb_8)) + (portRef I1 (instanceRef Ysum_8_0_o5_8)) + )) + (net (rename dout_cut5_r0Z0Z_8 "dout_cut5_r0[8]") (joined + (portRef Q (instanceRef dout_cut5_r0_8)) + (portRef I0 (instanceRef Ysum_8_0_axb_8)) + (portRef I2 (instanceRef Ysum_8_0_o5_8)) + )) + (net (rename Ysum_8_0_o5Z0Z_8 "Ysum_8_0_o5_8") (joined + (portRef O (instanceRef Ysum_8_0_o5_8)) + (portRef (member DI 1) (instanceRef Ysum_8_0_cry_10)) + (portRef I4 (instanceRef Ysum_8_0_axb_9)) + )) + (net (rename din_r4_5_DOUTZ0Z_0 "din_r4_5_DOUT[0]") (joined + (portRef Q (instanceRef din_r4_5_DOUT_0)) + (portRef I0 (instanceRef Ysum_8_0_axb_9)) + (portRef I0 (instanceRef Ysum_8_0_o5_9)) + )) + (net (rename dout_cut4_r0Z0Z_9 "dout_cut4_r0[9]") (joined + (portRef Q (instanceRef dout_cut4_r0_9)) + (portRef I1 (instanceRef Ysum_8_0_axb_9)) + (portRef I1 (instanceRef Ysum_8_0_o5_9)) + )) + (net (rename dout_cut5_r0Z0Z_9 "dout_cut5_r0[9]") (joined + (portRef Q (instanceRef dout_cut5_r0_9)) + (portRef I2 (instanceRef Ysum_8_0_axb_9)) + (portRef I2 (instanceRef Ysum_8_0_o5_9)) + )) + (net (rename Ysum_8_0_o5Z0Z_9 "Ysum_8_0_o5_9") (joined + (portRef O (instanceRef Ysum_8_0_o5_9)) + (portRef (member DI 0) (instanceRef Ysum_8_0_cry_10)) + (portRef I4 (instanceRef Ysum_8_0_axb_10)) + )) + (net (rename din_r4_10_DOUTZ0Z_0 "din_r4_10_DOUT[0]") (joined + (portRef Q (instanceRef din_r4_10_DOUT_0)) + (portRef I0 (instanceRef Ysum_8_0_axb_4)) + (portRef I0 (instanceRef Ysum_8_0_o5_4)) + )) + (net (rename dout_cut4_r0Z0Z_4 "dout_cut4_r0[4]") (joined + (portRef Q (instanceRef dout_cut4_r0_4)) + (portRef I1 (instanceRef Ysum_8_0_axb_4)) + (portRef I1 (instanceRef Ysum_8_0_o5_4)) + )) + (net (rename dout_cut5_r0Z0Z_4 "dout_cut5_r0[4]") (joined + (portRef Q (instanceRef dout_cut5_r0_4)) + (portRef I2 (instanceRef Ysum_8_0_axb_4)) + (portRef I2 (instanceRef Ysum_8_0_o5_4)) + )) + (net (rename Ysum_8_0_o5Z0Z_4 "Ysum_8_0_o5_4") (joined + (portRef O (instanceRef Ysum_8_0_o5_4)) + (portRef (member DI 1) (instanceRef Ysum_8_0_cry_6)) + (portRef I4 (instanceRef Ysum_8_0_axb_5)) + )) + (net (rename din_r4_8_DOUTZ0Z_0 "din_r4_8_DOUT[0]") (joined + (portRef Q (instanceRef din_r4_8_DOUT_0)) + (portRef I1 (instanceRef Ysum_8_0_axb_6)) + (portRef I0 (instanceRef Ysum_8_0_o5_6)) + )) + (net (rename dout_cut5_r0Z0Z_6 "dout_cut5_r0[6]") (joined + (portRef Q (instanceRef dout_cut5_r0_6)) + (portRef I0 (instanceRef Ysum_8_0_axb_6)) + (portRef I1 (instanceRef Ysum_8_0_o5_6)) + )) + (net (rename dout_cut4_r0Z0Z_6 "dout_cut4_r0[6]") (joined + (portRef Q (instanceRef dout_cut4_r0_6)) + (portRef I2 (instanceRef Ysum_8_0_axb_6)) + (portRef I2 (instanceRef Ysum_8_0_o5_6)) + )) + (net (rename Ysum_8_0_o5Z0Z_6 "Ysum_8_0_o5_6") (joined + (portRef O (instanceRef Ysum_8_0_o5_6)) + (portRef (member DI 3) (instanceRef Ysum_8_0_cry_10)) + (portRef I4 (instanceRef Ysum_8_0_axb_7)) + )) + (net (rename din_r4_9_DOUTZ0Z_0 "din_r4_9_DOUT[0]") (joined + (portRef Q (instanceRef din_r4_9_DOUT_0)) + (portRef I1 (instanceRef Ysum_8_0_axb_5)) + (portRef I0 (instanceRef Ysum_8_0_o5_5)) + )) + (net (rename dout_cut5_r0Z0Z_5 "dout_cut5_r0[5]") (joined + (portRef Q (instanceRef dout_cut5_r0_5)) + (portRef I0 (instanceRef Ysum_8_0_axb_5)) + (portRef I1 (instanceRef Ysum_8_0_o5_5)) + )) + (net (rename dout_cut4_r0Z0Z_5 "dout_cut4_r0[5]") (joined + (portRef Q (instanceRef dout_cut4_r0_5)) + (portRef I2 (instanceRef Ysum_8_0_axb_5)) + (portRef I2 (instanceRef Ysum_8_0_o5_5)) + )) + (net (rename Ysum_8_0_o5Z0Z_5 "Ysum_8_0_o5_5") (joined + (portRef O (instanceRef Ysum_8_0_o5_5)) + (portRef (member DI 0) (instanceRef Ysum_8_0_cry_6)) + (portRef I4 (instanceRef Ysum_8_0_axb_6)) + )) + (net (rename Ysum_8_0_axbZ0Z_8 "Ysum_8_0_axb_8") (joined + (portRef O (instanceRef Ysum_8_0_axb_8)) + (portRef (member S 2) (instanceRef Ysum_8_0_cry_10)) + )) + (net (rename Ysum_8_0_axbZ0Z_9 "Ysum_8_0_axb_9") (joined + (portRef O (instanceRef Ysum_8_0_axb_9)) + (portRef (member S 1) (instanceRef Ysum_8_0_cry_10)) + )) + (net (rename Ysum_8_0_axbZ0Z_10 "Ysum_8_0_axb_10") (joined + (portRef O (instanceRef Ysum_8_0_axb_10)) + (portRef (member S 0) (instanceRef Ysum_8_0_cry_10)) + )) + (net (rename Ysum_8_0_axbZ0Z_11 "Ysum_8_0_axb_11") (joined + (portRef O (instanceRef Ysum_8_0_axb_11)) + (portRef (member S 3) (instanceRef Ysum_8_0_cry_14)) + )) + (net (rename Ysum_8_0_axbZ0Z_12 "Ysum_8_0_axb_12") (joined + (portRef O (instanceRef Ysum_8_0_axb_12)) + (portRef (member S 2) (instanceRef Ysum_8_0_cry_14)) + )) + (net (rename Ysum_8_0_axbZ0Z_13 "Ysum_8_0_axb_13") (joined + (portRef O (instanceRef Ysum_8_0_axb_13)) + (portRef (member S 1) (instanceRef Ysum_8_0_cry_14)) + )) + (net (rename Ysum_8_0_axbZ0Z_14 "Ysum_8_0_axb_14") (joined + (portRef O (instanceRef Ysum_8_0_axb_14)) + (portRef (member S 0) (instanceRef Ysum_8_0_cry_14)) + )) + (net (rename Ysum_8_0_axbZ0Z_15 "Ysum_8_0_axb_15") (joined + (portRef O (instanceRef Ysum_8_0_axb_15)) + (portRef (member S 3) (instanceRef Ysum_8_0_s_16)) + )) + (net (rename Ysum_8_0_axbZ0Z_2 "Ysum_8_0_axb_2") (joined + (portRef O (instanceRef Ysum_8_0_axb_2)) + (portRef (member S 0) (instanceRef Ysum_8_0_cry_2)) + )) + (net (rename Ysum_8_0_axbZ0Z_3 "Ysum_8_0_axb_3") (joined + (portRef O (instanceRef Ysum_8_0_axb_3)) + (portRef (member S 3) (instanceRef Ysum_8_0_cry_6)) + )) + (net (rename Ysum_8_0_axbZ0Z_4 "Ysum_8_0_axb_4") (joined + (portRef O (instanceRef Ysum_8_0_axb_4)) + (portRef (member S 2) (instanceRef Ysum_8_0_cry_6)) + )) + (net (rename Ysum_8_0_axbZ0Z_5 "Ysum_8_0_axb_5") (joined + (portRef O (instanceRef Ysum_8_0_axb_5)) + (portRef (member S 1) (instanceRef Ysum_8_0_cry_6)) + )) + (net (rename Ysum_8_0_axbZ0Z_6 "Ysum_8_0_axb_6") (joined + (portRef O (instanceRef Ysum_8_0_axb_6)) + (portRef (member S 0) (instanceRef Ysum_8_0_cry_6)) + )) + (net (rename Ysum_8_0_axbZ0Z_7 "Ysum_8_0_axb_7") (joined + (portRef O (instanceRef Ysum_8_0_axb_7)) + (portRef (member S 3) (instanceRef Ysum_8_0_cry_10)) + )) + (net (rename din_r4_14_tmp_d_array_0_0 "din_r4_14_tmp_d_array_0[0]") (joined + (portRef Q (instanceRef din_r4_14_inst_TailCorr_top_din_r4_1)) + (portRef D (instanceRef din_r4_14_DOUT_0)) + )) + (net din_r1 (joined + (portRef Q (instanceRef din_r1_0)) + (portRef din_r1 (instanceRef inst_diffRe)) + (portRef D (instanceRef din_r4_14_inst_TailCorr_top_din_r4_1)) + )) + (net (rename din_r4_13_tmp_d_array_0_0 "din_r4_13_tmp_d_array_0[0]") (joined + (portRef Q (instanceRef din_r4_13_inst_TailCorr_top_din_r4_1)) + (portRef D (instanceRef din_r4_13_DOUT_0)) + )) + (net (rename din_r1Z0Z_0 "din_r1_0") (joined + (portRef Q (instanceRef din_r1_1)) + (portRef din_r1_0 (instanceRef inst_diffRe)) + (portRef D (instanceRef din_r4_13_inst_TailCorr_top_din_r4_1)) + )) + (net (rename din_r4_12_tmp_d_array_0_0 "din_r4_12_tmp_d_array_0[0]") (joined + (portRef Q (instanceRef din_r4_12_inst_TailCorr_top_din_r4_1)) + (portRef D (instanceRef din_r4_12_DOUT_0)) + )) + (net (rename din_r1Z0Z_1 "din_r1_1") (joined + (portRef Q (instanceRef din_r1_2)) + (portRef din_r1_1 (instanceRef inst_diffRe)) + (portRef D (instanceRef din_r4_12_inst_TailCorr_top_din_r4_1)) + )) + (net (rename din_r4_11_tmp_d_array_0_0 "din_r4_11_tmp_d_array_0[0]") (joined + (portRef Q (instanceRef din_r4_11_inst_TailCorr_top_din_r4_1)) + (portRef D (instanceRef din_r4_11_DOUT_0)) + )) + (net (rename din_r1Z0Z_2 "din_r1_2") (joined + (portRef Q (instanceRef din_r1_3)) + (portRef din_r1_2 (instanceRef inst_diffRe)) + (portRef D (instanceRef din_r4_11_inst_TailCorr_top_din_r4_1)) + )) + (net (rename din_r4_10_tmp_d_array_0_0 "din_r4_10_tmp_d_array_0[0]") (joined + (portRef Q (instanceRef din_r4_10_inst_TailCorr_top_din_r4_1)) + (portRef D (instanceRef din_r4_10_DOUT_0)) + )) + (net (rename din_r1Z0Z_3 "din_r1_3") (joined + (portRef Q (instanceRef din_r1_4)) + (portRef din_r1_3 (instanceRef inst_diffRe)) + (portRef D (instanceRef din_r4_10_inst_TailCorr_top_din_r4_1)) + )) + (net (rename din_r4_9_tmp_d_array_0_0 "din_r4_9_tmp_d_array_0[0]") (joined + (portRef Q (instanceRef din_r4_9_inst_TailCorr_top_din_r4_1)) + (portRef D (instanceRef din_r4_9_DOUT_0)) + )) + (net (rename din_r1Z0Z_4 "din_r1_4") (joined + (portRef Q (instanceRef din_r1_5)) + (portRef din_r1_4 (instanceRef inst_diffRe)) + (portRef D (instanceRef din_r4_9_inst_TailCorr_top_din_r4_1)) + )) + (net (rename din_r4_8_tmp_d_array_0_0 "din_r4_8_tmp_d_array_0[0]") (joined + (portRef Q (instanceRef din_r4_8_inst_TailCorr_top_din_r4_1)) + (portRef D (instanceRef din_r4_8_DOUT_0)) + )) + (net (rename din_r1Z0Z_5 "din_r1_5") (joined + (portRef Q (instanceRef din_r1_6)) + (portRef din_r1_5 (instanceRef inst_diffRe)) + (portRef D (instanceRef din_r4_8_inst_TailCorr_top_din_r4_1)) + )) + (net (rename din_r4_7_tmp_d_array_0_0 "din_r4_7_tmp_d_array_0[0]") (joined + (portRef Q (instanceRef din_r4_7_inst_TailCorr_top_din_r4_1)) + (portRef D (instanceRef din_r4_7_DOUT_0)) + )) + (net (rename din_r1Z0Z_6 "din_r1_6") (joined + (portRef Q (instanceRef din_r1_7)) + (portRef din_r1_6 (instanceRef inst_diffRe)) + (portRef D (instanceRef din_r4_7_inst_TailCorr_top_din_r4_1)) + )) + (net (rename din_r4_6_tmp_d_array_0_0 "din_r4_6_tmp_d_array_0[0]") (joined + (portRef Q (instanceRef din_r4_6_inst_TailCorr_top_din_r4_1)) + (portRef D (instanceRef din_r4_6_DOUT_0)) + )) + (net (rename din_r1Z0Z_7 "din_r1_7") (joined + (portRef Q (instanceRef din_r1_8)) + (portRef din_r1_7 (instanceRef inst_diffRe)) + (portRef D (instanceRef din_r4_6_inst_TailCorr_top_din_r4_1)) + )) + (net (rename din_r4_5_tmp_d_array_0_0 "din_r4_5_tmp_d_array_0[0]") (joined + (portRef Q (instanceRef din_r4_5_inst_TailCorr_top_din_r4_1)) + (portRef D (instanceRef din_r4_5_DOUT_0)) + )) + (net (rename din_r1Z0Z_8 "din_r1_8") (joined + (portRef Q (instanceRef din_r1_9)) + (portRef din_r1_8 (instanceRef inst_diffRe)) + (portRef D (instanceRef din_r4_5_inst_TailCorr_top_din_r4_1)) + )) + (net (rename din_r4_4_tmp_d_array_0_0 "din_r4_4_tmp_d_array_0[0]") (joined + (portRef Q (instanceRef din_r4_4_inst_TailCorr_top_din_r4_1)) + (portRef D (instanceRef din_r4_4_DOUT_0)) + )) + (net (rename din_r1Z0Z_9 "din_r1_9") (joined + (portRef Q (instanceRef din_r1_10)) + (portRef din_r1_9 (instanceRef inst_diffRe)) + (portRef D (instanceRef din_r4_4_inst_TailCorr_top_din_r4_1)) + )) + (net (rename din_r4_3_tmp_d_array_0_0 "din_r4_3_tmp_d_array_0[0]") (joined + (portRef Q (instanceRef din_r4_3_inst_TailCorr_top_din_r4_1)) + (portRef D (instanceRef din_r4_3_DOUT_0)) + )) + (net (rename din_r1Z0Z_10 "din_r1_10") (joined + (portRef Q (instanceRef din_r1_11)) + (portRef din_r1_10 (instanceRef inst_diffRe)) + (portRef D (instanceRef din_r4_3_inst_TailCorr_top_din_r4_1)) + )) + (net (rename din_r4_2_tmp_d_array_0_0 "din_r4_2_tmp_d_array_0[0]") (joined + (portRef Q (instanceRef din_r4_2_inst_TailCorr_top_din_r4_1)) + (portRef D (instanceRef din_r4_2_DOUT_0)) + )) + (net (rename din_r1Z0Z_11 "din_r1_11") (joined + (portRef Q (instanceRef din_r1_12)) + (portRef din_r1_11 (instanceRef inst_diffRe)) + (portRef D (instanceRef din_r4_2_inst_TailCorr_top_din_r4_1)) + )) + (net (rename din_r4_1_tmp_d_array_0_0 "din_r4_1_tmp_d_array_0[0]") (joined + (portRef Q (instanceRef din_r4_1_inst_TailCorr_top_din_r4_1)) + (portRef D (instanceRef din_r4_1_DOUT_0)) + )) + (net (rename din_r1Z0Z_12 "din_r1_12") (joined + (portRef Q (instanceRef din_r1_13)) + (portRef din_r1_12 (instanceRef inst_diffRe)) + (portRef D (instanceRef din_r4_1_inst_TailCorr_top_din_r4_1)) + )) + (net (rename din_r4_0_tmp_d_array_0_0 "din_r4_0_tmp_d_array_0[0]") (joined + (portRef Q (instanceRef din_r4_0_inst_TailCorr_top_din_r4_1)) + (portRef D (instanceRef din_r4_0_DOUT_0)) + )) + (net (rename din_r1Z0Z_13 "din_r1_13") (joined + (portRef Q (instanceRef din_r1_14)) + (portRef din_r1_13 (instanceRef inst_diffRe)) + (portRef D (instanceRef din_r4_0_inst_TailCorr_top_din_r4_1)) + )) + (net (rename din_r4_tmp_d_array_0_0 "din_r4_tmp_d_array_0[0]") (joined + (portRef Q (instanceRef din_r4_inst_TailCorr_top_din_r4_1)) + (portRef D (instanceRef din_r4_DOUT_0)) + )) + (net (rename din_r1Z0Z_14 "din_r1_14") (joined + (portRef Q (instanceRef din_r1_15)) + (portRef din_r1_14 (instanceRef inst_diffRe)) + (portRef D (instanceRef din_r4_inst_TailCorr_top_din_r4_1)) + )) + (net (rename din_r0Z0Z_14 "din_r0_14") (joined + (portRef Q (instanceRef din_r0_15)) + (portRef din_r0_14 (instanceRef inst_diffRe)) + (portRef D (instanceRef din_r1_15)) + )) + (net (rename din_r0Z0Z_13 "din_r0_13") (joined + (portRef Q (instanceRef din_r0_14)) + (portRef din_r0_13 (instanceRef inst_diffRe)) + (portRef D (instanceRef din_r1_14)) + )) + (net (rename din_r0Z0Z_12 "din_r0_12") (joined + (portRef Q (instanceRef din_r0_13)) + (portRef din_r0_12 (instanceRef inst_diffRe)) + (portRef D (instanceRef din_r1_13)) + )) + (net (rename din_r0Z0Z_11 "din_r0_11") (joined + (portRef Q (instanceRef din_r0_12)) + (portRef din_r0_11 (instanceRef inst_diffRe)) + (portRef D (instanceRef din_r1_12)) + )) + (net (rename din_r0Z0Z_10 "din_r0_10") (joined + (portRef Q (instanceRef din_r0_11)) + (portRef din_r0_10 (instanceRef inst_diffRe)) + (portRef D (instanceRef din_r1_11)) + )) + (net (rename din_r0Z0Z_9 "din_r0_9") (joined + (portRef Q (instanceRef din_r0_10)) + (portRef din_r0_9 (instanceRef inst_diffRe)) + (portRef D (instanceRef din_r1_10)) + )) + (net (rename din_r0Z0Z_8 "din_r0_8") (joined + (portRef Q (instanceRef din_r0_9)) + (portRef din_r0_8 (instanceRef inst_diffRe)) + (portRef D (instanceRef din_r1_9)) + )) + (net (rename din_r0Z0Z_7 "din_r0_7") (joined + (portRef Q (instanceRef din_r0_8)) + (portRef din_r0_7 (instanceRef inst_diffRe)) + (portRef D (instanceRef din_r1_8)) + )) + (net (rename din_r0Z0Z_6 "din_r0_6") (joined + (portRef Q (instanceRef din_r0_7)) + (portRef din_r0_6 (instanceRef inst_diffRe)) + (portRef D (instanceRef din_r1_7)) + )) + (net (rename din_r0Z0Z_5 "din_r0_5") (joined + (portRef Q (instanceRef din_r0_6)) + (portRef din_r0_5 (instanceRef inst_diffRe)) + (portRef D (instanceRef din_r1_6)) + )) + (net (rename din_r0Z0Z_4 "din_r0_4") (joined + (portRef Q (instanceRef din_r0_5)) + (portRef din_r0_4 (instanceRef inst_diffRe)) + (portRef D (instanceRef din_r1_5)) + )) + (net (rename din_r0Z0Z_3 "din_r0_3") (joined + (portRef Q (instanceRef din_r0_4)) + (portRef din_r0_3 (instanceRef inst_diffRe)) + (portRef D (instanceRef din_r1_4)) + )) + (net (rename din_r0Z0Z_2 "din_r0_2") (joined + (portRef Q (instanceRef din_r0_3)) + (portRef din_r0_2 (instanceRef inst_diffRe)) + (portRef D (instanceRef din_r1_3)) + )) + (net (rename din_r0Z0Z_1 "din_r0_1") (joined + (portRef Q (instanceRef din_r0_2)) + (portRef din_r0_1 (instanceRef inst_diffRe)) + (portRef D (instanceRef din_r1_2)) + )) + (net (rename din_r0Z0Z_0 "din_r0_0") (joined + (portRef Q (instanceRef din_r0_1)) + (portRef din_r0_0 (instanceRef inst_diffRe)) + (portRef D (instanceRef din_r1_1)) + )) + (net din_r0 (joined + (portRef Q (instanceRef din_r0_0)) + (portRef din_r0 (instanceRef inst_diffRe)) + (portRef D (instanceRef din_r1_0)) + )) + (net dout_round_0_14 (joined + (portRef Q (instanceRef dout_round_0_20)) + (portRef D (instanceRef dout_cut0_r0_0)) + )) + (net un1_dout_0_1_14 (joined + (portRef (member O 3) (instanceRef un1_dout_0_1_cry_23)) + (portRef D (instanceRef dout_round_0_20)) + )) + (net dout_round_0_13 (joined + (portRef Q (instanceRef dout_round_0_21)) + (portRef D (instanceRef dout_cut0_r0_1)) + )) + (net un1_dout_0_1_13 (joined + (portRef (member O 2) (instanceRef un1_dout_0_1_cry_23)) + (portRef D (instanceRef dout_round_0_21)) + )) + (net dout_round_0_12 (joined + (portRef Q (instanceRef dout_round_0_22)) + (portRef D (instanceRef dout_cut0_r0_2)) + )) + (net un1_dout_0_1_12 (joined + (portRef (member O 1) (instanceRef un1_dout_0_1_cry_23)) + (portRef D (instanceRef dout_round_0_22)) + )) + (net dout_round_0_11 (joined + (portRef Q (instanceRef dout_round_0_23)) + (portRef D (instanceRef dout_cut0_r0_3)) + )) + (net un1_dout_0_1_11 (joined + (portRef (member O 0) (instanceRef un1_dout_0_1_cry_23)) + (portRef D (instanceRef dout_round_0_23)) + )) + (net dout_round_0_10 (joined + (portRef Q (instanceRef dout_round_0_24)) + (portRef D (instanceRef dout_cut0_r0_4)) + )) + (net un1_dout_0_1_10 (joined + (portRef (member O 3) (instanceRef un1_dout_0_1_cry_27)) + (portRef D (instanceRef dout_round_0_24)) + )) + (net dout_round_0_9 (joined + (portRef Q (instanceRef dout_round_0_25)) + (portRef D (instanceRef dout_cut0_r0_5)) + )) + (net un1_dout_0_1_9 (joined + (portRef (member O 2) (instanceRef un1_dout_0_1_cry_27)) + (portRef D (instanceRef dout_round_0_25)) + )) + (net dout_round_0_8 (joined + (portRef Q (instanceRef dout_round_0_26)) + (portRef D (instanceRef dout_cut0_r0_6)) + )) + (net un1_dout_0_1_8 (joined + (portRef (member O 1) (instanceRef un1_dout_0_1_cry_27)) + (portRef D (instanceRef dout_round_0_26)) + )) + (net dout_round_0_7 (joined + (portRef Q (instanceRef dout_round_0_27)) + (portRef D (instanceRef dout_cut0_r0_7)) + )) + (net un1_dout_0_1_7 (joined + (portRef (member O 0) (instanceRef un1_dout_0_1_cry_27)) + (portRef D (instanceRef dout_round_0_27)) + )) + (net dout_round_0_6 (joined + (portRef Q (instanceRef dout_round_0_28)) + (portRef D (instanceRef dout_cut0_r0_8)) + )) + (net un1_dout_0_1_6 (joined + (portRef (member O 3) (instanceRef un1_dout_0_1_cry_31)) + (portRef D (instanceRef dout_round_0_28)) + )) + (net dout_round_0_5 (joined + (portRef Q (instanceRef dout_round_0_29)) + (portRef D (instanceRef dout_cut0_r0_9)) + )) + (net un1_dout_0_1_5 (joined + (portRef (member O 2) (instanceRef un1_dout_0_1_cry_31)) + (portRef D (instanceRef dout_round_0_29)) + )) + (net dout_round_0_4 (joined + (portRef Q (instanceRef dout_round_0_30)) + (portRef D (instanceRef dout_cut0_r0_10)) + )) + (net un1_dout_0_1_4 (joined + (portRef (member O 1) (instanceRef un1_dout_0_1_cry_31)) + (portRef D (instanceRef dout_round_0_30)) + )) + (net dout_round_0_3 (joined + (portRef Q (instanceRef dout_round_0_31)) + (portRef D (instanceRef dout_cut0_r0_11)) + )) + (net un1_dout_0_1_3 (joined + (portRef (member O 0) (instanceRef un1_dout_0_1_cry_31)) + (portRef D (instanceRef dout_round_0_31)) + )) + (net dout_round_0_2 (joined + (portRef Q (instanceRef dout_round_0_32)) + (portRef D (instanceRef dout_cut0_r0_12)) + )) + (net un1_dout_0_1_2 (joined + (portRef (member O 3) (instanceRef un1_dout_0_1_s_35)) + (portRef D (instanceRef dout_round_0_32)) + )) + (net dout_round_0_1 (joined + (portRef Q (instanceRef dout_round_0_33)) + (portRef D (instanceRef dout_cut0_r0_13)) + )) + (net un1_dout_0_1_1 (joined + (portRef (member O 2) (instanceRef un1_dout_0_1_s_35)) + (portRef D (instanceRef dout_round_0_33)) + )) + (net dout_round_0_0 (joined + (portRef Q (instanceRef dout_round_0_34)) + (portRef D (instanceRef dout_cut0_r0_14)) + )) + (net un1_dout_0_1_0 (joined + (portRef (member O 1) (instanceRef un1_dout_0_1_s_35)) + (portRef D (instanceRef dout_round_0_34)) + )) + (net dout_round_0 (joined + (portRef Q (instanceRef dout_round_0_35)) + (portRef D (instanceRef dout_cut0_r0_15)) + )) + (net un1_dout_0_1 (joined + (portRef (member O 0) (instanceRef un1_dout_0_1_s_35)) + (portRef D (instanceRef dout_round_0_35)) + )) + (net dout_round_1_14 (joined + (portRef Q (instanceRef dout_round_1_20)) + (portRef D (instanceRef dout_cut1_r0_0)) + )) + (net un1_dout_1_1_14 (joined + (portRef (member O 3) (instanceRef un1_dout_1_1_cry_23)) + (portRef D (instanceRef dout_round_1_20)) + )) + (net dout_round_1_13 (joined + (portRef Q (instanceRef dout_round_1_21)) + (portRef D (instanceRef dout_cut1_r0_1)) + )) + (net un1_dout_1_1_13 (joined + (portRef (member O 2) (instanceRef un1_dout_1_1_cry_23)) + (portRef D (instanceRef dout_round_1_21)) + )) + (net dout_round_1_12 (joined + (portRef Q (instanceRef dout_round_1_22)) + (portRef D (instanceRef dout_cut1_r0_2)) + )) + (net un1_dout_1_1_12 (joined + (portRef (member O 1) (instanceRef un1_dout_1_1_cry_23)) + (portRef D (instanceRef dout_round_1_22)) + )) + (net dout_round_1_11 (joined + (portRef Q (instanceRef dout_round_1_23)) + (portRef D (instanceRef dout_cut1_r0_3)) + )) + (net un1_dout_1_1_11 (joined + (portRef (member O 0) (instanceRef un1_dout_1_1_cry_23)) + (portRef D (instanceRef dout_round_1_23)) + )) + (net dout_round_1_10 (joined + (portRef Q (instanceRef dout_round_1_24)) + (portRef D (instanceRef dout_cut1_r0_4)) + )) + (net un1_dout_1_1_10 (joined + (portRef (member O 3) (instanceRef un1_dout_1_1_cry_27)) + (portRef D (instanceRef dout_round_1_24)) + )) + (net dout_round_1_9 (joined + (portRef Q (instanceRef dout_round_1_25)) + (portRef D (instanceRef dout_cut1_r0_5)) + )) + (net un1_dout_1_1_9 (joined + (portRef (member O 2) (instanceRef un1_dout_1_1_cry_27)) + (portRef D (instanceRef dout_round_1_25)) + )) + (net dout_round_1_8 (joined + (portRef Q (instanceRef dout_round_1_26)) + (portRef D (instanceRef dout_cut1_r0_6)) + )) + (net un1_dout_1_1_8 (joined + (portRef (member O 1) (instanceRef un1_dout_1_1_cry_27)) + (portRef D (instanceRef dout_round_1_26)) + )) + (net dout_round_1_7 (joined + (portRef Q (instanceRef dout_round_1_27)) + (portRef D (instanceRef dout_cut1_r0_7)) + )) + (net un1_dout_1_1_7 (joined + (portRef (member O 0) (instanceRef un1_dout_1_1_cry_27)) + (portRef D (instanceRef dout_round_1_27)) + )) + (net dout_round_1_6 (joined + (portRef Q (instanceRef dout_round_1_28)) + (portRef D (instanceRef dout_cut1_r0_8)) + )) + (net un1_dout_1_1_6 (joined + (portRef (member O 3) (instanceRef un1_dout_1_1_cry_31)) + (portRef D (instanceRef dout_round_1_28)) + )) + (net dout_round_1_5 (joined + (portRef Q (instanceRef dout_round_1_29)) + (portRef D (instanceRef dout_cut1_r0_9)) + )) + (net un1_dout_1_1_5 (joined + (portRef (member O 2) (instanceRef un1_dout_1_1_cry_31)) + (portRef D (instanceRef dout_round_1_29)) + )) + (net dout_round_1_4 (joined + (portRef Q (instanceRef dout_round_1_30)) + (portRef D (instanceRef dout_cut1_r0_10)) + )) + (net un1_dout_1_1_4 (joined + (portRef (member O 1) (instanceRef un1_dout_1_1_cry_31)) + (portRef D (instanceRef dout_round_1_30)) + )) + (net dout_round_1_3 (joined + (portRef Q (instanceRef dout_round_1_31)) + (portRef D (instanceRef dout_cut1_r0_11)) + )) + (net un1_dout_1_1_3 (joined + (portRef (member O 0) (instanceRef un1_dout_1_1_cry_31)) + (portRef D (instanceRef dout_round_1_31)) + )) + (net dout_round_1_2 (joined + (portRef Q (instanceRef dout_round_1_32)) + (portRef D (instanceRef dout_cut1_r0_12)) + )) + (net un1_dout_1_1_2 (joined + (portRef (member O 3) (instanceRef un1_dout_1_1_s_35)) + (portRef D (instanceRef dout_round_1_32)) + )) + (net dout_round_1_1 (joined + (portRef Q (instanceRef dout_round_1_33)) + (portRef D (instanceRef dout_cut1_r0_13)) + )) + (net un1_dout_1_1_1 (joined + (portRef (member O 2) (instanceRef un1_dout_1_1_s_35)) + (portRef D (instanceRef dout_round_1_33)) + )) + (net dout_round_1_0 (joined + (portRef Q (instanceRef dout_round_1_34)) + (portRef D (instanceRef dout_cut1_r0_14)) + )) + (net un1_dout_1_1_0 (joined + (portRef (member O 1) (instanceRef un1_dout_1_1_s_35)) + (portRef D (instanceRef dout_round_1_34)) + )) + (net dout_round_1 (joined + (portRef Q (instanceRef dout_round_1_35)) + (portRef D (instanceRef dout_cut1_r0_15)) + )) + (net un1_dout_1_1 (joined + (portRef (member O 0) (instanceRef un1_dout_1_1_s_35)) + (portRef D (instanceRef dout_round_1_35)) + )) + (net dout_round_2_14 (joined + (portRef Q (instanceRef dout_round_2_20)) + (portRef D (instanceRef dout_cut2_r0_0)) + )) + (net un1_dout_2_1_14 (joined + (portRef (member O 3) (instanceRef un1_dout_2_1_cry_23)) + (portRef D (instanceRef dout_round_2_20)) + )) + (net dout_round_2_13 (joined + (portRef Q (instanceRef dout_round_2_21)) + (portRef D (instanceRef dout_cut2_r0_1)) + )) + (net un1_dout_2_1_13 (joined + (portRef (member O 2) (instanceRef un1_dout_2_1_cry_23)) + (portRef D (instanceRef dout_round_2_21)) + )) + (net dout_round_2_12 (joined + (portRef Q (instanceRef dout_round_2_22)) + (portRef D (instanceRef dout_cut2_r0_2)) + )) + (net un1_dout_2_1_12 (joined + (portRef (member O 1) (instanceRef un1_dout_2_1_cry_23)) + (portRef D (instanceRef dout_round_2_22)) + )) + (net dout_round_2_11 (joined + (portRef Q (instanceRef dout_round_2_23)) + (portRef D (instanceRef dout_cut2_r0_3)) + )) + (net un1_dout_2_1_11 (joined + (portRef (member O 0) (instanceRef un1_dout_2_1_cry_23)) + (portRef D (instanceRef dout_round_2_23)) + )) + (net dout_round_2_10 (joined + (portRef Q (instanceRef dout_round_2_24)) + (portRef D (instanceRef dout_cut2_r0_4)) + )) + (net un1_dout_2_1_10 (joined + (portRef (member O 3) (instanceRef un1_dout_2_1_cry_27)) + (portRef D (instanceRef dout_round_2_24)) + )) + (net dout_round_2_9 (joined + (portRef Q (instanceRef dout_round_2_25)) + (portRef D (instanceRef dout_cut2_r0_5)) + )) + (net un1_dout_2_1_9 (joined + (portRef (member O 2) (instanceRef un1_dout_2_1_cry_27)) + (portRef D (instanceRef dout_round_2_25)) + )) + (net dout_round_2_8 (joined + (portRef Q (instanceRef dout_round_2_26)) + (portRef D (instanceRef dout_cut2_r0_6)) + )) + (net un1_dout_2_1_8 (joined + (portRef (member O 1) (instanceRef un1_dout_2_1_cry_27)) + (portRef D (instanceRef dout_round_2_26)) + )) + (net dout_round_2_7 (joined + (portRef Q (instanceRef dout_round_2_27)) + (portRef D (instanceRef dout_cut2_r0_7)) + )) + (net un1_dout_2_1_7 (joined + (portRef (member O 0) (instanceRef un1_dout_2_1_cry_27)) + (portRef D (instanceRef dout_round_2_27)) + )) + (net dout_round_2_6 (joined + (portRef Q (instanceRef dout_round_2_28)) + (portRef D (instanceRef dout_cut2_r0_8)) + )) + (net un1_dout_2_1_6 (joined + (portRef (member O 3) (instanceRef un1_dout_2_1_cry_31)) + (portRef D (instanceRef dout_round_2_28)) + )) + (net dout_round_2_5 (joined + (portRef Q (instanceRef dout_round_2_29)) + (portRef D (instanceRef dout_cut2_r0_9)) + )) + (net un1_dout_2_1_5 (joined + (portRef (member O 2) (instanceRef un1_dout_2_1_cry_31)) + (portRef D (instanceRef dout_round_2_29)) + )) + (net dout_round_2_4 (joined + (portRef Q (instanceRef dout_round_2_30)) + (portRef D (instanceRef dout_cut2_r0_10)) + )) + (net un1_dout_2_1_4 (joined + (portRef (member O 1) (instanceRef un1_dout_2_1_cry_31)) + (portRef D (instanceRef dout_round_2_30)) + )) + (net dout_round_2_3 (joined + (portRef Q (instanceRef dout_round_2_31)) + (portRef D (instanceRef dout_cut2_r0_11)) + )) + (net un1_dout_2_1_3 (joined + (portRef (member O 0) (instanceRef un1_dout_2_1_cry_31)) + (portRef D (instanceRef dout_round_2_31)) + )) + (net dout_round_2_2 (joined + (portRef Q (instanceRef dout_round_2_32)) + (portRef D (instanceRef dout_cut2_r0_12)) + )) + (net un1_dout_2_1_2 (joined + (portRef (member O 3) (instanceRef un1_dout_2_1_s_35)) + (portRef D (instanceRef dout_round_2_32)) + )) + (net dout_round_2_1 (joined + (portRef Q (instanceRef dout_round_2_33)) + (portRef D (instanceRef dout_cut2_r0_13)) + )) + (net un1_dout_2_1_1 (joined + (portRef (member O 2) (instanceRef un1_dout_2_1_s_35)) + (portRef D (instanceRef dout_round_2_33)) + )) + (net dout_round_2_0 (joined + (portRef Q (instanceRef dout_round_2_34)) + (portRef D (instanceRef dout_cut2_r0_14)) + )) + (net un1_dout_2_1_0 (joined + (portRef (member O 1) (instanceRef un1_dout_2_1_s_35)) + (portRef D (instanceRef dout_round_2_34)) + )) + (net dout_round_2 (joined + (portRef Q (instanceRef dout_round_2_35)) + (portRef D (instanceRef dout_cut2_r0_15)) + )) + (net un1_dout_2_1 (joined + (portRef (member O 0) (instanceRef un1_dout_2_1_s_35)) + (portRef D (instanceRef dout_round_2_35)) + )) + (net dout_round_3_14 (joined + (portRef Q (instanceRef dout_round_3_20)) + (portRef D (instanceRef dout_cut3_r0_0)) + )) + (net un1_dout_3_1_14 (joined + (portRef (member O 3) (instanceRef un1_dout_3_1_cry_23)) + (portRef D (instanceRef dout_round_3_20)) + )) + (net dout_round_3_13 (joined + (portRef Q (instanceRef dout_round_3_21)) + (portRef D (instanceRef dout_cut3_r0_1)) + )) + (net un1_dout_3_1_13 (joined + (portRef (member O 2) (instanceRef un1_dout_3_1_cry_23)) + (portRef D (instanceRef dout_round_3_21)) + )) + (net dout_round_3_12 (joined + (portRef Q (instanceRef dout_round_3_22)) + (portRef D (instanceRef dout_cut3_r0_2)) + )) + (net un1_dout_3_1_12 (joined + (portRef (member O 1) (instanceRef un1_dout_3_1_cry_23)) + (portRef D (instanceRef dout_round_3_22)) + )) + (net dout_round_3_11 (joined + (portRef Q (instanceRef dout_round_3_23)) + (portRef D (instanceRef dout_cut3_r0_3)) + )) + (net un1_dout_3_1_11 (joined + (portRef (member O 0) (instanceRef un1_dout_3_1_cry_23)) + (portRef D (instanceRef dout_round_3_23)) + )) + (net dout_round_3_10 (joined + (portRef Q (instanceRef dout_round_3_24)) + (portRef D (instanceRef dout_cut3_r0_4)) + )) + (net un1_dout_3_1_10 (joined + (portRef (member O 3) (instanceRef un1_dout_3_1_cry_27)) + (portRef D (instanceRef dout_round_3_24)) + )) + (net dout_round_3_9 (joined + (portRef Q (instanceRef dout_round_3_25)) + (portRef D (instanceRef dout_cut3_r0_5)) + )) + (net un1_dout_3_1_9 (joined + (portRef (member O 2) (instanceRef un1_dout_3_1_cry_27)) + (portRef D (instanceRef dout_round_3_25)) + )) + (net dout_round_3_8 (joined + (portRef Q (instanceRef dout_round_3_26)) + (portRef D (instanceRef dout_cut3_r0_6)) + )) + (net un1_dout_3_1_8 (joined + (portRef (member O 1) (instanceRef un1_dout_3_1_cry_27)) + (portRef D (instanceRef dout_round_3_26)) + )) + (net dout_round_3_7 (joined + (portRef Q (instanceRef dout_round_3_27)) + (portRef D (instanceRef dout_cut3_r0_7)) + )) + (net un1_dout_3_1_7 (joined + (portRef (member O 0) (instanceRef un1_dout_3_1_cry_27)) + (portRef D (instanceRef dout_round_3_27)) + )) + (net dout_round_3_6 (joined + (portRef Q (instanceRef dout_round_3_28)) + (portRef D (instanceRef dout_cut3_r0_8)) + )) + (net un1_dout_3_1_6 (joined + (portRef (member O 3) (instanceRef un1_dout_3_1_cry_31)) + (portRef D (instanceRef dout_round_3_28)) + )) + (net dout_round_3_5 (joined + (portRef Q (instanceRef dout_round_3_29)) + (portRef D (instanceRef dout_cut3_r0_9)) + )) + (net un1_dout_3_1_5 (joined + (portRef (member O 2) (instanceRef un1_dout_3_1_cry_31)) + (portRef D (instanceRef dout_round_3_29)) + )) + (net dout_round_3_4 (joined + (portRef Q (instanceRef dout_round_3_30)) + (portRef D (instanceRef dout_cut3_r0_10)) + )) + (net un1_dout_3_1_4 (joined + (portRef (member O 1) (instanceRef un1_dout_3_1_cry_31)) + (portRef D (instanceRef dout_round_3_30)) + )) + (net dout_round_3_3 (joined + (portRef Q (instanceRef dout_round_3_31)) + (portRef D (instanceRef dout_cut3_r0_11)) + )) + (net un1_dout_3_1_3 (joined + (portRef (member O 0) (instanceRef un1_dout_3_1_cry_31)) + (portRef D (instanceRef dout_round_3_31)) + )) + (net dout_round_3_2 (joined + (portRef Q (instanceRef dout_round_3_32)) + (portRef D (instanceRef dout_cut3_r0_12)) + )) + (net un1_dout_3_1_2 (joined + (portRef (member O 3) (instanceRef un1_dout_3_1_s_35)) + (portRef D (instanceRef dout_round_3_32)) + )) + (net dout_round_3_1 (joined + (portRef Q (instanceRef dout_round_3_33)) + (portRef D (instanceRef dout_cut3_r0_13)) + )) + (net un1_dout_3_1_1 (joined + (portRef (member O 2) (instanceRef un1_dout_3_1_s_35)) + (portRef D (instanceRef dout_round_3_33)) + )) + (net dout_round_3_0 (joined + (portRef Q (instanceRef dout_round_3_34)) + (portRef D (instanceRef dout_cut3_r0_14)) + )) + (net un1_dout_3_1_0 (joined + (portRef (member O 1) (instanceRef un1_dout_3_1_s_35)) + (portRef D (instanceRef dout_round_3_34)) + )) + (net dout_round_3 (joined + (portRef Q (instanceRef dout_round_3_35)) + (portRef D (instanceRef dout_cut3_r0_15)) + )) + (net un1_dout_3_1 (joined + (portRef (member O 0) (instanceRef un1_dout_3_1_s_35)) + (portRef D (instanceRef dout_round_3_35)) + )) + (net dout_round_4_14 (joined + (portRef Q (instanceRef dout_round_4_20)) + (portRef D (instanceRef dout_cut4_r0_0)) + )) + (net un1_dout_4_1_14 (joined + (portRef (member O 3) (instanceRef un1_dout_4_1_cry_23)) + (portRef D (instanceRef dout_round_4_20)) + )) + (net dout_round_4_13 (joined + (portRef Q (instanceRef dout_round_4_21)) + (portRef D (instanceRef dout_cut4_r0_1)) + )) + (net un1_dout_4_1_13 (joined + (portRef (member O 2) (instanceRef un1_dout_4_1_cry_23)) + (portRef D (instanceRef dout_round_4_21)) + )) + (net dout_round_4_12 (joined + (portRef Q (instanceRef dout_round_4_22)) + (portRef D (instanceRef dout_cut4_r0_2)) + )) + (net un1_dout_4_1_12 (joined + (portRef (member O 1) (instanceRef un1_dout_4_1_cry_23)) + (portRef D (instanceRef dout_round_4_22)) + )) + (net dout_round_4_11 (joined + (portRef Q (instanceRef dout_round_4_23)) + (portRef D (instanceRef dout_cut4_r0_3)) + )) + (net un1_dout_4_1_11 (joined + (portRef (member O 0) (instanceRef un1_dout_4_1_cry_23)) + (portRef D (instanceRef dout_round_4_23)) + )) + (net dout_round_4_10 (joined + (portRef Q (instanceRef dout_round_4_24)) + (portRef D (instanceRef dout_cut4_r0_4)) + )) + (net un1_dout_4_1_10 (joined + (portRef (member O 3) (instanceRef un1_dout_4_1_cry_27)) + (portRef D (instanceRef dout_round_4_24)) + )) + (net dout_round_4_9 (joined + (portRef Q (instanceRef dout_round_4_25)) + (portRef D (instanceRef dout_cut4_r0_5)) + )) + (net un1_dout_4_1_9 (joined + (portRef (member O 2) (instanceRef un1_dout_4_1_cry_27)) + (portRef D (instanceRef dout_round_4_25)) + )) + (net dout_round_4_8 (joined + (portRef Q (instanceRef dout_round_4_26)) + (portRef D (instanceRef dout_cut4_r0_6)) + )) + (net un1_dout_4_1_8 (joined + (portRef (member O 1) (instanceRef un1_dout_4_1_cry_27)) + (portRef D (instanceRef dout_round_4_26)) + )) + (net dout_round_4_7 (joined + (portRef Q (instanceRef dout_round_4_27)) + (portRef D (instanceRef dout_cut4_r0_7)) + )) + (net un1_dout_4_1_7 (joined + (portRef (member O 0) (instanceRef un1_dout_4_1_cry_27)) + (portRef D (instanceRef dout_round_4_27)) + )) + (net dout_round_4_6 (joined + (portRef Q (instanceRef dout_round_4_28)) + (portRef D (instanceRef dout_cut4_r0_8)) + )) + (net un1_dout_4_1_6 (joined + (portRef (member O 3) (instanceRef un1_dout_4_1_cry_31)) + (portRef D (instanceRef dout_round_4_28)) + )) + (net dout_round_4_5 (joined + (portRef Q (instanceRef dout_round_4_29)) + (portRef D (instanceRef dout_cut4_r0_9)) + )) + (net un1_dout_4_1_5 (joined + (portRef (member O 2) (instanceRef un1_dout_4_1_cry_31)) + (portRef D (instanceRef dout_round_4_29)) + )) + (net dout_round_4_4 (joined + (portRef Q (instanceRef dout_round_4_30)) + (portRef D (instanceRef dout_cut4_r0_10)) + )) + (net un1_dout_4_1_4 (joined + (portRef (member O 1) (instanceRef un1_dout_4_1_cry_31)) + (portRef D (instanceRef dout_round_4_30)) + )) + (net dout_round_4_3 (joined + (portRef Q (instanceRef dout_round_4_31)) + (portRef D (instanceRef dout_cut4_r0_11)) + )) + (net un1_dout_4_1_3 (joined + (portRef (member O 0) (instanceRef un1_dout_4_1_cry_31)) + (portRef D (instanceRef dout_round_4_31)) + )) + (net dout_round_4_2 (joined + (portRef Q (instanceRef dout_round_4_32)) + (portRef D (instanceRef dout_cut4_r0_12)) + )) + (net un1_dout_4_1_2 (joined + (portRef (member O 3) (instanceRef un1_dout_4_1_s_35)) + (portRef D (instanceRef dout_round_4_32)) + )) + (net dout_round_4_1 (joined + (portRef Q (instanceRef dout_round_4_33)) + (portRef D (instanceRef dout_cut4_r0_13)) + )) + (net un1_dout_4_1_1 (joined + (portRef (member O 2) (instanceRef un1_dout_4_1_s_35)) + (portRef D (instanceRef dout_round_4_33)) + )) + (net dout_round_4_0 (joined + (portRef Q (instanceRef dout_round_4_34)) + (portRef D (instanceRef dout_cut4_r0_14)) + )) + (net un1_dout_4_1_0 (joined + (portRef (member O 1) (instanceRef un1_dout_4_1_s_35)) + (portRef D (instanceRef dout_round_4_34)) + )) + (net dout_round_4 (joined + (portRef Q (instanceRef dout_round_4_35)) + (portRef D (instanceRef dout_cut4_r0_15)) + )) + (net un1_dout_4_1 (joined + (portRef (member O 0) (instanceRef un1_dout_4_1_s_35)) + (portRef D (instanceRef dout_round_4_35)) + )) + (net dout_round_5_14 (joined + (portRef Q (instanceRef dout_round_5_20)) + (portRef D (instanceRef dout_cut5_r0_0)) + )) + (net un1_dout_5_1_14 (joined + (portRef (member O 3) (instanceRef un1_dout_5_1_cry_23)) + (portRef D (instanceRef dout_round_5_20)) + )) + (net dout_round_5_13 (joined + (portRef Q (instanceRef dout_round_5_21)) + (portRef D (instanceRef dout_cut5_r0_1)) + )) + (net un1_dout_5_1_13 (joined + (portRef (member O 2) (instanceRef un1_dout_5_1_cry_23)) + (portRef D (instanceRef dout_round_5_21)) + )) + (net dout_round_5_12 (joined + (portRef Q (instanceRef dout_round_5_22)) + (portRef D (instanceRef dout_cut5_r0_2)) + )) + (net un1_dout_5_1_12 (joined + (portRef (member O 1) (instanceRef un1_dout_5_1_cry_23)) + (portRef D (instanceRef dout_round_5_22)) + )) + (net dout_round_5_11 (joined + (portRef Q (instanceRef dout_round_5_23)) + (portRef D (instanceRef dout_cut5_r0_3)) + )) + (net un1_dout_5_1_11 (joined + (portRef (member O 0) (instanceRef un1_dout_5_1_cry_23)) + (portRef D (instanceRef dout_round_5_23)) + )) + (net dout_round_5_10 (joined + (portRef Q (instanceRef dout_round_5_24)) + (portRef D (instanceRef dout_cut5_r0_4)) + )) + (net un1_dout_5_1_10 (joined + (portRef (member O 3) (instanceRef un1_dout_5_1_cry_27)) + (portRef D (instanceRef dout_round_5_24)) + )) + (net dout_round_5_9 (joined + (portRef Q (instanceRef dout_round_5_25)) + (portRef D (instanceRef dout_cut5_r0_5)) + )) + (net un1_dout_5_1_9 (joined + (portRef (member O 2) (instanceRef un1_dout_5_1_cry_27)) + (portRef D (instanceRef dout_round_5_25)) + )) + (net dout_round_5_8 (joined + (portRef Q (instanceRef dout_round_5_26)) + (portRef D (instanceRef dout_cut5_r0_6)) + )) + (net un1_dout_5_1_8 (joined + (portRef (member O 1) (instanceRef un1_dout_5_1_cry_27)) + (portRef D (instanceRef dout_round_5_26)) + )) + (net dout_round_5_7 (joined + (portRef Q (instanceRef dout_round_5_27)) + (portRef D (instanceRef dout_cut5_r0_7)) + )) + (net un1_dout_5_1_7 (joined + (portRef (member O 0) (instanceRef un1_dout_5_1_cry_27)) + (portRef D (instanceRef dout_round_5_27)) + )) + (net dout_round_5_6 (joined + (portRef Q (instanceRef dout_round_5_28)) + (portRef D (instanceRef dout_cut5_r0_8)) + )) + (net un1_dout_5_1_6 (joined + (portRef (member O 3) (instanceRef un1_dout_5_1_cry_31)) + (portRef D (instanceRef dout_round_5_28)) + )) + (net dout_round_5_5 (joined + (portRef Q (instanceRef dout_round_5_29)) + (portRef D (instanceRef dout_cut5_r0_9)) + )) + (net un1_dout_5_1_5 (joined + (portRef (member O 2) (instanceRef un1_dout_5_1_cry_31)) + (portRef D (instanceRef dout_round_5_29)) + )) + (net dout_round_5_4 (joined + (portRef Q (instanceRef dout_round_5_30)) + (portRef D (instanceRef dout_cut5_r0_10)) + )) + (net un1_dout_5_1_4 (joined + (portRef (member O 1) (instanceRef un1_dout_5_1_cry_31)) + (portRef D (instanceRef dout_round_5_30)) + )) + (net dout_round_5_3 (joined + (portRef Q (instanceRef dout_round_5_31)) + (portRef D (instanceRef dout_cut5_r0_11)) + )) + (net un1_dout_5_1_3 (joined + (portRef (member O 0) (instanceRef un1_dout_5_1_cry_31)) + (portRef D (instanceRef dout_round_5_31)) + )) + (net dout_round_5_2 (joined + (portRef Q (instanceRef dout_round_5_32)) + (portRef D (instanceRef dout_cut5_r0_12)) + )) + (net un1_dout_5_1_2 (joined + (portRef (member O 3) (instanceRef un1_dout_5_1_s_35)) + (portRef D (instanceRef dout_round_5_32)) + )) + (net dout_round_5_1 (joined + (portRef Q (instanceRef dout_round_5_33)) + (portRef D (instanceRef dout_cut5_r0_13)) + )) + (net un1_dout_5_1_1 (joined + (portRef (member O 2) (instanceRef un1_dout_5_1_s_35)) + (portRef D (instanceRef dout_round_5_33)) + )) + (net dout_round_5_0 (joined + (portRef Q (instanceRef dout_round_5_34)) + (portRef D (instanceRef dout_cut5_r0_14)) + )) + (net un1_dout_5_1_0 (joined + (portRef (member O 1) (instanceRef un1_dout_5_1_s_35)) + (portRef D (instanceRef dout_round_5_34)) + )) + (net dout_round_5 (joined + (portRef Q (instanceRef dout_round_5_35)) + (portRef D (instanceRef dout_cut5_r0_15)) + )) + (net un1_dout_5_1 (joined + (portRef (member O 0) (instanceRef un1_dout_5_1_s_35)) + (portRef D (instanceRef dout_round_5_35)) + )) + (net (rename dout_cut0_r0Z0Z_0 "dout_cut0_r0[0]") (joined + (portRef Q (instanceRef dout_cut0_r0_0)) + (portRef CYINIT (instanceRef Ysum_0_cry_3)) + )) + (net (rename dout_cut1_r0Z0Z_0 "dout_cut1_r0[0]") (joined + (portRef Q (instanceRef dout_cut1_r0_0)) + (portRef I0 (instanceRef Ysum_6_0_axb_0)) + )) + (net (rename dout_cut2_r0Z0Z_0 "dout_cut2_r0[0]") (joined + (portRef Q (instanceRef dout_cut2_r0_0)) + (portRef (member DI 3) (instanceRef Ysum_6_0_cry_3)) + (portRef I1 (instanceRef Ysum_6_0_axb_0)) + )) + (net (rename dout_cut3_r0Z0Z_0 "dout_cut3_r0[0]") (joined + (portRef Q (instanceRef dout_cut3_r0_0)) + (portRef CYINIT (instanceRef Ysum_6_0_cry_3)) + )) + (net (rename dout_cut4_r0Z0Z_0 "dout_cut4_r0[0]") (joined + (portRef Q (instanceRef dout_cut4_r0_0)) + (portRef I0 (instanceRef Ysum_8_0_axb_0)) + )) + (net (rename dout_cut5_r0Z0Z_0 "dout_cut5_r0[0]") (joined + (portRef Q (instanceRef dout_cut5_r0_0)) + (portRef (member DI 2) (instanceRef Ysum_8_0_cry_2)) + (portRef I1 (instanceRef Ysum_8_0_axb_0)) + )) + (net (rename iir_out_11 "IIR_out_11") (joined + (portRef Q (instanceRef dout_r_3)) + (portRef IIR_out_11) + )) + (net (rename iir_out_9 "IIR_out_9") (joined + (portRef Q (instanceRef dout_r_5)) + (portRef IIR_out_9) + )) + (net (rename iir_out_8 "IIR_out_8") (joined + (portRef Q (instanceRef dout_r_6)) + (portRef IIR_out_8) + )) + (net (rename iir_out_6 "IIR_out_6") (joined + (portRef Q (instanceRef dout_r_8)) + (portRef IIR_out_6) + )) + (net (rename iir_out_5 "IIR_out_5") (joined + (portRef Q (instanceRef dout_r_9)) + (portRef IIR_out_5) + )) + (net (rename iir_out_4 "IIR_out_4") (joined + (portRef Q (instanceRef dout_r_10)) + (portRef IIR_out_4) + )) + (net (rename iir_out_3 "IIR_out_3") (joined + (portRef Q (instanceRef dout_r_11)) + (portRef IIR_out_3) + )) + (net (rename iir_out_2 "IIR_out_2") (joined + (portRef Q (instanceRef dout_r_12)) + (portRef IIR_out_2) + )) + (net (rename iir_out_0 "IIR_out_0") (joined + (portRef Q (instanceRef dout_r_14)) + (portRef IIR_out_0) + )) + (net (rename iir_out "IIR_out") (joined + (portRef Q (instanceRef dout_r_15)) + (portRef IIR_out) + )) + (net (rename iir_out_13 "IIR_out_13") (joined + (portRef Q (instanceRef dout_r_1)) + (portRef IIR_out_13) + )) + (net (rename Ysum_6_0 "Ysum_6[0]") (joined + (portRef (member O 3) (instanceRef Ysum_6_0_cry_3)) + (portRef (member DI 3) (instanceRef Ysum_0_cry_3)) + (portRef I0 (instanceRef Ysum_0_axb_0)) + )) + (net (rename Ysum_8_0 "Ysum_8[0]") (joined + (portRef (member O 2) (instanceRef Ysum_8_0_cry_2)) + (portRef I1 (instanceRef Ysum_0_axb_0)) + )) + (net (rename Ysum_0_axbZ0Z_0 "Ysum_0_axb_0") (joined + (portRef O (instanceRef Ysum_0_axb_0)) + (portRef (member S 3) (instanceRef Ysum_0_cry_3)) + )) + (net (rename Ysum_8_0_axbZ0Z_0 "Ysum_8_0_axb_0") (joined + (portRef O (instanceRef Ysum_8_0_axb_0)) + (portRef (member S 2) (instanceRef Ysum_8_0_cry_2)) + )) + (net (rename Ysum_6_0_axbZ0Z_0 "Ysum_6_0_axb_0") (joined + (portRef O (instanceRef Ysum_6_0_axb_0)) + (portRef (member S 3) (instanceRef Ysum_6_0_cry_3)) + )) + (net (rename un1_dout_1_1_cryZ0Z_3 "un1_dout_1_1_cry_3") (joined + (portRef (member CO 0) (instanceRef un1_dout_1_1_cry_3)) + (portRef CI (instanceRef un1_dout_1_1_cry_7)) + )) + (net (rename un1_dout_1_1_cryZ0Z_7 "un1_dout_1_1_cry_7") (joined + (portRef (member CO 0) (instanceRef un1_dout_1_1_cry_7)) + (portRef CI (instanceRef un1_dout_1_1_cry_11)) + )) + (net (rename un1_dout_1_1_cryZ0Z_11 "un1_dout_1_1_cry_11") (joined + (portRef (member CO 0) (instanceRef un1_dout_1_1_cry_11)) + (portRef CI (instanceRef un1_dout_1_1_cry_15)) + )) + (net (rename un1_dout_1_1_cryZ0Z_15 "un1_dout_1_1_cry_15") (joined + (portRef (member CO 0) (instanceRef un1_dout_1_1_cry_15)) + (portRef CI (instanceRef un1_dout_1_1_cry_19)) + )) + (net (rename un1_dout_1_1_cryZ0Z_19 "un1_dout_1_1_cry_19") (joined + (portRef (member CO 0) (instanceRef un1_dout_1_1_cry_19)) + (portRef CI (instanceRef un1_dout_1_1_cry_23)) + )) + (net (rename un1_dout_1_1_cryZ0Z_23 "un1_dout_1_1_cry_23") (joined + (portRef (member CO 0) (instanceRef un1_dout_1_1_cry_23)) + (portRef CI (instanceRef un1_dout_1_1_cry_27)) + )) + (net (rename un1_dout_1_1_cryZ0Z_27 "un1_dout_1_1_cry_27") (joined + (portRef (member CO 0) (instanceRef un1_dout_1_1_cry_27)) + (portRef CI (instanceRef un1_dout_1_1_cry_31)) + )) + (net (rename un1_dout_1_1_cryZ0Z_31 "un1_dout_1_1_cry_31") (joined + (portRef (member CO 0) (instanceRef un1_dout_1_1_cry_31)) + (portRef CI (instanceRef un1_dout_1_1_s_35)) + )) + (net (rename un1_dout_0_1_cryZ0Z_3 "un1_dout_0_1_cry_3") (joined + (portRef (member CO 0) (instanceRef un1_dout_0_1_cry_3)) + (portRef CI (instanceRef un1_dout_0_1_cry_7)) + )) + (net (rename un1_dout_0_1_cryZ0Z_7 "un1_dout_0_1_cry_7") (joined + (portRef (member CO 0) (instanceRef un1_dout_0_1_cry_7)) + (portRef CI (instanceRef un1_dout_0_1_cry_11)) + )) + (net (rename un1_dout_0_1_cryZ0Z_11 "un1_dout_0_1_cry_11") (joined + (portRef (member CO 0) (instanceRef un1_dout_0_1_cry_11)) + (portRef CI (instanceRef un1_dout_0_1_cry_15)) + )) + (net (rename un1_dout_0_1_cryZ0Z_15 "un1_dout_0_1_cry_15") (joined + (portRef (member CO 0) (instanceRef un1_dout_0_1_cry_15)) + (portRef CI (instanceRef un1_dout_0_1_cry_19)) + )) + (net (rename un1_dout_0_1_cryZ0Z_19 "un1_dout_0_1_cry_19") (joined + (portRef (member CO 0) (instanceRef un1_dout_0_1_cry_19)) + (portRef CI (instanceRef un1_dout_0_1_cry_23)) + )) + (net (rename un1_dout_0_1_cryZ0Z_23 "un1_dout_0_1_cry_23") (joined + (portRef (member CO 0) (instanceRef un1_dout_0_1_cry_23)) + (portRef CI (instanceRef un1_dout_0_1_cry_27)) + )) + (net (rename un1_dout_0_1_cryZ0Z_27 "un1_dout_0_1_cry_27") (joined + (portRef (member CO 0) (instanceRef un1_dout_0_1_cry_27)) + (portRef CI (instanceRef un1_dout_0_1_cry_31)) + )) + (net (rename un1_dout_0_1_cryZ0Z_31 "un1_dout_0_1_cry_31") (joined + (portRef (member CO 0) (instanceRef un1_dout_0_1_cry_31)) + (portRef CI (instanceRef un1_dout_0_1_s_35)) + )) + (net (rename un1_dout_5_1_cryZ0Z_3 "un1_dout_5_1_cry_3") (joined + (portRef (member CO 0) (instanceRef un1_dout_5_1_cry_3)) + (portRef CI (instanceRef un1_dout_5_1_cry_7)) + )) + (net (rename un1_dout_5_1_cryZ0Z_7 "un1_dout_5_1_cry_7") (joined + (portRef (member CO 0) (instanceRef un1_dout_5_1_cry_7)) + (portRef CI (instanceRef un1_dout_5_1_cry_11)) + )) + (net (rename un1_dout_5_1_cryZ0Z_11 "un1_dout_5_1_cry_11") (joined + (portRef (member CO 0) (instanceRef un1_dout_5_1_cry_11)) + (portRef CI (instanceRef un1_dout_5_1_cry_15)) + )) + (net (rename un1_dout_5_1_cryZ0Z_15 "un1_dout_5_1_cry_15") (joined + (portRef (member CO 0) (instanceRef un1_dout_5_1_cry_15)) + (portRef CI (instanceRef un1_dout_5_1_cry_19)) + )) + (net (rename un1_dout_5_1_cryZ0Z_19 "un1_dout_5_1_cry_19") (joined + (portRef (member CO 0) (instanceRef un1_dout_5_1_cry_19)) + (portRef CI (instanceRef un1_dout_5_1_cry_23)) + )) + (net (rename un1_dout_5_1_cryZ0Z_23 "un1_dout_5_1_cry_23") (joined + (portRef (member CO 0) (instanceRef un1_dout_5_1_cry_23)) + (portRef CI (instanceRef un1_dout_5_1_cry_27)) + )) + (net (rename un1_dout_5_1_cryZ0Z_27 "un1_dout_5_1_cry_27") (joined + (portRef (member CO 0) (instanceRef un1_dout_5_1_cry_27)) + (portRef CI (instanceRef un1_dout_5_1_cry_31)) + )) + (net (rename un1_dout_5_1_cryZ0Z_31 "un1_dout_5_1_cry_31") (joined + (portRef (member CO 0) (instanceRef un1_dout_5_1_cry_31)) + (portRef CI (instanceRef un1_dout_5_1_s_35)) + )) + (net (rename un1_dout_4_1_cryZ0Z_3 "un1_dout_4_1_cry_3") (joined + (portRef (member CO 0) (instanceRef un1_dout_4_1_cry_3)) + (portRef CI (instanceRef un1_dout_4_1_cry_7)) + )) + (net (rename un1_dout_4_1_cryZ0Z_7 "un1_dout_4_1_cry_7") (joined + (portRef (member CO 0) (instanceRef un1_dout_4_1_cry_7)) + (portRef CI (instanceRef un1_dout_4_1_cry_11)) + )) + (net (rename un1_dout_4_1_cryZ0Z_11 "un1_dout_4_1_cry_11") (joined + (portRef (member CO 0) (instanceRef un1_dout_4_1_cry_11)) + (portRef CI (instanceRef un1_dout_4_1_cry_15)) + )) + (net (rename un1_dout_4_1_cryZ0Z_15 "un1_dout_4_1_cry_15") (joined + (portRef (member CO 0) (instanceRef un1_dout_4_1_cry_15)) + (portRef CI (instanceRef un1_dout_4_1_cry_19)) + )) + (net (rename un1_dout_4_1_cryZ0Z_19 "un1_dout_4_1_cry_19") (joined + (portRef (member CO 0) (instanceRef un1_dout_4_1_cry_19)) + (portRef CI (instanceRef un1_dout_4_1_cry_23)) + )) + (net (rename un1_dout_4_1_cryZ0Z_23 "un1_dout_4_1_cry_23") (joined + (portRef (member CO 0) (instanceRef un1_dout_4_1_cry_23)) + (portRef CI (instanceRef un1_dout_4_1_cry_27)) + )) + (net (rename un1_dout_4_1_cryZ0Z_27 "un1_dout_4_1_cry_27") (joined + (portRef (member CO 0) (instanceRef un1_dout_4_1_cry_27)) + (portRef CI (instanceRef un1_dout_4_1_cry_31)) + )) + (net (rename un1_dout_4_1_cryZ0Z_31 "un1_dout_4_1_cry_31") (joined + (portRef (member CO 0) (instanceRef un1_dout_4_1_cry_31)) + (portRef CI (instanceRef un1_dout_4_1_s_35)) + )) + (net (rename un1_dout_3_1_cryZ0Z_3 "un1_dout_3_1_cry_3") (joined + (portRef (member CO 0) (instanceRef un1_dout_3_1_cry_3)) + (portRef CI (instanceRef un1_dout_3_1_cry_7)) + )) + (net (rename un1_dout_3_1_cryZ0Z_7 "un1_dout_3_1_cry_7") (joined + (portRef (member CO 0) (instanceRef un1_dout_3_1_cry_7)) + (portRef CI (instanceRef un1_dout_3_1_cry_11)) + )) + (net (rename un1_dout_3_1_cryZ0Z_11 "un1_dout_3_1_cry_11") (joined + (portRef (member CO 0) (instanceRef un1_dout_3_1_cry_11)) + (portRef CI (instanceRef un1_dout_3_1_cry_15)) + )) + (net (rename un1_dout_3_1_cryZ0Z_15 "un1_dout_3_1_cry_15") (joined + (portRef (member CO 0) (instanceRef un1_dout_3_1_cry_15)) + (portRef CI (instanceRef un1_dout_3_1_cry_19)) + )) + (net (rename un1_dout_3_1_cryZ0Z_19 "un1_dout_3_1_cry_19") (joined + (portRef (member CO 0) (instanceRef un1_dout_3_1_cry_19)) + (portRef CI (instanceRef un1_dout_3_1_cry_23)) + )) + (net (rename un1_dout_3_1_cryZ0Z_23 "un1_dout_3_1_cry_23") (joined + (portRef (member CO 0) (instanceRef un1_dout_3_1_cry_23)) + (portRef CI (instanceRef un1_dout_3_1_cry_27)) + )) + (net (rename un1_dout_3_1_cryZ0Z_27 "un1_dout_3_1_cry_27") (joined + (portRef (member CO 0) (instanceRef un1_dout_3_1_cry_27)) + (portRef CI (instanceRef un1_dout_3_1_cry_31)) + )) + (net (rename un1_dout_3_1_cryZ0Z_31 "un1_dout_3_1_cry_31") (joined + (portRef (member CO 0) (instanceRef un1_dout_3_1_cry_31)) + (portRef CI (instanceRef un1_dout_3_1_s_35)) + )) + (net (rename un1_dout_2_1_cryZ0Z_3 "un1_dout_2_1_cry_3") (joined + (portRef (member CO 0) (instanceRef un1_dout_2_1_cry_3)) + (portRef CI (instanceRef un1_dout_2_1_cry_7)) + )) + (net (rename un1_dout_2_1_cryZ0Z_7 "un1_dout_2_1_cry_7") (joined + (portRef (member CO 0) (instanceRef un1_dout_2_1_cry_7)) + (portRef CI (instanceRef un1_dout_2_1_cry_11)) + )) + (net (rename un1_dout_2_1_cryZ0Z_11 "un1_dout_2_1_cry_11") (joined + (portRef (member CO 0) (instanceRef un1_dout_2_1_cry_11)) + (portRef CI (instanceRef un1_dout_2_1_cry_15)) + )) + (net (rename un1_dout_2_1_cryZ0Z_15 "un1_dout_2_1_cry_15") (joined + (portRef (member CO 0) (instanceRef un1_dout_2_1_cry_15)) + (portRef CI (instanceRef un1_dout_2_1_cry_19)) + )) + (net (rename un1_dout_2_1_cryZ0Z_19 "un1_dout_2_1_cry_19") (joined + (portRef (member CO 0) (instanceRef un1_dout_2_1_cry_19)) + (portRef CI (instanceRef un1_dout_2_1_cry_23)) + )) + (net (rename un1_dout_2_1_cryZ0Z_23 "un1_dout_2_1_cry_23") (joined + (portRef (member CO 0) (instanceRef un1_dout_2_1_cry_23)) + (portRef CI (instanceRef un1_dout_2_1_cry_27)) + )) + (net (rename un1_dout_2_1_cryZ0Z_27 "un1_dout_2_1_cry_27") (joined + (portRef (member CO 0) (instanceRef un1_dout_2_1_cry_27)) + (portRef CI (instanceRef un1_dout_2_1_cry_31)) + )) + (net (rename un1_dout_2_1_cryZ0Z_31 "un1_dout_2_1_cry_31") (joined + (portRef (member CO 0) (instanceRef un1_dout_2_1_cry_31)) + (portRef CI (instanceRef un1_dout_2_1_s_35)) + )) + (net (rename Ysum_0_cryZ0Z_3 "Ysum_0_cry_3") (joined + (portRef (member CO 0) (instanceRef Ysum_0_cry_3)) + (portRef CI (instanceRef Ysum_0_cry_7)) + )) + (net (rename Ysum_0_cryZ0Z_7 "Ysum_0_cry_7") (joined + (portRef (member CO 0) (instanceRef Ysum_0_cry_7)) + (portRef CI (instanceRef Ysum_0_cry_11)) + )) + (net (rename Ysum_0_cryZ0Z_11 "Ysum_0_cry_11") (joined + (portRef (member CO 0) (instanceRef Ysum_0_cry_11)) + (portRef CI (instanceRef Ysum_0_cry_15)) + )) + (net (rename Ysum_8_0_cryZ0Z_2 "Ysum_8_0_cry_2") (joined + (portRef (member CO 0) (instanceRef Ysum_8_0_cry_2)) + (portRef CI (instanceRef Ysum_8_0_cry_6)) + )) + (net (rename Ysum_8_0_cryZ0Z_6 "Ysum_8_0_cry_6") (joined + (portRef (member CO 0) (instanceRef Ysum_8_0_cry_6)) + (portRef CI (instanceRef Ysum_8_0_cry_10)) + )) + (net (rename Ysum_8_0_cryZ0Z_10 "Ysum_8_0_cry_10") (joined + (portRef (member CO 0) (instanceRef Ysum_8_0_cry_10)) + (portRef CI (instanceRef Ysum_8_0_cry_14)) + )) + (net (rename Ysum_8_0_cryZ0Z_14 "Ysum_8_0_cry_14") (joined + (portRef (member CO 0) (instanceRef Ysum_8_0_cry_14)) + (portRef CI (instanceRef Ysum_8_0_s_16)) + )) + (net (rename Ysum_6_0_cryZ0Z_3 "Ysum_6_0_cry_3") (joined + (portRef (member CO 0) (instanceRef Ysum_6_0_cry_3)) + (portRef CI (instanceRef Ysum_6_0_cry_7)) + )) + (net (rename Ysum_6_0_cryZ0Z_7 "Ysum_6_0_cry_7") (joined + (portRef (member CO 0) (instanceRef Ysum_6_0_cry_7)) + (portRef CI (instanceRef Ysum_6_0_cry_11)) + )) + (net (rename Ysum_6_0_cryZ0Z_11 "Ysum_6_0_cry_11") (joined + (portRef (member CO 0) (instanceRef Ysum_6_0_cry_11)) + (portRef CI (instanceRef Ysum_6_0_cry_15)) + )) + (net (rename IIRin_re_0 "IIRin_re[0]") (joined + (portRef (member IIRin_re 15) (instanceRef inst_diffRe)) + (portRef (member IIRin_re 15) (instanceRef inst_iir_0)) + )) + (net (rename IIRin_re_1 "IIRin_re[1]") (joined + (portRef (member IIRin_re 14) (instanceRef inst_diffRe)) + (portRef (member IIRin_re 14) (instanceRef inst_iir_0)) + )) + (net (rename IIRin_re_2 "IIRin_re[2]") (joined + (portRef (member IIRin_re 13) (instanceRef inst_diffRe)) + (portRef (member IIRin_re 13) (instanceRef inst_iir_0)) + )) + (net (rename IIRin_re_3 "IIRin_re[3]") (joined + (portRef (member IIRin_re 12) (instanceRef inst_diffRe)) + (portRef (member IIRin_re 12) (instanceRef inst_iir_0)) + )) + (net (rename IIRin_re_4 "IIRin_re[4]") (joined + (portRef (member IIRin_re 11) (instanceRef inst_diffRe)) + (portRef (member IIRin_re 11) (instanceRef inst_iir_0)) + )) + (net (rename IIRin_re_5 "IIRin_re[5]") (joined + (portRef (member IIRin_re 10) (instanceRef inst_diffRe)) + (portRef (member IIRin_re 10) (instanceRef inst_iir_0)) + )) + (net (rename IIRin_re_6 "IIRin_re[6]") (joined + (portRef (member IIRin_re 9) (instanceRef inst_diffRe)) + (portRef (member IIRin_re 9) (instanceRef inst_iir_0)) + )) + (net (rename IIRin_re_7 "IIRin_re[7]") (joined + (portRef (member IIRin_re 8) (instanceRef inst_diffRe)) + (portRef (member IIRin_re 8) (instanceRef inst_iir_0)) + )) + (net (rename IIRin_re_8 "IIRin_re[8]") (joined + (portRef (member IIRin_re 7) (instanceRef inst_diffRe)) + (portRef (member IIRin_re 7) (instanceRef inst_iir_0)) + )) + (net (rename IIRin_re_9 "IIRin_re[9]") (joined + (portRef (member IIRin_re 6) (instanceRef inst_diffRe)) + (portRef (member IIRin_re 6) (instanceRef inst_iir_0)) + )) + (net (rename IIRin_re_10 "IIRin_re[10]") (joined + (portRef (member IIRin_re 5) (instanceRef inst_diffRe)) + (portRef (member IIRin_re 5) (instanceRef inst_iir_0)) + )) + (net (rename IIRin_re_11 "IIRin_re[11]") (joined + (portRef (member IIRin_re 4) (instanceRef inst_diffRe)) + (portRef (member IIRin_re 4) (instanceRef inst_iir_0)) + )) + (net (rename IIRin_re_12 "IIRin_re[12]") (joined + (portRef (member IIRin_re 3) (instanceRef inst_diffRe)) + (portRef (member IIRin_re 3) (instanceRef inst_iir_0)) + )) + (net (rename IIRin_re_13 "IIRin_re[13]") (joined + (portRef (member IIRin_re 2) (instanceRef inst_diffRe)) + (portRef (member IIRin_re 2) (instanceRef inst_iir_0)) + )) + (net (rename IIRin_re_14 "IIRin_re[14]") (joined + (portRef (member IIRin_re 1) (instanceRef inst_diffRe)) + (portRef (member IIRin_re 1) (instanceRef inst_iir_0)) + )) + (net (rename IIRin_re_15 "IIRin_re[15]") (joined + (portRef (member IIRin_re 0) (instanceRef inst_diffRe)) + (portRef (member IIRin_re 0) (instanceRef inst_iir_0)) + )) + (net (rename b5_re_0 "b5_re[0]") (joined + (portRef (member b5_re 31)) + (portRef (member b5_re 31) (instanceRef inst_iir_5)) + )) + (net (rename b5_re_1 "b5_re[1]") (joined + (portRef (member b5_re 30)) + (portRef (member b5_re 30) (instanceRef inst_iir_5)) + )) + (net (rename b5_re_2 "b5_re[2]") (joined + (portRef (member b5_re 29)) + (portRef (member b5_re 29) (instanceRef inst_iir_5)) + )) + (net (rename b5_re_3 "b5_re[3]") (joined + (portRef (member b5_re 28)) + (portRef (member b5_re 28) (instanceRef inst_iir_5)) + )) + (net (rename b5_re_4 "b5_re[4]") (joined + (portRef (member b5_re 27)) + (portRef (member b5_re 27) (instanceRef inst_iir_5)) + )) + (net (rename b5_re_5 "b5_re[5]") (joined + (portRef (member b5_re 26)) + (portRef (member b5_re 26) (instanceRef inst_iir_5)) + )) + (net (rename b5_re_6 "b5_re[6]") (joined + (portRef (member b5_re 25)) + (portRef (member b5_re 25) (instanceRef inst_iir_5)) + )) + (net (rename b5_re_7 "b5_re[7]") (joined + (portRef (member b5_re 24)) + (portRef (member b5_re 24) (instanceRef inst_iir_5)) + )) + (net (rename b5_re_8 "b5_re[8]") (joined + (portRef (member b5_re 23)) + (portRef (member b5_re 23) (instanceRef inst_iir_5)) + )) + (net (rename b5_re_9 "b5_re[9]") (joined + (portRef (member b5_re 22)) + (portRef (member b5_re 22) (instanceRef inst_iir_5)) + )) + (net (rename b5_re_10 "b5_re[10]") (joined + (portRef (member b5_re 21)) + (portRef (member b5_re 21) (instanceRef inst_iir_5)) + )) + (net (rename b5_re_11 "b5_re[11]") (joined + (portRef (member b5_re 20)) + (portRef (member b5_re 20) (instanceRef inst_iir_5)) + )) + (net (rename b5_re_12 "b5_re[12]") (joined + (portRef (member b5_re 19)) + (portRef (member b5_re 19) (instanceRef inst_iir_5)) + )) + (net (rename b5_re_13 "b5_re[13]") (joined + (portRef (member b5_re 18)) + (portRef (member b5_re 18) (instanceRef inst_iir_5)) + )) + (net (rename b5_re_14 "b5_re[14]") (joined + (portRef (member b5_re 17)) + (portRef (member b5_re 17) (instanceRef inst_iir_5)) + )) + (net (rename b5_re_15 "b5_re[15]") (joined + (portRef (member b5_re 16)) + (portRef (member b5_re 16) (instanceRef inst_iir_5)) + )) + (net (rename b5_re_16 "b5_re[16]") (joined + (portRef (member b5_re 15)) + (portRef (member b5_re 15) (instanceRef inst_iir_5)) + )) + (net (rename b5_re_17 "b5_re[17]") (joined + (portRef (member b5_re 14)) + (portRef (member b5_re 14) (instanceRef inst_iir_5)) + )) + (net (rename b5_re_18 "b5_re[18]") (joined + (portRef (member b5_re 13)) + (portRef (member b5_re 13) (instanceRef inst_iir_5)) + )) + (net (rename b5_re_19 "b5_re[19]") (joined + (portRef (member b5_re 12)) + (portRef (member b5_re 12) (instanceRef inst_iir_5)) + )) + (net (rename b5_re_20 "b5_re[20]") (joined + (portRef (member b5_re 11)) + (portRef (member b5_re 11) (instanceRef inst_iir_5)) + )) + (net (rename b5_re_21 "b5_re[21]") (joined + (portRef (member b5_re 10)) + (portRef (member b5_re 10) (instanceRef inst_iir_5)) + )) + (net (rename b5_re_22 "b5_re[22]") (joined + (portRef (member b5_re 9)) + (portRef (member b5_re 9) (instanceRef inst_iir_5)) + )) + (net (rename b5_re_23 "b5_re[23]") (joined + (portRef (member b5_re 8)) + (portRef (member b5_re 8) (instanceRef inst_iir_5)) + )) + (net (rename b5_re_24 "b5_re[24]") (joined + (portRef (member b5_re 7)) + (portRef (member b5_re 7) (instanceRef inst_iir_5)) + )) + (net (rename b5_re_25 "b5_re[25]") (joined + (portRef (member b5_re 6)) + (portRef (member b5_re 6) (instanceRef inst_iir_5)) + )) + (net (rename b5_re_26 "b5_re[26]") (joined + (portRef (member b5_re 5)) + (portRef (member b5_re 5) (instanceRef inst_iir_5)) + )) + (net (rename b5_re_27 "b5_re[27]") (joined + (portRef (member b5_re 4)) + (portRef (member b5_re 4) (instanceRef inst_iir_5)) + )) + (net (rename b5_re_28 "b5_re[28]") (joined + (portRef (member b5_re 3)) + (portRef (member b5_re 3) (instanceRef inst_iir_5)) + )) + (net (rename b5_re_29 "b5_re[29]") (joined + (portRef (member b5_re 2)) + (portRef (member b5_re 2) (instanceRef inst_iir_5)) + )) + (net (rename b5_re_30 "b5_re[30]") (joined + (portRef (member b5_re 1)) + (portRef (member b5_re 1) (instanceRef inst_iir_5)) + )) + (net (rename b5_re_31 "b5_re[31]") (joined + (portRef (member b5_re 0)) + (portRef (member b5_re 0) (instanceRef inst_iir_5)) + )) + (net (rename gated_in_data_0 "gated_in_data[0]") (joined + (portRef (member gated_in_data 15) (instanceRef inst_iir_0)) + (portRef (member gated_in_data 15) (instanceRef inst_iir_1)) + (portRef (member gated_in_data 15) (instanceRef inst_iir_2)) + (portRef (member gated_in_data 15) (instanceRef inst_iir_3)) + (portRef (member gated_in_data 15) (instanceRef inst_iir_4)) + (portRef (member gated_in_data 15) (instanceRef inst_iir_5)) + )) + (net (rename gated_in_data_1 "gated_in_data[1]") (joined + (portRef (member gated_in_data 14) (instanceRef inst_iir_0)) + (portRef (member gated_in_data 14) (instanceRef inst_iir_1)) + (portRef (member gated_in_data 14) (instanceRef inst_iir_2)) + (portRef (member gated_in_data 14) (instanceRef inst_iir_3)) + (portRef (member gated_in_data 14) (instanceRef inst_iir_4)) + (portRef (member gated_in_data 14) (instanceRef inst_iir_5)) + )) + (net (rename gated_in_data_2 "gated_in_data[2]") (joined + (portRef (member gated_in_data 13) (instanceRef inst_iir_0)) + (portRef (member gated_in_data 13) (instanceRef inst_iir_1)) + (portRef (member gated_in_data 13) (instanceRef inst_iir_2)) + (portRef (member gated_in_data 13) (instanceRef inst_iir_3)) + (portRef (member gated_in_data 13) (instanceRef inst_iir_4)) + (portRef (member gated_in_data 13) (instanceRef inst_iir_5)) + )) + (net (rename gated_in_data_3 "gated_in_data[3]") (joined + (portRef (member gated_in_data 12) (instanceRef inst_iir_0)) + (portRef (member gated_in_data 12) (instanceRef inst_iir_1)) + (portRef (member gated_in_data 12) (instanceRef inst_iir_2)) + (portRef (member gated_in_data 12) (instanceRef inst_iir_3)) + (portRef (member gated_in_data 12) (instanceRef inst_iir_4)) + (portRef (member gated_in_data 12) (instanceRef inst_iir_5)) + )) + (net (rename gated_in_data_4 "gated_in_data[4]") (joined + (portRef (member gated_in_data 11) (instanceRef inst_iir_0)) + (portRef (member gated_in_data 11) (instanceRef inst_iir_1)) + (portRef (member gated_in_data 11) (instanceRef inst_iir_2)) + (portRef (member gated_in_data 11) (instanceRef inst_iir_3)) + (portRef (member gated_in_data 11) (instanceRef inst_iir_4)) + (portRef (member gated_in_data 11) (instanceRef inst_iir_5)) + )) + (net (rename gated_in_data_5 "gated_in_data[5]") (joined + (portRef (member gated_in_data 10) (instanceRef inst_iir_0)) + (portRef (member gated_in_data 10) (instanceRef inst_iir_1)) + (portRef (member gated_in_data 10) (instanceRef inst_iir_2)) + (portRef (member gated_in_data 10) (instanceRef inst_iir_3)) + (portRef (member gated_in_data 10) (instanceRef inst_iir_4)) + (portRef (member gated_in_data 10) (instanceRef inst_iir_5)) + )) + (net (rename gated_in_data_6 "gated_in_data[6]") (joined + (portRef (member gated_in_data 9) (instanceRef inst_iir_0)) + (portRef (member gated_in_data 9) (instanceRef inst_iir_1)) + (portRef (member gated_in_data 9) (instanceRef inst_iir_2)) + (portRef (member gated_in_data 9) (instanceRef inst_iir_3)) + (portRef (member gated_in_data 9) (instanceRef inst_iir_4)) + (portRef (member gated_in_data 9) (instanceRef inst_iir_5)) + )) + (net (rename gated_in_data_7 "gated_in_data[7]") (joined + (portRef (member gated_in_data 8) (instanceRef inst_iir_0)) + (portRef (member gated_in_data 8) (instanceRef inst_iir_1)) + (portRef (member gated_in_data 8) (instanceRef inst_iir_2)) + (portRef (member gated_in_data 8) (instanceRef inst_iir_3)) + (portRef (member gated_in_data 8) (instanceRef inst_iir_4)) + (portRef (member gated_in_data 8) (instanceRef inst_iir_5)) + )) + (net (rename gated_in_data_8 "gated_in_data[8]") (joined + (portRef (member gated_in_data 7) (instanceRef inst_iir_0)) + (portRef (member gated_in_data 7) (instanceRef inst_iir_1)) + (portRef (member gated_in_data 7) (instanceRef inst_iir_2)) + (portRef (member gated_in_data 7) (instanceRef inst_iir_3)) + (portRef (member gated_in_data 7) (instanceRef inst_iir_4)) + (portRef (member gated_in_data 7) (instanceRef inst_iir_5)) + )) + (net (rename gated_in_data_9 "gated_in_data[9]") (joined + (portRef (member gated_in_data 6) (instanceRef inst_iir_0)) + (portRef (member gated_in_data 6) (instanceRef inst_iir_1)) + (portRef (member gated_in_data 6) (instanceRef inst_iir_2)) + (portRef (member gated_in_data 6) (instanceRef inst_iir_3)) + (portRef (member gated_in_data 6) (instanceRef inst_iir_4)) + (portRef (member gated_in_data 6) (instanceRef inst_iir_5)) + )) + (net (rename gated_in_data_10 "gated_in_data[10]") (joined + (portRef (member gated_in_data 5) (instanceRef inst_iir_0)) + (portRef (member gated_in_data 5) (instanceRef inst_iir_1)) + (portRef (member gated_in_data 5) (instanceRef inst_iir_2)) + (portRef (member gated_in_data 5) (instanceRef inst_iir_3)) + (portRef (member gated_in_data 5) (instanceRef inst_iir_4)) + (portRef (member gated_in_data 5) (instanceRef inst_iir_5)) + )) + (net (rename gated_in_data_11 "gated_in_data[11]") (joined + (portRef (member gated_in_data 4) (instanceRef inst_iir_0)) + (portRef (member gated_in_data 4) (instanceRef inst_iir_1)) + (portRef (member gated_in_data 4) (instanceRef inst_iir_2)) + (portRef (member gated_in_data 4) (instanceRef inst_iir_3)) + (portRef (member gated_in_data 4) (instanceRef inst_iir_4)) + (portRef (member gated_in_data 4) (instanceRef inst_iir_5)) + )) + (net (rename gated_in_data_12 "gated_in_data[12]") (joined + (portRef (member gated_in_data 3) (instanceRef inst_iir_0)) + (portRef (member gated_in_data 3) (instanceRef inst_iir_1)) + (portRef (member gated_in_data 3) (instanceRef inst_iir_2)) + (portRef (member gated_in_data 3) (instanceRef inst_iir_3)) + (portRef (member gated_in_data 3) (instanceRef inst_iir_4)) + (portRef (member gated_in_data 3) (instanceRef inst_iir_5)) + )) + (net (rename gated_in_data_13 "gated_in_data[13]") (joined + (portRef (member gated_in_data 2) (instanceRef inst_iir_0)) + (portRef (member gated_in_data 2) (instanceRef inst_iir_1)) + (portRef (member gated_in_data 2) (instanceRef inst_iir_2)) + (portRef (member gated_in_data 2) (instanceRef inst_iir_3)) + (portRef (member gated_in_data 2) (instanceRef inst_iir_4)) + (portRef (member gated_in_data 2) (instanceRef inst_iir_5)) + )) + (net (rename gated_in_data_14 "gated_in_data[14]") (joined + (portRef (member gated_in_data 1) (instanceRef inst_iir_0)) + (portRef (member gated_in_data 1) (instanceRef inst_iir_1)) + (portRef (member gated_in_data 1) (instanceRef inst_iir_2)) + (portRef (member gated_in_data 1) (instanceRef inst_iir_3)) + (portRef (member gated_in_data 1) (instanceRef inst_iir_4)) + (portRef (member gated_in_data 1) (instanceRef inst_iir_5)) + )) + (net (rename gated_in_data_15 "gated_in_data[15]") (joined + (portRef (member gated_in_data 0) (instanceRef inst_iir_0)) + (portRef (member gated_in_data 0) (instanceRef inst_iir_1)) + (portRef (member gated_in_data 0) (instanceRef inst_iir_2)) + (portRef (member gated_in_data 0) (instanceRef inst_iir_3)) + (portRef (member gated_in_data 0) (instanceRef inst_iir_4)) + (portRef (member gated_in_data 0) (instanceRef inst_iir_5)) + )) + (net (rename a5_re_0 "a5_re[0]") (joined + (portRef (member a5_re 31)) + (portRef (member a5_re 31) (instanceRef inst_iir_5)) + )) + (net (rename a5_re_1 "a5_re[1]") (joined + (portRef (member a5_re 30)) + (portRef (member a5_re 30) (instanceRef inst_iir_5)) + )) + (net (rename a5_re_2 "a5_re[2]") (joined + (portRef (member a5_re 29)) + (portRef (member a5_re 29) (instanceRef inst_iir_5)) + )) + (net (rename a5_re_3 "a5_re[3]") (joined + (portRef (member a5_re 28)) + (portRef (member a5_re 28) (instanceRef inst_iir_5)) + )) + (net (rename a5_re_4 "a5_re[4]") (joined + (portRef (member a5_re 27)) + (portRef (member a5_re 27) (instanceRef inst_iir_5)) + )) + (net (rename a5_re_5 "a5_re[5]") (joined + (portRef (member a5_re 26)) + (portRef (member a5_re 26) (instanceRef inst_iir_5)) + )) + (net (rename a5_re_6 "a5_re[6]") (joined + (portRef (member a5_re 25)) + (portRef (member a5_re 25) (instanceRef inst_iir_5)) + )) + (net (rename a5_re_7 "a5_re[7]") (joined + (portRef (member a5_re 24)) + (portRef (member a5_re 24) (instanceRef inst_iir_5)) + )) + (net (rename a5_re_8 "a5_re[8]") (joined + (portRef (member a5_re 23)) + (portRef (member a5_re 23) (instanceRef inst_iir_5)) + )) + (net (rename a5_re_9 "a5_re[9]") (joined + (portRef (member a5_re 22)) + (portRef (member a5_re 22) (instanceRef inst_iir_5)) + )) + (net (rename a5_re_10 "a5_re[10]") (joined + (portRef (member a5_re 21)) + (portRef (member a5_re 21) (instanceRef inst_iir_5)) + )) + (net (rename a5_re_11 "a5_re[11]") (joined + (portRef (member a5_re 20)) + (portRef (member a5_re 20) (instanceRef inst_iir_5)) + )) + (net (rename a5_re_12 "a5_re[12]") (joined + (portRef (member a5_re 19)) + (portRef (member a5_re 19) (instanceRef inst_iir_5)) + )) + (net (rename a5_re_13 "a5_re[13]") (joined + (portRef (member a5_re 18)) + (portRef (member a5_re 18) (instanceRef inst_iir_5)) + )) + (net (rename a5_re_14 "a5_re[14]") (joined + (portRef (member a5_re 17)) + (portRef (member a5_re 17) (instanceRef inst_iir_5)) + )) + (net (rename a5_re_15 "a5_re[15]") (joined + (portRef (member a5_re 16)) + (portRef (member a5_re 16) (instanceRef inst_iir_5)) + )) + (net (rename a5_re_16 "a5_re[16]") (joined + (portRef (member a5_re 15)) + (portRef (member a5_re 15) (instanceRef inst_iir_5)) + )) + (net (rename a5_re_17 "a5_re[17]") (joined + (portRef (member a5_re 14)) + (portRef (member a5_re 14) (instanceRef inst_iir_5)) + )) + (net (rename a5_re_18 "a5_re[18]") (joined + (portRef (member a5_re 13)) + (portRef (member a5_re 13) (instanceRef inst_iir_5)) + )) + (net (rename a5_re_19 "a5_re[19]") (joined + (portRef (member a5_re 12)) + (portRef (member a5_re 12) (instanceRef inst_iir_5)) + )) + (net (rename a5_re_20 "a5_re[20]") (joined + (portRef (member a5_re 11)) + (portRef (member a5_re 11) (instanceRef inst_iir_5)) + )) + (net (rename a5_re_21 "a5_re[21]") (joined + (portRef (member a5_re 10)) + (portRef (member a5_re 10) (instanceRef inst_iir_5)) + )) + (net (rename a5_re_22 "a5_re[22]") (joined + (portRef (member a5_re 9)) + (portRef (member a5_re 9) (instanceRef inst_iir_5)) + )) + (net (rename a5_re_23 "a5_re[23]") (joined + (portRef (member a5_re 8)) + (portRef (member a5_re 8) (instanceRef inst_iir_5)) + )) + (net (rename a5_re_24 "a5_re[24]") (joined + (portRef (member a5_re 7)) + (portRef (member a5_re 7) (instanceRef inst_iir_5)) + )) + (net (rename a5_re_25 "a5_re[25]") (joined + (portRef (member a5_re 6)) + (portRef (member a5_re 6) (instanceRef inst_iir_5)) + )) + (net (rename a5_re_26 "a5_re[26]") (joined + (portRef (member a5_re 5)) + (portRef (member a5_re 5) (instanceRef inst_iir_5)) + )) + (net (rename a5_re_27 "a5_re[27]") (joined + (portRef (member a5_re 4)) + (portRef (member a5_re 4) (instanceRef inst_iir_5)) + )) + (net (rename a5_re_28 "a5_re[28]") (joined + (portRef (member a5_re 3)) + (portRef (member a5_re 3) (instanceRef inst_iir_5)) + )) + (net (rename a5_re_29 "a5_re[29]") (joined + (portRef (member a5_re 2)) + (portRef (member a5_re 2) (instanceRef inst_iir_5)) + )) + (net (rename a5_re_30 "a5_re[30]") (joined + (portRef (member a5_re 1)) + (portRef (member a5_re 1) (instanceRef inst_iir_5)) + )) + (net (rename a5_re_31 "a5_re[31]") (joined + (portRef (member a5_re 0)) + (portRef (member a5_re 0) (instanceRef inst_iir_5)) + )) + (net rstn (joined + (portRef rstn) + (portRef rstn (instanceRef inst_iir_0)) + (portRef rstn (instanceRef inst_iir_1)) + (portRef rstn (instanceRef inst_iir_2)) + (portRef rstn (instanceRef inst_iir_3)) + (portRef rstn (instanceRef inst_iir_4)) + (portRef rstn (instanceRef inst_iir_5)) + )) + (net rstn_i_fo (joined + (portRef rstn_i_fo (instanceRef inst_iir_5)) + (portRef rstn_i_fo) + )) + (net saturation_5 (joined + (portRef saturation_5 (instanceRef inst_iir_5)) + (portRef saturation_5) + )) + (net (rename b4_re_0 "b4_re[0]") (joined + (portRef (member b4_re 31)) + (portRef (member b4_re 31) (instanceRef inst_iir_4)) + )) + (net (rename b4_re_1 "b4_re[1]") (joined + (portRef (member b4_re 30)) + (portRef (member b4_re 30) (instanceRef inst_iir_4)) + )) + (net (rename b4_re_2 "b4_re[2]") (joined + (portRef (member b4_re 29)) + (portRef (member b4_re 29) (instanceRef inst_iir_4)) + )) + (net (rename b4_re_3 "b4_re[3]") (joined + (portRef (member b4_re 28)) + (portRef (member b4_re 28) (instanceRef inst_iir_4)) + )) + (net (rename b4_re_4 "b4_re[4]") (joined + (portRef (member b4_re 27)) + (portRef (member b4_re 27) (instanceRef inst_iir_4)) + )) + (net (rename b4_re_5 "b4_re[5]") (joined + (portRef (member b4_re 26)) + (portRef (member b4_re 26) (instanceRef inst_iir_4)) + )) + (net (rename b4_re_6 "b4_re[6]") (joined + (portRef (member b4_re 25)) + (portRef (member b4_re 25) (instanceRef inst_iir_4)) + )) + (net (rename b4_re_7 "b4_re[7]") (joined + (portRef (member b4_re 24)) + (portRef (member b4_re 24) (instanceRef inst_iir_4)) + )) + (net (rename b4_re_8 "b4_re[8]") (joined + (portRef (member b4_re 23)) + (portRef (member b4_re 23) (instanceRef inst_iir_4)) + )) + (net (rename b4_re_9 "b4_re[9]") (joined + (portRef (member b4_re 22)) + (portRef (member b4_re 22) (instanceRef inst_iir_4)) + )) + (net (rename b4_re_10 "b4_re[10]") (joined + (portRef (member b4_re 21)) + (portRef (member b4_re 21) (instanceRef inst_iir_4)) + )) + (net (rename b4_re_11 "b4_re[11]") (joined + (portRef (member b4_re 20)) + (portRef (member b4_re 20) (instanceRef inst_iir_4)) + )) + (net (rename b4_re_12 "b4_re[12]") (joined + (portRef (member b4_re 19)) + (portRef (member b4_re 19) (instanceRef inst_iir_4)) + )) + (net (rename b4_re_13 "b4_re[13]") (joined + (portRef (member b4_re 18)) + (portRef (member b4_re 18) (instanceRef inst_iir_4)) + )) + (net (rename b4_re_14 "b4_re[14]") (joined + (portRef (member b4_re 17)) + (portRef (member b4_re 17) (instanceRef inst_iir_4)) + )) + (net (rename b4_re_15 "b4_re[15]") (joined + (portRef (member b4_re 16)) + (portRef (member b4_re 16) (instanceRef inst_iir_4)) + )) + (net (rename b4_re_16 "b4_re[16]") (joined + (portRef (member b4_re 15)) + (portRef (member b4_re 15) (instanceRef inst_iir_4)) + )) + (net (rename b4_re_17 "b4_re[17]") (joined + (portRef (member b4_re 14)) + (portRef (member b4_re 14) (instanceRef inst_iir_4)) + )) + (net (rename b4_re_18 "b4_re[18]") (joined + (portRef (member b4_re 13)) + (portRef (member b4_re 13) (instanceRef inst_iir_4)) + )) + (net (rename b4_re_19 "b4_re[19]") (joined + (portRef (member b4_re 12)) + (portRef (member b4_re 12) (instanceRef inst_iir_4)) + )) + (net (rename b4_re_20 "b4_re[20]") (joined + (portRef (member b4_re 11)) + (portRef (member b4_re 11) (instanceRef inst_iir_4)) + )) + (net (rename b4_re_21 "b4_re[21]") (joined + (portRef (member b4_re 10)) + (portRef (member b4_re 10) (instanceRef inst_iir_4)) + )) + (net (rename b4_re_22 "b4_re[22]") (joined + (portRef (member b4_re 9)) + (portRef (member b4_re 9) (instanceRef inst_iir_4)) + )) + (net (rename b4_re_23 "b4_re[23]") (joined + (portRef (member b4_re 8)) + (portRef (member b4_re 8) (instanceRef inst_iir_4)) + )) + (net (rename b4_re_24 "b4_re[24]") (joined + (portRef (member b4_re 7)) + (portRef (member b4_re 7) (instanceRef inst_iir_4)) + )) + (net (rename b4_re_25 "b4_re[25]") (joined + (portRef (member b4_re 6)) + (portRef (member b4_re 6) (instanceRef inst_iir_4)) + )) + (net (rename b4_re_26 "b4_re[26]") (joined + (portRef (member b4_re 5)) + (portRef (member b4_re 5) (instanceRef inst_iir_4)) + )) + (net (rename b4_re_27 "b4_re[27]") (joined + (portRef (member b4_re 4)) + (portRef (member b4_re 4) (instanceRef inst_iir_4)) + )) + (net (rename b4_re_28 "b4_re[28]") (joined + (portRef (member b4_re 3)) + (portRef (member b4_re 3) (instanceRef inst_iir_4)) + )) + (net (rename b4_re_29 "b4_re[29]") (joined + (portRef (member b4_re 2)) + (portRef (member b4_re 2) (instanceRef inst_iir_4)) + )) + (net (rename b4_re_30 "b4_re[30]") (joined + (portRef (member b4_re 1)) + (portRef (member b4_re 1) (instanceRef inst_iir_4)) + )) + (net (rename b4_re_31 "b4_re[31]") (joined + (portRef (member b4_re 0)) + (portRef (member b4_re 0) (instanceRef inst_iir_4)) + )) + (net (rename a4_re_0 "a4_re[0]") (joined + (portRef (member a4_re 31)) + (portRef (member a4_re 31) (instanceRef inst_iir_4)) + )) + (net (rename a4_re_1 "a4_re[1]") (joined + (portRef (member a4_re 30)) + (portRef (member a4_re 30) (instanceRef inst_iir_4)) + )) + (net (rename a4_re_2 "a4_re[2]") (joined + (portRef (member a4_re 29)) + (portRef (member a4_re 29) (instanceRef inst_iir_4)) + )) + (net (rename a4_re_3 "a4_re[3]") (joined + (portRef (member a4_re 28)) + (portRef (member a4_re 28) (instanceRef inst_iir_4)) + )) + (net (rename a4_re_4 "a4_re[4]") (joined + (portRef (member a4_re 27)) + (portRef (member a4_re 27) (instanceRef inst_iir_4)) + )) + (net (rename a4_re_5 "a4_re[5]") (joined + (portRef (member a4_re 26)) + (portRef (member a4_re 26) (instanceRef inst_iir_4)) + )) + (net (rename a4_re_6 "a4_re[6]") (joined + (portRef (member a4_re 25)) + (portRef (member a4_re 25) (instanceRef inst_iir_4)) + )) + (net (rename a4_re_7 "a4_re[7]") (joined + (portRef (member a4_re 24)) + (portRef (member a4_re 24) (instanceRef inst_iir_4)) + )) + (net (rename a4_re_8 "a4_re[8]") (joined + (portRef (member a4_re 23)) + (portRef (member a4_re 23) (instanceRef inst_iir_4)) + )) + (net (rename a4_re_9 "a4_re[9]") (joined + (portRef (member a4_re 22)) + (portRef (member a4_re 22) (instanceRef inst_iir_4)) + )) + (net (rename a4_re_10 "a4_re[10]") (joined + (portRef (member a4_re 21)) + (portRef (member a4_re 21) (instanceRef inst_iir_4)) + )) + (net (rename a4_re_11 "a4_re[11]") (joined + (portRef (member a4_re 20)) + (portRef (member a4_re 20) (instanceRef inst_iir_4)) + )) + (net (rename a4_re_12 "a4_re[12]") (joined + (portRef (member a4_re 19)) + (portRef (member a4_re 19) (instanceRef inst_iir_4)) + )) + (net (rename a4_re_13 "a4_re[13]") (joined + (portRef (member a4_re 18)) + (portRef (member a4_re 18) (instanceRef inst_iir_4)) + )) + (net (rename a4_re_14 "a4_re[14]") (joined + (portRef (member a4_re 17)) + (portRef (member a4_re 17) (instanceRef inst_iir_4)) + )) + (net (rename a4_re_15 "a4_re[15]") (joined + (portRef (member a4_re 16)) + (portRef (member a4_re 16) (instanceRef inst_iir_4)) + )) + (net (rename a4_re_16 "a4_re[16]") (joined + (portRef (member a4_re 15)) + (portRef (member a4_re 15) (instanceRef inst_iir_4)) + )) + (net (rename a4_re_17 "a4_re[17]") (joined + (portRef (member a4_re 14)) + (portRef (member a4_re 14) (instanceRef inst_iir_4)) + )) + (net (rename a4_re_18 "a4_re[18]") (joined + (portRef (member a4_re 13)) + (portRef (member a4_re 13) (instanceRef inst_iir_4)) + )) + (net (rename a4_re_19 "a4_re[19]") (joined + (portRef (member a4_re 12)) + (portRef (member a4_re 12) (instanceRef inst_iir_4)) + )) + (net (rename a4_re_20 "a4_re[20]") (joined + (portRef (member a4_re 11)) + (portRef (member a4_re 11) (instanceRef inst_iir_4)) + )) + (net (rename a4_re_21 "a4_re[21]") (joined + (portRef (member a4_re 10)) + (portRef (member a4_re 10) (instanceRef inst_iir_4)) + )) + (net (rename a4_re_22 "a4_re[22]") (joined + (portRef (member a4_re 9)) + (portRef (member a4_re 9) (instanceRef inst_iir_4)) + )) + (net (rename a4_re_23 "a4_re[23]") (joined + (portRef (member a4_re 8)) + (portRef (member a4_re 8) (instanceRef inst_iir_4)) + )) + (net (rename a4_re_24 "a4_re[24]") (joined + (portRef (member a4_re 7)) + (portRef (member a4_re 7) (instanceRef inst_iir_4)) + )) + (net (rename a4_re_25 "a4_re[25]") (joined + (portRef (member a4_re 6)) + (portRef (member a4_re 6) (instanceRef inst_iir_4)) + )) + (net (rename a4_re_26 "a4_re[26]") (joined + (portRef (member a4_re 5)) + (portRef (member a4_re 5) (instanceRef inst_iir_4)) + )) + (net (rename a4_re_27 "a4_re[27]") (joined + (portRef (member a4_re 4)) + (portRef (member a4_re 4) (instanceRef inst_iir_4)) + )) + (net (rename a4_re_28 "a4_re[28]") (joined + (portRef (member a4_re 3)) + (portRef (member a4_re 3) (instanceRef inst_iir_4)) + )) + (net (rename a4_re_29 "a4_re[29]") (joined + (portRef (member a4_re 2)) + (portRef (member a4_re 2) (instanceRef inst_iir_4)) + )) + (net (rename a4_re_30 "a4_re[30]") (joined + (portRef (member a4_re 1)) + (portRef (member a4_re 1) (instanceRef inst_iir_4)) + )) + (net (rename a4_re_31 "a4_re[31]") (joined + (portRef (member a4_re 0)) + (portRef (member a4_re 0) (instanceRef inst_iir_4)) + )) + (net saturation_4 (joined + (portRef saturation_4 (instanceRef inst_iir_4)) + (portRef saturation_4) + )) + (net (rename b3_re_0 "b3_re[0]") (joined + (portRef (member b3_re 31)) + (portRef (member b3_re 31) (instanceRef inst_iir_3)) + )) + (net (rename b3_re_1 "b3_re[1]") (joined + (portRef (member b3_re 30)) + (portRef (member b3_re 30) (instanceRef inst_iir_3)) + )) + (net (rename b3_re_2 "b3_re[2]") (joined + (portRef (member b3_re 29)) + (portRef (member b3_re 29) (instanceRef inst_iir_3)) + )) + (net (rename b3_re_3 "b3_re[3]") (joined + (portRef (member b3_re 28)) + (portRef (member b3_re 28) (instanceRef inst_iir_3)) + )) + (net (rename b3_re_4 "b3_re[4]") (joined + (portRef (member b3_re 27)) + (portRef (member b3_re 27) (instanceRef inst_iir_3)) + )) + (net (rename b3_re_5 "b3_re[5]") (joined + (portRef (member b3_re 26)) + (portRef (member b3_re 26) (instanceRef inst_iir_3)) + )) + (net (rename b3_re_6 "b3_re[6]") (joined + (portRef (member b3_re 25)) + (portRef (member b3_re 25) (instanceRef inst_iir_3)) + )) + (net (rename b3_re_7 "b3_re[7]") (joined + (portRef (member b3_re 24)) + (portRef (member b3_re 24) (instanceRef inst_iir_3)) + )) + (net (rename b3_re_8 "b3_re[8]") (joined + (portRef (member b3_re 23)) + (portRef (member b3_re 23) (instanceRef inst_iir_3)) + )) + (net (rename b3_re_9 "b3_re[9]") (joined + (portRef (member b3_re 22)) + (portRef (member b3_re 22) (instanceRef inst_iir_3)) + )) + (net (rename b3_re_10 "b3_re[10]") (joined + (portRef (member b3_re 21)) + (portRef (member b3_re 21) (instanceRef inst_iir_3)) + )) + (net (rename b3_re_11 "b3_re[11]") (joined + (portRef (member b3_re 20)) + (portRef (member b3_re 20) (instanceRef inst_iir_3)) + )) + (net (rename b3_re_12 "b3_re[12]") (joined + (portRef (member b3_re 19)) + (portRef (member b3_re 19) (instanceRef inst_iir_3)) + )) + (net (rename b3_re_13 "b3_re[13]") (joined + (portRef (member b3_re 18)) + (portRef (member b3_re 18) (instanceRef inst_iir_3)) + )) + (net (rename b3_re_14 "b3_re[14]") (joined + (portRef (member b3_re 17)) + (portRef (member b3_re 17) (instanceRef inst_iir_3)) + )) + (net (rename b3_re_15 "b3_re[15]") (joined + (portRef (member b3_re 16)) + (portRef (member b3_re 16) (instanceRef inst_iir_3)) + )) + (net (rename b3_re_16 "b3_re[16]") (joined + (portRef (member b3_re 15)) + (portRef (member b3_re 15) (instanceRef inst_iir_3)) + )) + (net (rename b3_re_17 "b3_re[17]") (joined + (portRef (member b3_re 14)) + (portRef (member b3_re 14) (instanceRef inst_iir_3)) + )) + (net (rename b3_re_18 "b3_re[18]") (joined + (portRef (member b3_re 13)) + (portRef (member b3_re 13) (instanceRef inst_iir_3)) + )) + (net (rename b3_re_19 "b3_re[19]") (joined + (portRef (member b3_re 12)) + (portRef (member b3_re 12) (instanceRef inst_iir_3)) + )) + (net (rename b3_re_20 "b3_re[20]") (joined + (portRef (member b3_re 11)) + (portRef (member b3_re 11) (instanceRef inst_iir_3)) + )) + (net (rename b3_re_21 "b3_re[21]") (joined + (portRef (member b3_re 10)) + (portRef (member b3_re 10) (instanceRef inst_iir_3)) + )) + (net (rename b3_re_22 "b3_re[22]") (joined + (portRef (member b3_re 9)) + (portRef (member b3_re 9) (instanceRef inst_iir_3)) + )) + (net (rename b3_re_23 "b3_re[23]") (joined + (portRef (member b3_re 8)) + (portRef (member b3_re 8) (instanceRef inst_iir_3)) + )) + (net (rename b3_re_24 "b3_re[24]") (joined + (portRef (member b3_re 7)) + (portRef (member b3_re 7) (instanceRef inst_iir_3)) + )) + (net (rename b3_re_25 "b3_re[25]") (joined + (portRef (member b3_re 6)) + (portRef (member b3_re 6) (instanceRef inst_iir_3)) + )) + (net (rename b3_re_26 "b3_re[26]") (joined + (portRef (member b3_re 5)) + (portRef (member b3_re 5) (instanceRef inst_iir_3)) + )) + (net (rename b3_re_27 "b3_re[27]") (joined + (portRef (member b3_re 4)) + (portRef (member b3_re 4) (instanceRef inst_iir_3)) + )) + (net (rename b3_re_28 "b3_re[28]") (joined + (portRef (member b3_re 3)) + (portRef (member b3_re 3) (instanceRef inst_iir_3)) + )) + (net (rename b3_re_29 "b3_re[29]") (joined + (portRef (member b3_re 2)) + (portRef (member b3_re 2) (instanceRef inst_iir_3)) + )) + (net (rename b3_re_30 "b3_re[30]") (joined + (portRef (member b3_re 1)) + (portRef (member b3_re 1) (instanceRef inst_iir_3)) + )) + (net (rename b3_re_31 "b3_re[31]") (joined + (portRef (member b3_re 0)) + (portRef (member b3_re 0) (instanceRef inst_iir_3)) + )) + (net (rename a3_re_0 "a3_re[0]") (joined + (portRef (member a3_re 31)) + (portRef (member a3_re 31) (instanceRef inst_iir_3)) + )) + (net (rename a3_re_1 "a3_re[1]") (joined + (portRef (member a3_re 30)) + (portRef (member a3_re 30) (instanceRef inst_iir_3)) + )) + (net (rename a3_re_2 "a3_re[2]") (joined + (portRef (member a3_re 29)) + (portRef (member a3_re 29) (instanceRef inst_iir_3)) + )) + (net (rename a3_re_3 "a3_re[3]") (joined + (portRef (member a3_re 28)) + (portRef (member a3_re 28) (instanceRef inst_iir_3)) + )) + (net (rename a3_re_4 "a3_re[4]") (joined + (portRef (member a3_re 27)) + (portRef (member a3_re 27) (instanceRef inst_iir_3)) + )) + (net (rename a3_re_5 "a3_re[5]") (joined + (portRef (member a3_re 26)) + (portRef (member a3_re 26) (instanceRef inst_iir_3)) + )) + (net (rename a3_re_6 "a3_re[6]") (joined + (portRef (member a3_re 25)) + (portRef (member a3_re 25) (instanceRef inst_iir_3)) + )) + (net (rename a3_re_7 "a3_re[7]") (joined + (portRef (member a3_re 24)) + (portRef (member a3_re 24) (instanceRef inst_iir_3)) + )) + (net (rename a3_re_8 "a3_re[8]") (joined + (portRef (member a3_re 23)) + (portRef (member a3_re 23) (instanceRef inst_iir_3)) + )) + (net (rename a3_re_9 "a3_re[9]") (joined + (portRef (member a3_re 22)) + (portRef (member a3_re 22) (instanceRef inst_iir_3)) + )) + (net (rename a3_re_10 "a3_re[10]") (joined + (portRef (member a3_re 21)) + (portRef (member a3_re 21) (instanceRef inst_iir_3)) + )) + (net (rename a3_re_11 "a3_re[11]") (joined + (portRef (member a3_re 20)) + (portRef (member a3_re 20) (instanceRef inst_iir_3)) + )) + (net (rename a3_re_12 "a3_re[12]") (joined + (portRef (member a3_re 19)) + (portRef (member a3_re 19) (instanceRef inst_iir_3)) + )) + (net (rename a3_re_13 "a3_re[13]") (joined + (portRef (member a3_re 18)) + (portRef (member a3_re 18) (instanceRef inst_iir_3)) + )) + (net (rename a3_re_14 "a3_re[14]") (joined + (portRef (member a3_re 17)) + (portRef (member a3_re 17) (instanceRef inst_iir_3)) + )) + (net (rename a3_re_15 "a3_re[15]") (joined + (portRef (member a3_re 16)) + (portRef (member a3_re 16) (instanceRef inst_iir_3)) + )) + (net (rename a3_re_16 "a3_re[16]") (joined + (portRef (member a3_re 15)) + (portRef (member a3_re 15) (instanceRef inst_iir_3)) + )) + (net (rename a3_re_17 "a3_re[17]") (joined + (portRef (member a3_re 14)) + (portRef (member a3_re 14) (instanceRef inst_iir_3)) + )) + (net (rename a3_re_18 "a3_re[18]") (joined + (portRef (member a3_re 13)) + (portRef (member a3_re 13) (instanceRef inst_iir_3)) + )) + (net (rename a3_re_19 "a3_re[19]") (joined + (portRef (member a3_re 12)) + (portRef (member a3_re 12) (instanceRef inst_iir_3)) + )) + (net (rename a3_re_20 "a3_re[20]") (joined + (portRef (member a3_re 11)) + (portRef (member a3_re 11) (instanceRef inst_iir_3)) + )) + (net (rename a3_re_21 "a3_re[21]") (joined + (portRef (member a3_re 10)) + (portRef (member a3_re 10) (instanceRef inst_iir_3)) + )) + (net (rename a3_re_22 "a3_re[22]") (joined + (portRef (member a3_re 9)) + (portRef (member a3_re 9) (instanceRef inst_iir_3)) + )) + (net (rename a3_re_23 "a3_re[23]") (joined + (portRef (member a3_re 8)) + (portRef (member a3_re 8) (instanceRef inst_iir_3)) + )) + (net (rename a3_re_24 "a3_re[24]") (joined + (portRef (member a3_re 7)) + (portRef (member a3_re 7) (instanceRef inst_iir_3)) + )) + (net (rename a3_re_25 "a3_re[25]") (joined + (portRef (member a3_re 6)) + (portRef (member a3_re 6) (instanceRef inst_iir_3)) + )) + (net (rename a3_re_26 "a3_re[26]") (joined + (portRef (member a3_re 5)) + (portRef (member a3_re 5) (instanceRef inst_iir_3)) + )) + (net (rename a3_re_27 "a3_re[27]") (joined + (portRef (member a3_re 4)) + (portRef (member a3_re 4) (instanceRef inst_iir_3)) + )) + (net (rename a3_re_28 "a3_re[28]") (joined + (portRef (member a3_re 3)) + (portRef (member a3_re 3) (instanceRef inst_iir_3)) + )) + (net (rename a3_re_29 "a3_re[29]") (joined + (portRef (member a3_re 2)) + (portRef (member a3_re 2) (instanceRef inst_iir_3)) + )) + (net (rename a3_re_30 "a3_re[30]") (joined + (portRef (member a3_re 1)) + (portRef (member a3_re 1) (instanceRef inst_iir_3)) + )) + (net (rename a3_re_31 "a3_re[31]") (joined + (portRef (member a3_re 0)) + (portRef (member a3_re 0) (instanceRef inst_iir_3)) + )) + (net saturation_3 (joined + (portRef saturation_3 (instanceRef inst_iir_3)) + (portRef saturation_3) + )) + (net (rename b2_re_0 "b2_re[0]") (joined + (portRef (member b2_re 31)) + (portRef (member b2_re 31) (instanceRef inst_iir_2)) + )) + (net (rename b2_re_1 "b2_re[1]") (joined + (portRef (member b2_re 30)) + (portRef (member b2_re 30) (instanceRef inst_iir_2)) + )) + (net (rename b2_re_2 "b2_re[2]") (joined + (portRef (member b2_re 29)) + (portRef (member b2_re 29) (instanceRef inst_iir_2)) + )) + (net (rename b2_re_3 "b2_re[3]") (joined + (portRef (member b2_re 28)) + (portRef (member b2_re 28) (instanceRef inst_iir_2)) + )) + (net (rename b2_re_4 "b2_re[4]") (joined + (portRef (member b2_re 27)) + (portRef (member b2_re 27) (instanceRef inst_iir_2)) + )) + (net (rename b2_re_5 "b2_re[5]") (joined + (portRef (member b2_re 26)) + (portRef (member b2_re 26) (instanceRef inst_iir_2)) + )) + (net (rename b2_re_6 "b2_re[6]") (joined + (portRef (member b2_re 25)) + (portRef (member b2_re 25) (instanceRef inst_iir_2)) + )) + (net (rename b2_re_7 "b2_re[7]") (joined + (portRef (member b2_re 24)) + (portRef (member b2_re 24) (instanceRef inst_iir_2)) + )) + (net (rename b2_re_8 "b2_re[8]") (joined + (portRef (member b2_re 23)) + (portRef (member b2_re 23) (instanceRef inst_iir_2)) + )) + (net (rename b2_re_9 "b2_re[9]") (joined + (portRef (member b2_re 22)) + (portRef (member b2_re 22) (instanceRef inst_iir_2)) + )) + (net (rename b2_re_10 "b2_re[10]") (joined + (portRef (member b2_re 21)) + (portRef (member b2_re 21) (instanceRef inst_iir_2)) + )) + (net (rename b2_re_11 "b2_re[11]") (joined + (portRef (member b2_re 20)) + (portRef (member b2_re 20) (instanceRef inst_iir_2)) + )) + (net (rename b2_re_12 "b2_re[12]") (joined + (portRef (member b2_re 19)) + (portRef (member b2_re 19) (instanceRef inst_iir_2)) + )) + (net (rename b2_re_13 "b2_re[13]") (joined + (portRef (member b2_re 18)) + (portRef (member b2_re 18) (instanceRef inst_iir_2)) + )) + (net (rename b2_re_14 "b2_re[14]") (joined + (portRef (member b2_re 17)) + (portRef (member b2_re 17) (instanceRef inst_iir_2)) + )) + (net (rename b2_re_15 "b2_re[15]") (joined + (portRef (member b2_re 16)) + (portRef (member b2_re 16) (instanceRef inst_iir_2)) + )) + (net (rename b2_re_16 "b2_re[16]") (joined + (portRef (member b2_re 15)) + (portRef (member b2_re 15) (instanceRef inst_iir_2)) + )) + (net (rename b2_re_17 "b2_re[17]") (joined + (portRef (member b2_re 14)) + (portRef (member b2_re 14) (instanceRef inst_iir_2)) + )) + (net (rename b2_re_18 "b2_re[18]") (joined + (portRef (member b2_re 13)) + (portRef (member b2_re 13) (instanceRef inst_iir_2)) + )) + (net (rename b2_re_19 "b2_re[19]") (joined + (portRef (member b2_re 12)) + (portRef (member b2_re 12) (instanceRef inst_iir_2)) + )) + (net (rename b2_re_20 "b2_re[20]") (joined + (portRef (member b2_re 11)) + (portRef (member b2_re 11) (instanceRef inst_iir_2)) + )) + (net (rename b2_re_21 "b2_re[21]") (joined + (portRef (member b2_re 10)) + (portRef (member b2_re 10) (instanceRef inst_iir_2)) + )) + (net (rename b2_re_22 "b2_re[22]") (joined + (portRef (member b2_re 9)) + (portRef (member b2_re 9) (instanceRef inst_iir_2)) + )) + (net (rename b2_re_23 "b2_re[23]") (joined + (portRef (member b2_re 8)) + (portRef (member b2_re 8) (instanceRef inst_iir_2)) + )) + (net (rename b2_re_24 "b2_re[24]") (joined + (portRef (member b2_re 7)) + (portRef (member b2_re 7) (instanceRef inst_iir_2)) + )) + (net (rename b2_re_25 "b2_re[25]") (joined + (portRef (member b2_re 6)) + (portRef (member b2_re 6) (instanceRef inst_iir_2)) + )) + (net (rename b2_re_26 "b2_re[26]") (joined + (portRef (member b2_re 5)) + (portRef (member b2_re 5) (instanceRef inst_iir_2)) + )) + (net (rename b2_re_27 "b2_re[27]") (joined + (portRef (member b2_re 4)) + (portRef (member b2_re 4) (instanceRef inst_iir_2)) + )) + (net (rename b2_re_28 "b2_re[28]") (joined + (portRef (member b2_re 3)) + (portRef (member b2_re 3) (instanceRef inst_iir_2)) + )) + (net (rename b2_re_29 "b2_re[29]") (joined + (portRef (member b2_re 2)) + (portRef (member b2_re 2) (instanceRef inst_iir_2)) + )) + (net (rename b2_re_30 "b2_re[30]") (joined + (portRef (member b2_re 1)) + (portRef (member b2_re 1) (instanceRef inst_iir_2)) + )) + (net (rename b2_re_31 "b2_re[31]") (joined + (portRef (member b2_re 0)) + (portRef (member b2_re 0) (instanceRef inst_iir_2)) + )) + (net (rename a2_re_0 "a2_re[0]") (joined + (portRef (member a2_re 31)) + (portRef (member a2_re 31) (instanceRef inst_iir_2)) + )) + (net (rename a2_re_1 "a2_re[1]") (joined + (portRef (member a2_re 30)) + (portRef (member a2_re 30) (instanceRef inst_iir_2)) + )) + (net (rename a2_re_2 "a2_re[2]") (joined + (portRef (member a2_re 29)) + (portRef (member a2_re 29) (instanceRef inst_iir_2)) + )) + (net (rename a2_re_3 "a2_re[3]") (joined + (portRef (member a2_re 28)) + (portRef (member a2_re 28) (instanceRef inst_iir_2)) + )) + (net (rename a2_re_4 "a2_re[4]") (joined + (portRef (member a2_re 27)) + (portRef (member a2_re 27) (instanceRef inst_iir_2)) + )) + (net (rename a2_re_5 "a2_re[5]") (joined + (portRef (member a2_re 26)) + (portRef (member a2_re 26) (instanceRef inst_iir_2)) + )) + (net (rename a2_re_6 "a2_re[6]") (joined + (portRef (member a2_re 25)) + (portRef (member a2_re 25) (instanceRef inst_iir_2)) + )) + (net (rename a2_re_7 "a2_re[7]") (joined + (portRef (member a2_re 24)) + (portRef (member a2_re 24) (instanceRef inst_iir_2)) + )) + (net (rename a2_re_8 "a2_re[8]") (joined + (portRef (member a2_re 23)) + (portRef (member a2_re 23) (instanceRef inst_iir_2)) + )) + (net (rename a2_re_9 "a2_re[9]") (joined + (portRef (member a2_re 22)) + (portRef (member a2_re 22) (instanceRef inst_iir_2)) + )) + (net (rename a2_re_10 "a2_re[10]") (joined + (portRef (member a2_re 21)) + (portRef (member a2_re 21) (instanceRef inst_iir_2)) + )) + (net (rename a2_re_11 "a2_re[11]") (joined + (portRef (member a2_re 20)) + (portRef (member a2_re 20) (instanceRef inst_iir_2)) + )) + (net (rename a2_re_12 "a2_re[12]") (joined + (portRef (member a2_re 19)) + (portRef (member a2_re 19) (instanceRef inst_iir_2)) + )) + (net (rename a2_re_13 "a2_re[13]") (joined + (portRef (member a2_re 18)) + (portRef (member a2_re 18) (instanceRef inst_iir_2)) + )) + (net (rename a2_re_14 "a2_re[14]") (joined + (portRef (member a2_re 17)) + (portRef (member a2_re 17) (instanceRef inst_iir_2)) + )) + (net (rename a2_re_15 "a2_re[15]") (joined + (portRef (member a2_re 16)) + (portRef (member a2_re 16) (instanceRef inst_iir_2)) + )) + (net (rename a2_re_16 "a2_re[16]") (joined + (portRef (member a2_re 15)) + (portRef (member a2_re 15) (instanceRef inst_iir_2)) + )) + (net (rename a2_re_17 "a2_re[17]") (joined + (portRef (member a2_re 14)) + (portRef (member a2_re 14) (instanceRef inst_iir_2)) + )) + (net (rename a2_re_18 "a2_re[18]") (joined + (portRef (member a2_re 13)) + (portRef (member a2_re 13) (instanceRef inst_iir_2)) + )) + (net (rename a2_re_19 "a2_re[19]") (joined + (portRef (member a2_re 12)) + (portRef (member a2_re 12) (instanceRef inst_iir_2)) + )) + (net (rename a2_re_20 "a2_re[20]") (joined + (portRef (member a2_re 11)) + (portRef (member a2_re 11) (instanceRef inst_iir_2)) + )) + (net (rename a2_re_21 "a2_re[21]") (joined + (portRef (member a2_re 10)) + (portRef (member a2_re 10) (instanceRef inst_iir_2)) + )) + (net (rename a2_re_22 "a2_re[22]") (joined + (portRef (member a2_re 9)) + (portRef (member a2_re 9) (instanceRef inst_iir_2)) + )) + (net (rename a2_re_23 "a2_re[23]") (joined + (portRef (member a2_re 8)) + (portRef (member a2_re 8) (instanceRef inst_iir_2)) + )) + (net (rename a2_re_24 "a2_re[24]") (joined + (portRef (member a2_re 7)) + (portRef (member a2_re 7) (instanceRef inst_iir_2)) + )) + (net (rename a2_re_25 "a2_re[25]") (joined + (portRef (member a2_re 6)) + (portRef (member a2_re 6) (instanceRef inst_iir_2)) + )) + (net (rename a2_re_26 "a2_re[26]") (joined + (portRef (member a2_re 5)) + (portRef (member a2_re 5) (instanceRef inst_iir_2)) + )) + (net (rename a2_re_27 "a2_re[27]") (joined + (portRef (member a2_re 4)) + (portRef (member a2_re 4) (instanceRef inst_iir_2)) + )) + (net (rename a2_re_28 "a2_re[28]") (joined + (portRef (member a2_re 3)) + (portRef (member a2_re 3) (instanceRef inst_iir_2)) + )) + (net (rename a2_re_29 "a2_re[29]") (joined + (portRef (member a2_re 2)) + (portRef (member a2_re 2) (instanceRef inst_iir_2)) + )) + (net (rename a2_re_30 "a2_re[30]") (joined + (portRef (member a2_re 1)) + (portRef (member a2_re 1) (instanceRef inst_iir_2)) + )) + (net (rename a2_re_31 "a2_re[31]") (joined + (portRef (member a2_re 0)) + (portRef (member a2_re 0) (instanceRef inst_iir_2)) + )) + (net saturation_2 (joined + (portRef saturation_2 (instanceRef inst_iir_2)) + (portRef saturation_2) + )) + (net (rename b1_re_0 "b1_re[0]") (joined + (portRef (member b1_re 31)) + (portRef (member b1_re 31) (instanceRef inst_iir_1)) + )) + (net (rename b1_re_1 "b1_re[1]") (joined + (portRef (member b1_re 30)) + (portRef (member b1_re 30) (instanceRef inst_iir_1)) + )) + (net (rename b1_re_2 "b1_re[2]") (joined + (portRef (member b1_re 29)) + (portRef (member b1_re 29) (instanceRef inst_iir_1)) + )) + (net (rename b1_re_3 "b1_re[3]") (joined + (portRef (member b1_re 28)) + (portRef (member b1_re 28) (instanceRef inst_iir_1)) + )) + (net (rename b1_re_4 "b1_re[4]") (joined + (portRef (member b1_re 27)) + (portRef (member b1_re 27) (instanceRef inst_iir_1)) + )) + (net (rename b1_re_5 "b1_re[5]") (joined + (portRef (member b1_re 26)) + (portRef (member b1_re 26) (instanceRef inst_iir_1)) + )) + (net (rename b1_re_6 "b1_re[6]") (joined + (portRef (member b1_re 25)) + (portRef (member b1_re 25) (instanceRef inst_iir_1)) + )) + (net (rename b1_re_7 "b1_re[7]") (joined + (portRef (member b1_re 24)) + (portRef (member b1_re 24) (instanceRef inst_iir_1)) + )) + (net (rename b1_re_8 "b1_re[8]") (joined + (portRef (member b1_re 23)) + (portRef (member b1_re 23) (instanceRef inst_iir_1)) + )) + (net (rename b1_re_9 "b1_re[9]") (joined + (portRef (member b1_re 22)) + (portRef (member b1_re 22) (instanceRef inst_iir_1)) + )) + (net (rename b1_re_10 "b1_re[10]") (joined + (portRef (member b1_re 21)) + (portRef (member b1_re 21) (instanceRef inst_iir_1)) + )) + (net (rename b1_re_11 "b1_re[11]") (joined + (portRef (member b1_re 20)) + (portRef (member b1_re 20) (instanceRef inst_iir_1)) + )) + (net (rename b1_re_12 "b1_re[12]") (joined + (portRef (member b1_re 19)) + (portRef (member b1_re 19) (instanceRef inst_iir_1)) + )) + (net (rename b1_re_13 "b1_re[13]") (joined + (portRef (member b1_re 18)) + (portRef (member b1_re 18) (instanceRef inst_iir_1)) + )) + (net (rename b1_re_14 "b1_re[14]") (joined + (portRef (member b1_re 17)) + (portRef (member b1_re 17) (instanceRef inst_iir_1)) + )) + (net (rename b1_re_15 "b1_re[15]") (joined + (portRef (member b1_re 16)) + (portRef (member b1_re 16) (instanceRef inst_iir_1)) + )) + (net (rename b1_re_16 "b1_re[16]") (joined + (portRef (member b1_re 15)) + (portRef (member b1_re 15) (instanceRef inst_iir_1)) + )) + (net (rename b1_re_17 "b1_re[17]") (joined + (portRef (member b1_re 14)) + (portRef (member b1_re 14) (instanceRef inst_iir_1)) + )) + (net (rename b1_re_18 "b1_re[18]") (joined + (portRef (member b1_re 13)) + (portRef (member b1_re 13) (instanceRef inst_iir_1)) + )) + (net (rename b1_re_19 "b1_re[19]") (joined + (portRef (member b1_re 12)) + (portRef (member b1_re 12) (instanceRef inst_iir_1)) + )) + (net (rename b1_re_20 "b1_re[20]") (joined + (portRef (member b1_re 11)) + (portRef (member b1_re 11) (instanceRef inst_iir_1)) + )) + (net (rename b1_re_21 "b1_re[21]") (joined + (portRef (member b1_re 10)) + (portRef (member b1_re 10) (instanceRef inst_iir_1)) + )) + (net (rename b1_re_22 "b1_re[22]") (joined + (portRef (member b1_re 9)) + (portRef (member b1_re 9) (instanceRef inst_iir_1)) + )) + (net (rename b1_re_23 "b1_re[23]") (joined + (portRef (member b1_re 8)) + (portRef (member b1_re 8) (instanceRef inst_iir_1)) + )) + (net (rename b1_re_24 "b1_re[24]") (joined + (portRef (member b1_re 7)) + (portRef (member b1_re 7) (instanceRef inst_iir_1)) + )) + (net (rename b1_re_25 "b1_re[25]") (joined + (portRef (member b1_re 6)) + (portRef (member b1_re 6) (instanceRef inst_iir_1)) + )) + (net (rename b1_re_26 "b1_re[26]") (joined + (portRef (member b1_re 5)) + (portRef (member b1_re 5) (instanceRef inst_iir_1)) + )) + (net (rename b1_re_27 "b1_re[27]") (joined + (portRef (member b1_re 4)) + (portRef (member b1_re 4) (instanceRef inst_iir_1)) + )) + (net (rename b1_re_28 "b1_re[28]") (joined + (portRef (member b1_re 3)) + (portRef (member b1_re 3) (instanceRef inst_iir_1)) + )) + (net (rename b1_re_29 "b1_re[29]") (joined + (portRef (member b1_re 2)) + (portRef (member b1_re 2) (instanceRef inst_iir_1)) + )) + (net (rename b1_re_30 "b1_re[30]") (joined + (portRef (member b1_re 1)) + (portRef (member b1_re 1) (instanceRef inst_iir_1)) + )) + (net (rename b1_re_31 "b1_re[31]") (joined + (portRef (member b1_re 0)) + (portRef (member b1_re 0) (instanceRef inst_iir_1)) + )) + (net (rename a1_re_0 "a1_re[0]") (joined + (portRef (member a1_re 31)) + (portRef (member a1_re 31) (instanceRef inst_iir_1)) + )) + (net (rename a1_re_1 "a1_re[1]") (joined + (portRef (member a1_re 30)) + (portRef (member a1_re 30) (instanceRef inst_iir_1)) + )) + (net (rename a1_re_2 "a1_re[2]") (joined + (portRef (member a1_re 29)) + (portRef (member a1_re 29) (instanceRef inst_iir_1)) + )) + (net (rename a1_re_3 "a1_re[3]") (joined + (portRef (member a1_re 28)) + (portRef (member a1_re 28) (instanceRef inst_iir_1)) + )) + (net (rename a1_re_4 "a1_re[4]") (joined + (portRef (member a1_re 27)) + (portRef (member a1_re 27) (instanceRef inst_iir_1)) + )) + (net (rename a1_re_5 "a1_re[5]") (joined + (portRef (member a1_re 26)) + (portRef (member a1_re 26) (instanceRef inst_iir_1)) + )) + (net (rename a1_re_6 "a1_re[6]") (joined + (portRef (member a1_re 25)) + (portRef (member a1_re 25) (instanceRef inst_iir_1)) + )) + (net (rename a1_re_7 "a1_re[7]") (joined + (portRef (member a1_re 24)) + (portRef (member a1_re 24) (instanceRef inst_iir_1)) + )) + (net (rename a1_re_8 "a1_re[8]") (joined + (portRef (member a1_re 23)) + (portRef (member a1_re 23) (instanceRef inst_iir_1)) + )) + (net (rename a1_re_9 "a1_re[9]") (joined + (portRef (member a1_re 22)) + (portRef (member a1_re 22) (instanceRef inst_iir_1)) + )) + (net (rename a1_re_10 "a1_re[10]") (joined + (portRef (member a1_re 21)) + (portRef (member a1_re 21) (instanceRef inst_iir_1)) + )) + (net (rename a1_re_11 "a1_re[11]") (joined + (portRef (member a1_re 20)) + (portRef (member a1_re 20) (instanceRef inst_iir_1)) + )) + (net (rename a1_re_12 "a1_re[12]") (joined + (portRef (member a1_re 19)) + (portRef (member a1_re 19) (instanceRef inst_iir_1)) + )) + (net (rename a1_re_13 "a1_re[13]") (joined + (portRef (member a1_re 18)) + (portRef (member a1_re 18) (instanceRef inst_iir_1)) + )) + (net (rename a1_re_14 "a1_re[14]") (joined + (portRef (member a1_re 17)) + (portRef (member a1_re 17) (instanceRef inst_iir_1)) + )) + (net (rename a1_re_15 "a1_re[15]") (joined + (portRef (member a1_re 16)) + (portRef (member a1_re 16) (instanceRef inst_iir_1)) + )) + (net (rename a1_re_16 "a1_re[16]") (joined + (portRef (member a1_re 15)) + (portRef (member a1_re 15) (instanceRef inst_iir_1)) + )) + (net (rename a1_re_17 "a1_re[17]") (joined + (portRef (member a1_re 14)) + (portRef (member a1_re 14) (instanceRef inst_iir_1)) + )) + (net (rename a1_re_18 "a1_re[18]") (joined + (portRef (member a1_re 13)) + (portRef (member a1_re 13) (instanceRef inst_iir_1)) + )) + (net (rename a1_re_19 "a1_re[19]") (joined + (portRef (member a1_re 12)) + (portRef (member a1_re 12) (instanceRef inst_iir_1)) + )) + (net (rename a1_re_20 "a1_re[20]") (joined + (portRef (member a1_re 11)) + (portRef (member a1_re 11) (instanceRef inst_iir_1)) + )) + (net (rename a1_re_21 "a1_re[21]") (joined + (portRef (member a1_re 10)) + (portRef (member a1_re 10) (instanceRef inst_iir_1)) + )) + (net (rename a1_re_22 "a1_re[22]") (joined + (portRef (member a1_re 9)) + (portRef (member a1_re 9) (instanceRef inst_iir_1)) + )) + (net (rename a1_re_23 "a1_re[23]") (joined + (portRef (member a1_re 8)) + (portRef (member a1_re 8) (instanceRef inst_iir_1)) + )) + (net (rename a1_re_24 "a1_re[24]") (joined + (portRef (member a1_re 7)) + (portRef (member a1_re 7) (instanceRef inst_iir_1)) + )) + (net (rename a1_re_25 "a1_re[25]") (joined + (portRef (member a1_re 6)) + (portRef (member a1_re 6) (instanceRef inst_iir_1)) + )) + (net (rename a1_re_26 "a1_re[26]") (joined + (portRef (member a1_re 5)) + (portRef (member a1_re 5) (instanceRef inst_iir_1)) + )) + (net (rename a1_re_27 "a1_re[27]") (joined + (portRef (member a1_re 4)) + (portRef (member a1_re 4) (instanceRef inst_iir_1)) + )) + (net (rename a1_re_28 "a1_re[28]") (joined + (portRef (member a1_re 3)) + (portRef (member a1_re 3) (instanceRef inst_iir_1)) + )) + (net (rename a1_re_29 "a1_re[29]") (joined + (portRef (member a1_re 2)) + (portRef (member a1_re 2) (instanceRef inst_iir_1)) + )) + (net (rename a1_re_30 "a1_re[30]") (joined + (portRef (member a1_re 1)) + (portRef (member a1_re 1) (instanceRef inst_iir_1)) + )) + (net (rename a1_re_31 "a1_re[31]") (joined + (portRef (member a1_re 0)) + (portRef (member a1_re 0) (instanceRef inst_iir_1)) + )) + (net saturation_1 (joined + (portRef saturation_1 (instanceRef inst_iir_1)) + (portRef saturation_1) + )) + (net (rename b0_re_0 "b0_re[0]") (joined + (portRef (member b0_re 31)) + (portRef (member b0_re 31) (instanceRef inst_iir_0)) + )) + (net (rename b0_re_1 "b0_re[1]") (joined + (portRef (member b0_re 30)) + (portRef (member b0_re 30) (instanceRef inst_iir_0)) + )) + (net (rename b0_re_2 "b0_re[2]") (joined + (portRef (member b0_re 29)) + (portRef (member b0_re 29) (instanceRef inst_iir_0)) + )) + (net (rename b0_re_3 "b0_re[3]") (joined + (portRef (member b0_re 28)) + (portRef (member b0_re 28) (instanceRef inst_iir_0)) + )) + (net (rename b0_re_4 "b0_re[4]") (joined + (portRef (member b0_re 27)) + (portRef (member b0_re 27) (instanceRef inst_iir_0)) + )) + (net (rename b0_re_5 "b0_re[5]") (joined + (portRef (member b0_re 26)) + (portRef (member b0_re 26) (instanceRef inst_iir_0)) + )) + (net (rename b0_re_6 "b0_re[6]") (joined + (portRef (member b0_re 25)) + (portRef (member b0_re 25) (instanceRef inst_iir_0)) + )) + (net (rename b0_re_7 "b0_re[7]") (joined + (portRef (member b0_re 24)) + (portRef (member b0_re 24) (instanceRef inst_iir_0)) + )) + (net (rename b0_re_8 "b0_re[8]") (joined + (portRef (member b0_re 23)) + (portRef (member b0_re 23) (instanceRef inst_iir_0)) + )) + (net (rename b0_re_9 "b0_re[9]") (joined + (portRef (member b0_re 22)) + (portRef (member b0_re 22) (instanceRef inst_iir_0)) + )) + (net (rename b0_re_10 "b0_re[10]") (joined + (portRef (member b0_re 21)) + (portRef (member b0_re 21) (instanceRef inst_iir_0)) + )) + (net (rename b0_re_11 "b0_re[11]") (joined + (portRef (member b0_re 20)) + (portRef (member b0_re 20) (instanceRef inst_iir_0)) + )) + (net (rename b0_re_12 "b0_re[12]") (joined + (portRef (member b0_re 19)) + (portRef (member b0_re 19) (instanceRef inst_iir_0)) + )) + (net (rename b0_re_13 "b0_re[13]") (joined + (portRef (member b0_re 18)) + (portRef (member b0_re 18) (instanceRef inst_iir_0)) + )) + (net (rename b0_re_14 "b0_re[14]") (joined + (portRef (member b0_re 17)) + (portRef (member b0_re 17) (instanceRef inst_iir_0)) + )) + (net (rename b0_re_15 "b0_re[15]") (joined + (portRef (member b0_re 16)) + (portRef (member b0_re 16) (instanceRef inst_iir_0)) + )) + (net (rename b0_re_16 "b0_re[16]") (joined + (portRef (member b0_re 15)) + (portRef (member b0_re 15) (instanceRef inst_iir_0)) + )) + (net (rename b0_re_17 "b0_re[17]") (joined + (portRef (member b0_re 14)) + (portRef (member b0_re 14) (instanceRef inst_iir_0)) + )) + (net (rename b0_re_18 "b0_re[18]") (joined + (portRef (member b0_re 13)) + (portRef (member b0_re 13) (instanceRef inst_iir_0)) + )) + (net (rename b0_re_19 "b0_re[19]") (joined + (portRef (member b0_re 12)) + (portRef (member b0_re 12) (instanceRef inst_iir_0)) + )) + (net (rename b0_re_20 "b0_re[20]") (joined + (portRef (member b0_re 11)) + (portRef (member b0_re 11) (instanceRef inst_iir_0)) + )) + (net (rename b0_re_21 "b0_re[21]") (joined + (portRef (member b0_re 10)) + (portRef (member b0_re 10) (instanceRef inst_iir_0)) + )) + (net (rename b0_re_22 "b0_re[22]") (joined + (portRef (member b0_re 9)) + (portRef (member b0_re 9) (instanceRef inst_iir_0)) + )) + (net (rename b0_re_23 "b0_re[23]") (joined + (portRef (member b0_re 8)) + (portRef (member b0_re 8) (instanceRef inst_iir_0)) + )) + (net (rename b0_re_24 "b0_re[24]") (joined + (portRef (member b0_re 7)) + (portRef (member b0_re 7) (instanceRef inst_iir_0)) + )) + (net (rename b0_re_25 "b0_re[25]") (joined + (portRef (member b0_re 6)) + (portRef (member b0_re 6) (instanceRef inst_iir_0)) + )) + (net (rename b0_re_26 "b0_re[26]") (joined + (portRef (member b0_re 5)) + (portRef (member b0_re 5) (instanceRef inst_iir_0)) + )) + (net (rename b0_re_27 "b0_re[27]") (joined + (portRef (member b0_re 4)) + (portRef (member b0_re 4) (instanceRef inst_iir_0)) + )) + (net (rename b0_re_28 "b0_re[28]") (joined + (portRef (member b0_re 3)) + (portRef (member b0_re 3) (instanceRef inst_iir_0)) + )) + (net (rename b0_re_29 "b0_re[29]") (joined + (portRef (member b0_re 2)) + (portRef (member b0_re 2) (instanceRef inst_iir_0)) + )) + (net (rename b0_re_30 "b0_re[30]") (joined + (portRef (member b0_re 1)) + (portRef (member b0_re 1) (instanceRef inst_iir_0)) + )) + (net (rename b0_re_31 "b0_re[31]") (joined + (portRef (member b0_re 0)) + (portRef (member b0_re 0) (instanceRef inst_iir_0)) + )) + (net (rename a0_re_0 "a0_re[0]") (joined + (portRef (member a0_re 31)) + (portRef (member a0_re 31) (instanceRef inst_iir_0)) + )) + (net (rename a0_re_1 "a0_re[1]") (joined + (portRef (member a0_re 30)) + (portRef (member a0_re 30) (instanceRef inst_iir_0)) + )) + (net (rename a0_re_2 "a0_re[2]") (joined + (portRef (member a0_re 29)) + (portRef (member a0_re 29) (instanceRef inst_iir_0)) + )) + (net (rename a0_re_3 "a0_re[3]") (joined + (portRef (member a0_re 28)) + (portRef (member a0_re 28) (instanceRef inst_iir_0)) + )) + (net (rename a0_re_4 "a0_re[4]") (joined + (portRef (member a0_re 27)) + (portRef (member a0_re 27) (instanceRef inst_iir_0)) + )) + (net (rename a0_re_5 "a0_re[5]") (joined + (portRef (member a0_re 26)) + (portRef (member a0_re 26) (instanceRef inst_iir_0)) + )) + (net (rename a0_re_6 "a0_re[6]") (joined + (portRef (member a0_re 25)) + (portRef (member a0_re 25) (instanceRef inst_iir_0)) + )) + (net (rename a0_re_7 "a0_re[7]") (joined + (portRef (member a0_re 24)) + (portRef (member a0_re 24) (instanceRef inst_iir_0)) + )) + (net (rename a0_re_8 "a0_re[8]") (joined + (portRef (member a0_re 23)) + (portRef (member a0_re 23) (instanceRef inst_iir_0)) + )) + (net (rename a0_re_9 "a0_re[9]") (joined + (portRef (member a0_re 22)) + (portRef (member a0_re 22) (instanceRef inst_iir_0)) + )) + (net (rename a0_re_10 "a0_re[10]") (joined + (portRef (member a0_re 21)) + (portRef (member a0_re 21) (instanceRef inst_iir_0)) + )) + (net (rename a0_re_11 "a0_re[11]") (joined + (portRef (member a0_re 20)) + (portRef (member a0_re 20) (instanceRef inst_iir_0)) + )) + (net (rename a0_re_12 "a0_re[12]") (joined + (portRef (member a0_re 19)) + (portRef (member a0_re 19) (instanceRef inst_iir_0)) + )) + (net (rename a0_re_13 "a0_re[13]") (joined + (portRef (member a0_re 18)) + (portRef (member a0_re 18) (instanceRef inst_iir_0)) + )) + (net (rename a0_re_14 "a0_re[14]") (joined + (portRef (member a0_re 17)) + (portRef (member a0_re 17) (instanceRef inst_iir_0)) + )) + (net (rename a0_re_15 "a0_re[15]") (joined + (portRef (member a0_re 16)) + (portRef (member a0_re 16) (instanceRef inst_iir_0)) + )) + (net (rename a0_re_16 "a0_re[16]") (joined + (portRef (member a0_re 15)) + (portRef (member a0_re 15) (instanceRef inst_iir_0)) + )) + (net (rename a0_re_17 "a0_re[17]") (joined + (portRef (member a0_re 14)) + (portRef (member a0_re 14) (instanceRef inst_iir_0)) + )) + (net (rename a0_re_18 "a0_re[18]") (joined + (portRef (member a0_re 13)) + (portRef (member a0_re 13) (instanceRef inst_iir_0)) + )) + (net (rename a0_re_19 "a0_re[19]") (joined + (portRef (member a0_re 12)) + (portRef (member a0_re 12) (instanceRef inst_iir_0)) + )) + (net (rename a0_re_20 "a0_re[20]") (joined + (portRef (member a0_re 11)) + (portRef (member a0_re 11) (instanceRef inst_iir_0)) + )) + (net (rename a0_re_21 "a0_re[21]") (joined + (portRef (member a0_re 10)) + (portRef (member a0_re 10) (instanceRef inst_iir_0)) + )) + (net (rename a0_re_22 "a0_re[22]") (joined + (portRef (member a0_re 9)) + (portRef (member a0_re 9) (instanceRef inst_iir_0)) + )) + (net (rename a0_re_23 "a0_re[23]") (joined + (portRef (member a0_re 8)) + (portRef (member a0_re 8) (instanceRef inst_iir_0)) + )) + (net (rename a0_re_24 "a0_re[24]") (joined + (portRef (member a0_re 7)) + (portRef (member a0_re 7) (instanceRef inst_iir_0)) + )) + (net (rename a0_re_25 "a0_re[25]") (joined + (portRef (member a0_re 6)) + (portRef (member a0_re 6) (instanceRef inst_iir_0)) + )) + (net (rename a0_re_26 "a0_re[26]") (joined + (portRef (member a0_re 5)) + (portRef (member a0_re 5) (instanceRef inst_iir_0)) + )) + (net (rename a0_re_27 "a0_re[27]") (joined + (portRef (member a0_re 4)) + (portRef (member a0_re 4) (instanceRef inst_iir_0)) + )) + (net (rename a0_re_28 "a0_re[28]") (joined + (portRef (member a0_re 3)) + (portRef (member a0_re 3) (instanceRef inst_iir_0)) + )) + (net (rename a0_re_29 "a0_re[29]") (joined + (portRef (member a0_re 2)) + (portRef (member a0_re 2) (instanceRef inst_iir_0)) + )) + (net (rename a0_re_30 "a0_re[30]") (joined + (portRef (member a0_re 1)) + (portRef (member a0_re 1) (instanceRef inst_iir_0)) + )) + (net (rename a0_re_31 "a0_re[31]") (joined + (portRef (member a0_re 0)) + (portRef (member a0_re 0) (instanceRef inst_iir_0)) + )) + (net saturation_0 (joined + (portRef saturation_0 (instanceRef inst_iir_0)) + (portRef saturation_0) + )) + ) + (property orig_inst_of (string "TailCorr_top")) + ) + ) + (cell z_dsp (cellType GENERIC) + (view verilog (viewType NETLIST) + (interface + (port (array (rename dac_mode_sel "dac_mode_sel[1:0]") 2) (direction INPUT)) + (port (array (rename intp_mode "intp_mode[1:0]") 2) (direction INPUT)) + (port (array (rename din_re "din_re[15:0]") 16) (direction INPUT)) + (port (array (rename din_im "din_im[15:0]") 16) (direction INPUT)) + (port (array (rename a0_re "a0_re[31:0]") 32) (direction INPUT)) + (port (array (rename a0_im "a0_im[31:0]") 32) (direction INPUT)) + (port (array (rename b0_re "b0_re[31:0]") 32) (direction INPUT)) + (port (array (rename b0_im "b0_im[31:0]") 32) (direction INPUT)) + (port (array (rename a1_re "a1_re[31:0]") 32) (direction INPUT)) + (port (array (rename a1_im "a1_im[31:0]") 32) (direction INPUT)) + (port (array (rename b1_re "b1_re[31:0]") 32) (direction INPUT)) + (port (array (rename b1_im "b1_im[31:0]") 32) (direction INPUT)) + (port (array (rename a2_re "a2_re[31:0]") 32) (direction INPUT)) + (port (array (rename a2_im "a2_im[31:0]") 32) (direction INPUT)) + (port (array (rename b2_re "b2_re[31:0]") 32) (direction INPUT)) + (port (array (rename b2_im "b2_im[31:0]") 32) (direction INPUT)) + (port (array (rename a3_re "a3_re[31:0]") 32) (direction INPUT)) + (port (array (rename a3_im "a3_im[31:0]") 32) (direction INPUT)) + (port (array (rename b3_re "b3_re[31:0]") 32) (direction INPUT)) + (port (array (rename b3_im "b3_im[31:0]") 32) (direction INPUT)) + (port (array (rename a4_re "a4_re[31:0]") 32) (direction INPUT)) + (port (array (rename a4_im "a4_im[31:0]") 32) (direction INPUT)) + (port (array (rename b4_re "b4_re[31:0]") 32) (direction INPUT)) + (port (array (rename b4_im "b4_im[31:0]") 32) (direction INPUT)) + (port (array (rename a5_re "a5_re[31:0]") 32) (direction INPUT)) + (port (array (rename a5_im "a5_im[31:0]") 32) (direction INPUT)) + (port (array (rename b5_re "b5_re[31:0]") 32) (direction INPUT)) + (port (array (rename b5_im "b5_im[31:0]") 32) (direction INPUT)) + (port (array (rename dout0 "dout0[15:0]") 16) (direction OUTPUT)) + (port (array (rename dout1 "dout1[15:0]") 16) (direction OUTPUT)) + (port (array (rename dout2 "dout2[15:0]") 16) (direction OUTPUT)) + (port (array (rename dout3 "dout3[15:0]") 16) (direction OUTPUT)) + (port clk (direction INPUT)) + (port rstn (direction INPUT)) + (port en (direction INPUT)) + (port tc_bypass (direction INPUT)) + (port vldi (direction INPUT)) + (port vldo (direction OUTPUT)) + (port saturation_0 (direction OUTPUT)) + (port saturation_1 (direction OUTPUT)) + (port saturation_2 (direction OUTPUT)) + (port saturation_3 (direction OUTPUT)) + (port saturation_4 (direction OUTPUT)) + (port saturation_5 (direction OUTPUT)) + ) + (contents + (instance GND (viewRef PRIM (cellRef GND (libraryRef UNILIB))) ) + (instance VCC (viewRef PRIM (cellRef VCC (libraryRef UNILIB))) ) + (instance (rename vldo_r_2_0 "vldo_r_2[0]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename vldo_r_0_0 "vldo_r_0[0]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename vldo_r_1_0 "vldo_r_1[0]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename doutf_0_4_15 "doutf_0_4[15]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hE4")) + ) + (instance (rename doutf_0_4_14 "doutf_0_4[14]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hD8")) + ) + (instance (rename doutf_0_4_13 "doutf_0_4[13]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hE4")) + ) + (instance (rename doutf_0_4_12 "doutf_0_4[12]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hE4")) + ) + (instance (rename doutf_0_4_11 "doutf_0_4[11]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hE4")) + ) + (instance (rename doutf_0_4_10 "doutf_0_4[10]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hD8")) + ) + (instance (rename doutf_0_4_9 "doutf_0_4[9]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hE4")) + ) + (instance (rename doutf_0_4_8 "doutf_0_4[8]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hE4")) + ) + (instance (rename doutf_0_4_7 "doutf_0_4[7]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hD8")) + ) + (instance (rename doutf_0_4_6 "doutf_0_4[6]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hD8")) + ) + (instance (rename doutf_0_4_5 "doutf_0_4[5]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hE4")) + ) + (instance (rename doutf_0_4_4 "doutf_0_4[4]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hE4")) + ) + (instance (rename doutf_0_4_3 "doutf_0_4[3]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hE4")) + ) + (instance (rename doutf_0_4_2 "doutf_0_4[2]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hE4")) + ) + (instance (rename doutf_0_4_1 "doutf_0_4[1]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hD8")) + ) + (instance (rename doutf_0_4_0 "doutf_0_4[0]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hD8")) + ) + (instance (rename doutf_1_4_15 "doutf_1_4[15]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hE4")) + ) + (instance (rename doutf_1_4_14 "doutf_1_4[14]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hE4")) + ) + (instance (rename doutf_1_4_13 "doutf_1_4[13]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hE4")) + ) + (instance (rename doutf_1_4_12 "doutf_1_4[12]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hE4")) + ) + (instance (rename doutf_1_4_11 "doutf_1_4[11]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hE4")) + ) + (instance (rename doutf_1_4_10 "doutf_1_4[10]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hE4")) + ) + (instance (rename doutf_1_4_9 "doutf_1_4[9]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hE4")) + ) + (instance (rename doutf_1_4_8 "doutf_1_4[8]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hE4")) + ) + (instance (rename doutf_1_4_7 "doutf_1_4[7]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hE4")) + ) + (instance (rename doutf_1_4_6 "doutf_1_4[6]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hE4")) + ) + (instance (rename doutf_1_4_5 "doutf_1_4[5]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hE4")) + ) + (instance (rename doutf_1_4_4 "doutf_1_4[4]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hE4")) + ) + (instance (rename doutf_1_4_3 "doutf_1_4[3]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hE4")) + ) + (instance (rename doutf_1_4_2 "doutf_1_4[2]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hE4")) + ) + (instance (rename doutf_1_4_1 "doutf_1_4[1]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hE4")) + ) + (instance (rename doutf_1_4_0 "doutf_1_4[0]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hE4")) + ) + (instance (rename doutf_2_4_15 "doutf_2_4[15]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hE4")) + ) + (instance (rename doutf_2_4_14 "doutf_2_4[14]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hE4")) + ) + (instance (rename doutf_2_4_13 "doutf_2_4[13]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hE4")) + ) + (instance (rename doutf_2_4_12 "doutf_2_4[12]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hE4")) + ) + (instance (rename doutf_2_4_11 "doutf_2_4[11]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hE4")) + ) + (instance (rename doutf_2_4_10 "doutf_2_4[10]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hE4")) + ) + (instance (rename doutf_2_4_9 "doutf_2_4[9]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hD8")) + ) + (instance (rename doutf_2_4_8 "doutf_2_4[8]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hE4")) + ) + (instance (rename doutf_2_4_7 "doutf_2_4[7]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hE4")) + ) + (instance (rename doutf_2_4_6 "doutf_2_4[6]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hE4")) + ) + (instance (rename doutf_2_4_5 "doutf_2_4[5]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hE4")) + ) + (instance (rename doutf_2_4_4 "doutf_2_4[4]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hD8")) + ) + (instance (rename doutf_2_4_3 "doutf_2_4[3]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hD8")) + ) + (instance (rename doutf_2_4_2 "doutf_2_4[2]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hE4")) + ) + (instance (rename doutf_2_4_1 "doutf_2_4[1]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hD8")) + ) + (instance (rename doutf_2_4_0 "doutf_2_4[0]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hD8")) + ) + (instance (rename doutf_3_4_15 "doutf_3_4[15]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hE4")) + ) + (instance (rename doutf_3_4_14 "doutf_3_4[14]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hE4")) + ) + (instance (rename doutf_3_4_13 "doutf_3_4[13]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hD8")) + ) + (instance (rename doutf_3_4_12 "doutf_3_4[12]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hE4")) + ) + (instance (rename doutf_3_4_11 "doutf_3_4[11]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hD8")) + ) + (instance (rename doutf_3_4_10 "doutf_3_4[10]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hD8")) + ) + (instance (rename doutf_3_4_9 "doutf_3_4[9]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hD8")) + ) + (instance (rename doutf_3_4_8 "doutf_3_4[8]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hD8")) + ) + (instance (rename doutf_3_4_7 "doutf_3_4[7]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hE4")) + ) + (instance (rename doutf_3_4_6 "doutf_3_4[6]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hD8")) + ) + (instance (rename doutf_3_4_5 "doutf_3_4[5]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hD8")) + ) + (instance (rename doutf_3_4_4 "doutf_3_4[4]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hD8")) + ) + (instance (rename doutf_3_4_3 "doutf_3_4[3]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hE4")) + ) + (instance (rename doutf_3_4_2 "doutf_3_4[2]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hE4")) + ) + (instance (rename doutf_3_4_1 "doutf_3_4[1]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hD8")) + ) + (instance (rename doutf_3_4_0 "doutf_3_4[0]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hE4")) + ) + (instance (rename vldo_r_1172_0_DOUT_RNIOLJH_0 "vldo_r_1172_0_DOUT_RNIOLJH[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance vldo_r_1176_1226_RNID20N (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) + ) + (instance (rename vldo_r_1172_0_inst_TailCorr_top_din_r4_1 "vldo_r_1172_0_inst_TailCorr_top.din_r4_1") (viewRef PRIM (cellRef SRL16E (libraryRef VIRTEX))) + ) + (instance vldo_r_1176_0_vldo_r_1176_1 (viewRef PRIM (cellRef SRL16E (libraryRef VIRTEX))) + ) + (instance vldo_r_vldo_r_1 (viewRef PRIM (cellRef SRL16E (libraryRef VIRTEX))) + ) + (instance vldo_r_1176_1226 (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename vldo_r_1172_0_DOUT_0 "vldo_r_1172_0_DOUT[0]") (viewRef PRIM (cellRef FDE (libraryRef UNILIB))) + ) + (instance (rename vldo_r_1176_0_DOUT_0 "vldo_r_1176_0_DOUT[0]") (viewRef PRIM (cellRef FDE (libraryRef UNILIB))) + ) + (instance (rename vldo_r_DOUT_0 "vldo_r_DOUT[0]") (viewRef PRIM (cellRef FDE (libraryRef UNILIB))) + ) + (instance (rename vldo_r_0 "vldo_r[0]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename doutf_0_14 "doutf_0[14]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_0_15 "doutf_0[15]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_1_15 "doutf_1[15]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_0_0 "doutf_0[0]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_0_1 "doutf_0[1]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_0_2 "doutf_0[2]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_0_3 "doutf_0[3]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_0_4 "doutf_0[4]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_0_5 "doutf_0[5]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_0_6 "doutf_0[6]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_0_7 "doutf_0[7]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_0_8 "doutf_0[8]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_0_9 "doutf_0[9]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_0_10 "doutf_0[10]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_0_11 "doutf_0[11]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_0_12 "doutf_0[12]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_0_13 "doutf_0[13]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_1_0 "doutf_1[0]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_1_1 "doutf_1[1]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_1_2 "doutf_1[2]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_1_3 "doutf_1[3]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_1_4 "doutf_1[4]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_1_5 "doutf_1[5]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_1_6 "doutf_1[6]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_1_7 "doutf_1[7]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_1_8 "doutf_1[8]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_1_9 "doutf_1[9]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_1_10 "doutf_1[10]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_1_11 "doutf_1[11]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_1_12 "doutf_1[12]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_1_13 "doutf_1[13]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_1_14 "doutf_1[14]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_2_1 "doutf_2[1]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_2_2 "doutf_2[2]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_2_3 "doutf_2[3]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_2_4 "doutf_2[4]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_2_5 "doutf_2[5]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_2_6 "doutf_2[6]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_2_7 "doutf_2[7]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_2_8 "doutf_2[8]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_2_9 "doutf_2[9]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_2_10 "doutf_2[10]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_2_11 "doutf_2[11]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_2_12 "doutf_2[12]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_2_13 "doutf_2[13]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_2_14 "doutf_2[14]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_2_15 "doutf_2[15]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_3_2 "doutf_3[2]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_3_3 "doutf_3[3]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_3_4 "doutf_3[4]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_3_5 "doutf_3[5]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_3_6 "doutf_3[6]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_3_7 "doutf_3[7]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_3_8 "doutf_3[8]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_3_9 "doutf_3[9]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_3_10 "doutf_3[10]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_3_11 "doutf_3[11]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_3_12 "doutf_3[12]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_3_13 "doutf_3[13]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_3_14 "doutf_3[14]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_3_15 "doutf_3[15]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_2_0 "doutf_2[0]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_3_0 "doutf_3[0]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename doutf_3_1 "doutf_3[1]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance rstn_buf (viewRef PRIM (cellRef BUFG (libraryRef VIRTEX))) + ) + (instance inst_TailCorr_top (viewRef netlist (cellRef TailCorr_top)) + ) + (instance inst_MeanIntp_8 (viewRef netlist (cellRef MeanIntp_8)) + ) + (net clk (joined + (portRef clk) + (portRef clk (instanceRef inst_MeanIntp_8)) + (portRef clk (instanceRef inst_TailCorr_top)) + (portRef C (instanceRef doutf_3_1)) + (portRef C (instanceRef doutf_3_0)) + (portRef C (instanceRef doutf_2_0)) + (portRef C (instanceRef doutf_3_15)) + (portRef C (instanceRef doutf_3_14)) + (portRef C (instanceRef doutf_3_13)) + (portRef C (instanceRef doutf_3_12)) + (portRef C (instanceRef doutf_3_11)) + (portRef C (instanceRef doutf_3_10)) + (portRef C (instanceRef doutf_3_9)) + (portRef C (instanceRef doutf_3_8)) + (portRef C (instanceRef doutf_3_7)) + (portRef C (instanceRef doutf_3_6)) + (portRef C (instanceRef doutf_3_5)) + (portRef C (instanceRef doutf_3_4)) + (portRef C (instanceRef doutf_3_3)) + (portRef C (instanceRef doutf_3_2)) + (portRef C (instanceRef doutf_2_15)) + (portRef C (instanceRef doutf_2_14)) + (portRef C (instanceRef doutf_2_13)) + (portRef C (instanceRef doutf_2_12)) + (portRef C (instanceRef doutf_2_11)) + (portRef C (instanceRef doutf_2_10)) + (portRef C (instanceRef doutf_2_9)) + (portRef C (instanceRef doutf_2_8)) + (portRef C (instanceRef doutf_2_7)) + (portRef C (instanceRef doutf_2_6)) + (portRef C (instanceRef doutf_2_5)) + (portRef C (instanceRef doutf_2_4)) + (portRef C (instanceRef doutf_2_3)) + (portRef C (instanceRef doutf_2_2)) + (portRef C (instanceRef doutf_2_1)) + (portRef C (instanceRef doutf_1_14)) + (portRef C (instanceRef doutf_1_13)) + (portRef C (instanceRef doutf_1_12)) + (portRef C (instanceRef doutf_1_11)) + (portRef C (instanceRef doutf_1_10)) + (portRef C (instanceRef doutf_1_9)) + (portRef C (instanceRef doutf_1_8)) + (portRef C (instanceRef doutf_1_7)) + (portRef C (instanceRef doutf_1_6)) + (portRef C (instanceRef doutf_1_5)) + (portRef C (instanceRef doutf_1_4)) + (portRef C (instanceRef doutf_1_3)) + (portRef C (instanceRef doutf_1_2)) + (portRef C (instanceRef doutf_1_1)) + (portRef C (instanceRef doutf_1_0)) + (portRef C (instanceRef doutf_0_13)) + (portRef C (instanceRef doutf_0_12)) + (portRef C (instanceRef doutf_0_11)) + (portRef C (instanceRef doutf_0_10)) + (portRef C (instanceRef doutf_0_9)) + (portRef C (instanceRef doutf_0_8)) + (portRef C (instanceRef doutf_0_7)) + (portRef C (instanceRef doutf_0_6)) + (portRef C (instanceRef doutf_0_5)) + (portRef C (instanceRef doutf_0_4)) + (portRef C (instanceRef doutf_0_3)) + (portRef C (instanceRef doutf_0_2)) + (portRef C (instanceRef doutf_0_1)) + (portRef C (instanceRef doutf_0_0)) + (portRef C (instanceRef doutf_1_15)) + (portRef C (instanceRef doutf_0_15)) + (portRef C (instanceRef doutf_0_14)) + (portRef C (instanceRef vldo_r_0)) + (portRef C (instanceRef vldo_r_DOUT_0)) + (portRef C (instanceRef vldo_r_1176_0_DOUT_0)) + (portRef C (instanceRef vldo_r_1172_0_DOUT_0)) + (portRef C (instanceRef vldo_r_1176_1226)) + (portRef CLK (instanceRef vldo_r_vldo_r_1)) + (portRef CLK (instanceRef vldo_r_1176_0_vldo_r_1176_1)) + (portRef CLK (instanceRef vldo_r_1172_0_inst_TailCorr_top_din_r4_1)) + (portRef C (instanceRef vldo_r_1_0)) + (portRef C (instanceRef vldo_r_0_0)) + (portRef C (instanceRef vldo_r_2_0)) + )) + (net rstn (joined + (portRef rstn) + (portRef rstn (instanceRef inst_MeanIntp_8)) + (portRef rstn (instanceRef inst_TailCorr_top)) + )) + (net en (joined + (portRef en) + (portRef en (instanceRef inst_MeanIntp_8)) + (portRef en (instanceRef inst_TailCorr_top)) + (portRef CE (instanceRef vldo_r_0)) + (portRef CE (instanceRef vldo_r_DOUT_0)) + (portRef CE (instanceRef vldo_r_1176_0_DOUT_0)) + (portRef CE (instanceRef vldo_r_1172_0_DOUT_0)) + (portRef CE (instanceRef vldo_r_1176_1226)) + (portRef CE (instanceRef vldo_r_vldo_r_1)) + (portRef CE (instanceRef vldo_r_1176_0_vldo_r_1176_1)) + (portRef CE (instanceRef vldo_r_1172_0_inst_TailCorr_top_din_r4_1)) + (portRef I0 (instanceRef doutf_3_4_0)) + (portRef I0 (instanceRef doutf_3_4_1)) + (portRef I0 (instanceRef doutf_3_4_2)) + (portRef I0 (instanceRef doutf_3_4_3)) + (portRef I0 (instanceRef doutf_3_4_4)) + (portRef I0 (instanceRef doutf_3_4_5)) + (portRef I0 (instanceRef doutf_3_4_6)) + (portRef I0 (instanceRef doutf_3_4_7)) + (portRef I0 (instanceRef doutf_3_4_8)) + (portRef I0 (instanceRef doutf_3_4_9)) + (portRef I0 (instanceRef doutf_3_4_10)) + (portRef I0 (instanceRef doutf_3_4_11)) + (portRef I0 (instanceRef doutf_3_4_12)) + (portRef I0 (instanceRef doutf_3_4_13)) + (portRef I0 (instanceRef doutf_3_4_14)) + (portRef I0 (instanceRef doutf_3_4_15)) + (portRef I0 (instanceRef doutf_2_4_0)) + (portRef I0 (instanceRef doutf_2_4_1)) + (portRef I0 (instanceRef doutf_2_4_2)) + (portRef I0 (instanceRef doutf_2_4_3)) + (portRef I0 (instanceRef doutf_2_4_4)) + (portRef I0 (instanceRef doutf_2_4_5)) + (portRef I0 (instanceRef doutf_2_4_6)) + (portRef I0 (instanceRef doutf_2_4_7)) + (portRef I0 (instanceRef doutf_2_4_8)) + (portRef I0 (instanceRef doutf_2_4_9)) + (portRef I0 (instanceRef doutf_2_4_10)) + (portRef I0 (instanceRef doutf_2_4_11)) + (portRef I0 (instanceRef doutf_2_4_12)) + (portRef I0 (instanceRef doutf_2_4_13)) + (portRef I0 (instanceRef doutf_2_4_14)) + (portRef I0 (instanceRef doutf_2_4_15)) + (portRef I0 (instanceRef doutf_1_4_0)) + (portRef I0 (instanceRef doutf_1_4_1)) + (portRef I0 (instanceRef doutf_1_4_2)) + (portRef I0 (instanceRef doutf_1_4_3)) + (portRef I0 (instanceRef doutf_1_4_4)) + (portRef I0 (instanceRef doutf_1_4_5)) + (portRef I0 (instanceRef doutf_1_4_6)) + (portRef I0 (instanceRef doutf_1_4_7)) + (portRef I0 (instanceRef doutf_1_4_8)) + (portRef I0 (instanceRef doutf_1_4_9)) + (portRef I0 (instanceRef doutf_1_4_10)) + (portRef I0 (instanceRef doutf_1_4_11)) + (portRef I0 (instanceRef doutf_1_4_12)) + (portRef I0 (instanceRef doutf_1_4_13)) + (portRef I0 (instanceRef doutf_1_4_14)) + (portRef I0 (instanceRef doutf_1_4_15)) + (portRef I0 (instanceRef doutf_0_4_0)) + (portRef I0 (instanceRef doutf_0_4_1)) + (portRef I0 (instanceRef doutf_0_4_2)) + (portRef I0 (instanceRef doutf_0_4_3)) + (portRef I0 (instanceRef doutf_0_4_4)) + (portRef I0 (instanceRef doutf_0_4_5)) + (portRef I0 (instanceRef doutf_0_4_6)) + (portRef I0 (instanceRef doutf_0_4_7)) + (portRef I0 (instanceRef doutf_0_4_8)) + (portRef I0 (instanceRef doutf_0_4_9)) + (portRef I0 (instanceRef doutf_0_4_10)) + (portRef I0 (instanceRef doutf_0_4_11)) + (portRef I0 (instanceRef doutf_0_4_12)) + (portRef I0 (instanceRef doutf_0_4_13)) + (portRef I0 (instanceRef doutf_0_4_14)) + (portRef I0 (instanceRef doutf_0_4_15)) + (portRef CE (instanceRef vldo_r_1_0)) + (portRef CE (instanceRef vldo_r_0_0)) + (portRef CE (instanceRef vldo_r_2_0)) + )) + (net (rename dac_mode_sel_0 "dac_mode_sel[0]") (joined + (portRef (member dac_mode_sel 1)) + )) + (net (rename dac_mode_sel_1 "dac_mode_sel[1]") (joined + (portRef (member dac_mode_sel 0)) + )) + (net tc_bypass (joined + (portRef tc_bypass) + (portRef tc_bypass (instanceRef inst_TailCorr_top)) + )) + (net (rename intp_mode_0 "intp_mode[0]") (joined + (portRef (member intp_mode 1)) + (portRef (member intp_mode 1) (instanceRef inst_MeanIntp_8)) + )) + (net (rename intp_mode_1 "intp_mode[1]") (joined + (portRef (member intp_mode 0)) + (portRef (member intp_mode 0) (instanceRef inst_MeanIntp_8)) + )) + (net vldi (joined + (portRef vldi) + (portRef D (instanceRef vldo_r_0)) + )) + (net (rename din_im_0 "din_im[0]") (joined + (portRef (member din_im 15)) + )) + (net (rename din_im_1 "din_im[1]") (joined + (portRef (member din_im 14)) + )) + (net (rename din_im_2 "din_im[2]") (joined + (portRef (member din_im 13)) + )) + (net (rename din_im_3 "din_im[3]") (joined + (portRef (member din_im 12)) + )) + (net (rename din_im_4 "din_im[4]") (joined + (portRef (member din_im 11)) + )) + (net (rename din_im_5 "din_im[5]") (joined + (portRef (member din_im 10)) + )) + (net (rename din_im_6 "din_im[6]") (joined + (portRef (member din_im 9)) + )) + (net (rename din_im_7 "din_im[7]") (joined + (portRef (member din_im 8)) + )) + (net (rename din_im_8 "din_im[8]") (joined + (portRef (member din_im 7)) + )) + (net (rename din_im_9 "din_im[9]") (joined + (portRef (member din_im 6)) + )) + (net (rename din_im_10 "din_im[10]") (joined + (portRef (member din_im 5)) + )) + (net (rename din_im_11 "din_im[11]") (joined + (portRef (member din_im 4)) + )) + (net (rename din_im_12 "din_im[12]") (joined + (portRef (member din_im 3)) + )) + (net (rename din_im_13 "din_im[13]") (joined + (portRef (member din_im 2)) + )) + (net (rename din_im_14 "din_im[14]") (joined + (portRef (member din_im 1)) + )) + (net (rename din_im_15 "din_im[15]") (joined + (portRef (member din_im 0)) + )) + (net (rename a0_re_0 "a0_re[0]") (joined + (portRef (member a0_re 31)) + (portRef (member a0_re 31) (instanceRef inst_TailCorr_top)) + )) + (net (rename a0_re_1 "a0_re[1]") (joined + (portRef (member a0_re 30)) + (portRef (member a0_re 30) (instanceRef inst_TailCorr_top)) + )) + (net (rename a0_re_2 "a0_re[2]") (joined + (portRef (member a0_re 29)) + (portRef (member a0_re 29) (instanceRef inst_TailCorr_top)) + )) + (net (rename a0_re_3 "a0_re[3]") (joined + (portRef (member a0_re 28)) + (portRef (member a0_re 28) (instanceRef inst_TailCorr_top)) + )) + (net (rename a0_re_4 "a0_re[4]") (joined + (portRef (member a0_re 27)) + (portRef (member a0_re 27) (instanceRef inst_TailCorr_top)) + )) + (net (rename a0_re_5 "a0_re[5]") (joined + (portRef (member a0_re 26)) + (portRef (member a0_re 26) (instanceRef inst_TailCorr_top)) + )) + (net (rename a0_re_6 "a0_re[6]") (joined + (portRef (member a0_re 25)) + (portRef (member a0_re 25) (instanceRef inst_TailCorr_top)) + )) + (net (rename a0_re_7 "a0_re[7]") (joined + (portRef (member a0_re 24)) + (portRef (member a0_re 24) (instanceRef inst_TailCorr_top)) + )) + (net (rename a0_re_8 "a0_re[8]") (joined + (portRef (member a0_re 23)) + (portRef (member a0_re 23) (instanceRef inst_TailCorr_top)) + )) + (net (rename a0_re_9 "a0_re[9]") (joined + (portRef (member a0_re 22)) + (portRef (member a0_re 22) (instanceRef inst_TailCorr_top)) + )) + (net (rename a0_re_10 "a0_re[10]") (joined + (portRef (member a0_re 21)) + (portRef (member a0_re 21) (instanceRef inst_TailCorr_top)) + )) + (net (rename a0_re_11 "a0_re[11]") (joined + (portRef (member a0_re 20)) + (portRef (member a0_re 20) (instanceRef inst_TailCorr_top)) + )) + (net (rename a0_re_12 "a0_re[12]") (joined + (portRef (member a0_re 19)) + (portRef (member a0_re 19) (instanceRef inst_TailCorr_top)) + )) + (net (rename a0_re_13 "a0_re[13]") (joined + (portRef (member a0_re 18)) + (portRef (member a0_re 18) (instanceRef inst_TailCorr_top)) + )) + (net (rename a0_re_14 "a0_re[14]") (joined + (portRef (member a0_re 17)) + (portRef (member a0_re 17) (instanceRef inst_TailCorr_top)) + )) + (net (rename a0_re_15 "a0_re[15]") (joined + (portRef (member a0_re 16)) + (portRef (member a0_re 16) (instanceRef inst_TailCorr_top)) + )) + (net (rename a0_re_16 "a0_re[16]") (joined + (portRef (member a0_re 15)) + (portRef (member a0_re 15) (instanceRef inst_TailCorr_top)) + )) + (net (rename a0_re_17 "a0_re[17]") (joined + (portRef (member a0_re 14)) + (portRef (member a0_re 14) (instanceRef inst_TailCorr_top)) + )) + (net (rename a0_re_18 "a0_re[18]") (joined + (portRef (member a0_re 13)) + (portRef (member a0_re 13) (instanceRef inst_TailCorr_top)) + )) + (net (rename a0_re_19 "a0_re[19]") (joined + (portRef (member a0_re 12)) + (portRef (member a0_re 12) (instanceRef inst_TailCorr_top)) + )) + (net (rename a0_re_20 "a0_re[20]") (joined + (portRef (member a0_re 11)) + (portRef (member a0_re 11) (instanceRef inst_TailCorr_top)) + )) + (net (rename a0_re_21 "a0_re[21]") (joined + (portRef (member a0_re 10)) + (portRef (member a0_re 10) (instanceRef inst_TailCorr_top)) + )) + (net (rename a0_re_22 "a0_re[22]") (joined + (portRef (member a0_re 9)) + (portRef (member a0_re 9) (instanceRef inst_TailCorr_top)) + )) + (net (rename a0_re_23 "a0_re[23]") (joined + (portRef (member a0_re 8)) + (portRef (member a0_re 8) (instanceRef inst_TailCorr_top)) + )) + (net (rename a0_re_24 "a0_re[24]") (joined + (portRef (member a0_re 7)) + (portRef (member a0_re 7) (instanceRef inst_TailCorr_top)) + )) + (net (rename a0_re_25 "a0_re[25]") (joined + (portRef (member a0_re 6)) + (portRef (member a0_re 6) (instanceRef inst_TailCorr_top)) + )) + (net (rename a0_re_26 "a0_re[26]") (joined + (portRef (member a0_re 5)) + (portRef (member a0_re 5) (instanceRef inst_TailCorr_top)) + )) + (net (rename a0_re_27 "a0_re[27]") (joined + (portRef (member a0_re 4)) + (portRef (member a0_re 4) (instanceRef inst_TailCorr_top)) + )) + (net (rename a0_re_28 "a0_re[28]") (joined + (portRef (member a0_re 3)) + (portRef (member a0_re 3) (instanceRef inst_TailCorr_top)) + )) + (net (rename a0_re_29 "a0_re[29]") (joined + (portRef (member a0_re 2)) + (portRef (member a0_re 2) (instanceRef inst_TailCorr_top)) + )) + (net (rename a0_re_30 "a0_re[30]") (joined + (portRef (member a0_re 1)) + (portRef (member a0_re 1) (instanceRef inst_TailCorr_top)) + )) + (net (rename a0_re_31 "a0_re[31]") (joined + (portRef (member a0_re 0)) + (portRef (member a0_re 0) (instanceRef inst_TailCorr_top)) + )) + (net (rename a0_im_0 "a0_im[0]") (joined + (portRef (member a0_im 31)) + )) + (net (rename a0_im_1 "a0_im[1]") (joined + (portRef (member a0_im 30)) + )) + (net (rename a0_im_2 "a0_im[2]") (joined + (portRef (member a0_im 29)) + )) + (net (rename a0_im_3 "a0_im[3]") (joined + (portRef (member a0_im 28)) + )) + (net (rename a0_im_4 "a0_im[4]") (joined + (portRef (member a0_im 27)) + )) + (net (rename a0_im_5 "a0_im[5]") (joined + (portRef (member a0_im 26)) + )) + (net (rename a0_im_6 "a0_im[6]") (joined + (portRef (member a0_im 25)) + )) + (net (rename a0_im_7 "a0_im[7]") (joined + (portRef (member a0_im 24)) + )) + (net (rename a0_im_8 "a0_im[8]") (joined + (portRef (member a0_im 23)) + )) + (net (rename a0_im_9 "a0_im[9]") (joined + (portRef (member a0_im 22)) + )) + (net (rename a0_im_10 "a0_im[10]") (joined + (portRef (member a0_im 21)) + )) + (net (rename a0_im_11 "a0_im[11]") (joined + (portRef (member a0_im 20)) + )) + (net (rename a0_im_12 "a0_im[12]") (joined + (portRef (member a0_im 19)) + )) + (net (rename a0_im_13 "a0_im[13]") (joined + (portRef (member a0_im 18)) + )) + (net (rename a0_im_14 "a0_im[14]") (joined + (portRef (member a0_im 17)) + )) + (net (rename a0_im_15 "a0_im[15]") (joined + (portRef (member a0_im 16)) + )) + (net (rename a0_im_16 "a0_im[16]") (joined + (portRef (member a0_im 15)) + )) + (net (rename a0_im_17 "a0_im[17]") (joined + (portRef (member a0_im 14)) + )) + (net (rename a0_im_18 "a0_im[18]") (joined + (portRef (member a0_im 13)) + )) + (net (rename a0_im_19 "a0_im[19]") (joined + (portRef (member a0_im 12)) + )) + (net (rename a0_im_20 "a0_im[20]") (joined + (portRef (member a0_im 11)) + )) + (net (rename a0_im_21 "a0_im[21]") (joined + (portRef (member a0_im 10)) + )) + (net (rename a0_im_22 "a0_im[22]") (joined + (portRef (member a0_im 9)) + )) + (net (rename a0_im_23 "a0_im[23]") (joined + (portRef (member a0_im 8)) + )) + (net (rename a0_im_24 "a0_im[24]") (joined + (portRef (member a0_im 7)) + )) + (net (rename a0_im_25 "a0_im[25]") (joined + (portRef (member a0_im 6)) + )) + (net (rename a0_im_26 "a0_im[26]") (joined + (portRef (member a0_im 5)) + )) + (net (rename a0_im_27 "a0_im[27]") (joined + (portRef (member a0_im 4)) + )) + (net (rename a0_im_28 "a0_im[28]") (joined + (portRef (member a0_im 3)) + )) + (net (rename a0_im_29 "a0_im[29]") (joined + (portRef (member a0_im 2)) + )) + (net (rename a0_im_30 "a0_im[30]") (joined + (portRef (member a0_im 1)) + )) + (net (rename a0_im_31 "a0_im[31]") (joined + (portRef (member a0_im 0)) + )) + (net (rename b0_re_0 "b0_re[0]") (joined + (portRef (member b0_re 31)) + (portRef (member b0_re 31) (instanceRef inst_TailCorr_top)) + )) + (net (rename b0_re_1 "b0_re[1]") (joined + (portRef (member b0_re 30)) + (portRef (member b0_re 30) (instanceRef inst_TailCorr_top)) + )) + (net (rename b0_re_2 "b0_re[2]") (joined + (portRef (member b0_re 29)) + (portRef (member b0_re 29) (instanceRef inst_TailCorr_top)) + )) + (net (rename b0_re_3 "b0_re[3]") (joined + (portRef (member b0_re 28)) + (portRef (member b0_re 28) (instanceRef inst_TailCorr_top)) + )) + (net (rename b0_re_4 "b0_re[4]") (joined + (portRef (member b0_re 27)) + (portRef (member b0_re 27) (instanceRef inst_TailCorr_top)) + )) + (net (rename b0_re_5 "b0_re[5]") (joined + (portRef (member b0_re 26)) + (portRef (member b0_re 26) (instanceRef inst_TailCorr_top)) + )) + (net (rename b0_re_6 "b0_re[6]") (joined + (portRef (member b0_re 25)) + (portRef (member b0_re 25) (instanceRef inst_TailCorr_top)) + )) + (net (rename b0_re_7 "b0_re[7]") (joined + (portRef (member b0_re 24)) + (portRef (member b0_re 24) (instanceRef inst_TailCorr_top)) + )) + (net (rename b0_re_8 "b0_re[8]") (joined + (portRef (member b0_re 23)) + (portRef (member b0_re 23) (instanceRef inst_TailCorr_top)) + )) + (net (rename b0_re_9 "b0_re[9]") (joined + (portRef (member b0_re 22)) + (portRef (member b0_re 22) (instanceRef inst_TailCorr_top)) + )) + (net (rename b0_re_10 "b0_re[10]") (joined + (portRef (member b0_re 21)) + (portRef (member b0_re 21) (instanceRef inst_TailCorr_top)) + )) + (net (rename b0_re_11 "b0_re[11]") (joined + (portRef (member b0_re 20)) + (portRef (member b0_re 20) (instanceRef inst_TailCorr_top)) + )) + (net (rename b0_re_12 "b0_re[12]") (joined + (portRef (member b0_re 19)) + (portRef (member b0_re 19) (instanceRef inst_TailCorr_top)) + )) + (net (rename b0_re_13 "b0_re[13]") (joined + (portRef (member b0_re 18)) + (portRef (member b0_re 18) (instanceRef inst_TailCorr_top)) + )) + (net (rename b0_re_14 "b0_re[14]") (joined + (portRef (member b0_re 17)) + (portRef (member b0_re 17) (instanceRef inst_TailCorr_top)) + )) + (net (rename b0_re_15 "b0_re[15]") (joined + (portRef (member b0_re 16)) + (portRef (member b0_re 16) (instanceRef inst_TailCorr_top)) + )) + (net (rename b0_re_16 "b0_re[16]") (joined + (portRef (member b0_re 15)) + (portRef (member b0_re 15) (instanceRef inst_TailCorr_top)) + )) + (net (rename b0_re_17 "b0_re[17]") (joined + (portRef (member b0_re 14)) + (portRef (member b0_re 14) (instanceRef inst_TailCorr_top)) + )) + (net (rename b0_re_18 "b0_re[18]") (joined + (portRef (member b0_re 13)) + (portRef (member b0_re 13) (instanceRef inst_TailCorr_top)) + )) + (net (rename b0_re_19 "b0_re[19]") (joined + (portRef (member b0_re 12)) + (portRef (member b0_re 12) (instanceRef inst_TailCorr_top)) + )) + (net (rename b0_re_20 "b0_re[20]") (joined + (portRef (member b0_re 11)) + (portRef (member b0_re 11) (instanceRef inst_TailCorr_top)) + )) + (net (rename b0_re_21 "b0_re[21]") (joined + (portRef (member b0_re 10)) + (portRef (member b0_re 10) (instanceRef inst_TailCorr_top)) + )) + (net (rename b0_re_22 "b0_re[22]") (joined + (portRef (member b0_re 9)) + (portRef (member b0_re 9) (instanceRef inst_TailCorr_top)) + )) + (net (rename b0_re_23 "b0_re[23]") (joined + (portRef (member b0_re 8)) + (portRef (member b0_re 8) (instanceRef inst_TailCorr_top)) + )) + (net (rename b0_re_24 "b0_re[24]") (joined + (portRef (member b0_re 7)) + (portRef (member b0_re 7) (instanceRef inst_TailCorr_top)) + )) + (net (rename b0_re_25 "b0_re[25]") (joined + (portRef (member b0_re 6)) + (portRef (member b0_re 6) (instanceRef inst_TailCorr_top)) + )) + (net (rename b0_re_26 "b0_re[26]") (joined + (portRef (member b0_re 5)) + (portRef (member b0_re 5) (instanceRef inst_TailCorr_top)) + )) + (net (rename b0_re_27 "b0_re[27]") (joined + (portRef (member b0_re 4)) + (portRef (member b0_re 4) (instanceRef inst_TailCorr_top)) + )) + (net (rename b0_re_28 "b0_re[28]") (joined + (portRef (member b0_re 3)) + (portRef (member b0_re 3) (instanceRef inst_TailCorr_top)) + )) + (net (rename b0_re_29 "b0_re[29]") (joined + (portRef (member b0_re 2)) + (portRef (member b0_re 2) (instanceRef inst_TailCorr_top)) + )) + (net (rename b0_re_30 "b0_re[30]") (joined + (portRef (member b0_re 1)) + (portRef (member b0_re 1) (instanceRef inst_TailCorr_top)) + )) + (net (rename b0_re_31 "b0_re[31]") (joined + (portRef (member b0_re 0)) + (portRef (member b0_re 0) (instanceRef inst_TailCorr_top)) + )) + (net (rename b0_im_0 "b0_im[0]") (joined + (portRef (member b0_im 31)) + )) + (net (rename b0_im_1 "b0_im[1]") (joined + (portRef (member b0_im 30)) + )) + (net (rename b0_im_2 "b0_im[2]") (joined + (portRef (member b0_im 29)) + )) + (net (rename b0_im_3 "b0_im[3]") (joined + (portRef (member b0_im 28)) + )) + (net (rename b0_im_4 "b0_im[4]") (joined + (portRef (member b0_im 27)) + )) + (net (rename b0_im_5 "b0_im[5]") (joined + (portRef (member b0_im 26)) + )) + (net (rename b0_im_6 "b0_im[6]") (joined + (portRef (member b0_im 25)) + )) + (net (rename b0_im_7 "b0_im[7]") (joined + (portRef (member b0_im 24)) + )) + (net (rename b0_im_8 "b0_im[8]") (joined + (portRef (member b0_im 23)) + )) + (net (rename b0_im_9 "b0_im[9]") (joined + (portRef (member b0_im 22)) + )) + (net (rename b0_im_10 "b0_im[10]") (joined + (portRef (member b0_im 21)) + )) + (net (rename b0_im_11 "b0_im[11]") (joined + (portRef (member b0_im 20)) + )) + (net (rename b0_im_12 "b0_im[12]") (joined + (portRef (member b0_im 19)) + )) + (net (rename b0_im_13 "b0_im[13]") (joined + (portRef (member b0_im 18)) + )) + (net (rename b0_im_14 "b0_im[14]") (joined + (portRef (member b0_im 17)) + )) + (net (rename b0_im_15 "b0_im[15]") (joined + (portRef (member b0_im 16)) + )) + (net (rename b0_im_16 "b0_im[16]") (joined + (portRef (member b0_im 15)) + )) + (net (rename b0_im_17 "b0_im[17]") (joined + (portRef (member b0_im 14)) + )) + (net (rename b0_im_18 "b0_im[18]") (joined + (portRef (member b0_im 13)) + )) + (net (rename b0_im_19 "b0_im[19]") (joined + (portRef (member b0_im 12)) + )) + (net (rename b0_im_20 "b0_im[20]") (joined + (portRef (member b0_im 11)) + )) + (net (rename b0_im_21 "b0_im[21]") (joined + (portRef (member b0_im 10)) + )) + (net (rename b0_im_22 "b0_im[22]") (joined + (portRef (member b0_im 9)) + )) + (net (rename b0_im_23 "b0_im[23]") (joined + (portRef (member b0_im 8)) + )) + (net (rename b0_im_24 "b0_im[24]") (joined + (portRef (member b0_im 7)) + )) + (net (rename b0_im_25 "b0_im[25]") (joined + (portRef (member b0_im 6)) + )) + (net (rename b0_im_26 "b0_im[26]") (joined + (portRef (member b0_im 5)) + )) + (net (rename b0_im_27 "b0_im[27]") (joined + (portRef (member b0_im 4)) + )) + (net (rename b0_im_28 "b0_im[28]") (joined + (portRef (member b0_im 3)) + )) + (net (rename b0_im_29 "b0_im[29]") (joined + (portRef (member b0_im 2)) + )) + (net (rename b0_im_30 "b0_im[30]") (joined + (portRef (member b0_im 1)) + )) + (net (rename b0_im_31 "b0_im[31]") (joined + (portRef (member b0_im 0)) + )) + (net (rename a1_re_0 "a1_re[0]") (joined + (portRef (member a1_re 31)) + (portRef (member a1_re 31) (instanceRef inst_TailCorr_top)) + )) + (net (rename a1_re_1 "a1_re[1]") (joined + (portRef (member a1_re 30)) + (portRef (member a1_re 30) (instanceRef inst_TailCorr_top)) + )) + (net (rename a1_re_2 "a1_re[2]") (joined + (portRef (member a1_re 29)) + (portRef (member a1_re 29) (instanceRef inst_TailCorr_top)) + )) + (net (rename a1_re_3 "a1_re[3]") (joined + (portRef (member a1_re 28)) + (portRef (member a1_re 28) (instanceRef inst_TailCorr_top)) + )) + (net (rename a1_re_4 "a1_re[4]") (joined + (portRef (member a1_re 27)) + (portRef (member a1_re 27) (instanceRef inst_TailCorr_top)) + )) + (net (rename a1_re_5 "a1_re[5]") (joined + (portRef (member a1_re 26)) + (portRef (member a1_re 26) (instanceRef inst_TailCorr_top)) + )) + (net (rename a1_re_6 "a1_re[6]") (joined + (portRef (member a1_re 25)) + (portRef (member a1_re 25) (instanceRef inst_TailCorr_top)) + )) + (net (rename a1_re_7 "a1_re[7]") (joined + (portRef (member a1_re 24)) + (portRef (member a1_re 24) (instanceRef inst_TailCorr_top)) + )) + (net (rename a1_re_8 "a1_re[8]") (joined + (portRef (member a1_re 23)) + (portRef (member a1_re 23) (instanceRef inst_TailCorr_top)) + )) + (net (rename a1_re_9 "a1_re[9]") (joined + (portRef (member a1_re 22)) + (portRef (member a1_re 22) (instanceRef inst_TailCorr_top)) + )) + (net (rename a1_re_10 "a1_re[10]") (joined + (portRef (member a1_re 21)) + (portRef (member a1_re 21) (instanceRef inst_TailCorr_top)) + )) + (net (rename a1_re_11 "a1_re[11]") (joined + (portRef (member a1_re 20)) + (portRef (member a1_re 20) (instanceRef inst_TailCorr_top)) + )) + (net (rename a1_re_12 "a1_re[12]") (joined + (portRef (member a1_re 19)) + (portRef (member a1_re 19) (instanceRef inst_TailCorr_top)) + )) + (net (rename a1_re_13 "a1_re[13]") (joined + (portRef (member a1_re 18)) + (portRef (member a1_re 18) (instanceRef inst_TailCorr_top)) + )) + (net (rename a1_re_14 "a1_re[14]") (joined + (portRef (member a1_re 17)) + (portRef (member a1_re 17) (instanceRef inst_TailCorr_top)) + )) + (net (rename a1_re_15 "a1_re[15]") (joined + (portRef (member a1_re 16)) + (portRef (member a1_re 16) (instanceRef inst_TailCorr_top)) + )) + (net (rename a1_re_16 "a1_re[16]") (joined + (portRef (member a1_re 15)) + (portRef (member a1_re 15) (instanceRef inst_TailCorr_top)) + )) + (net (rename a1_re_17 "a1_re[17]") (joined + (portRef (member a1_re 14)) + (portRef (member a1_re 14) (instanceRef inst_TailCorr_top)) + )) + (net (rename a1_re_18 "a1_re[18]") (joined + (portRef (member a1_re 13)) + (portRef (member a1_re 13) (instanceRef inst_TailCorr_top)) + )) + (net (rename a1_re_19 "a1_re[19]") (joined + (portRef (member a1_re 12)) + (portRef (member a1_re 12) (instanceRef inst_TailCorr_top)) + )) + (net (rename a1_re_20 "a1_re[20]") (joined + (portRef (member a1_re 11)) + (portRef (member a1_re 11) (instanceRef inst_TailCorr_top)) + )) + (net (rename a1_re_21 "a1_re[21]") (joined + (portRef (member a1_re 10)) + (portRef (member a1_re 10) (instanceRef inst_TailCorr_top)) + )) + (net (rename a1_re_22 "a1_re[22]") (joined + (portRef (member a1_re 9)) + (portRef (member a1_re 9) (instanceRef inst_TailCorr_top)) + )) + (net (rename a1_re_23 "a1_re[23]") (joined + (portRef (member a1_re 8)) + (portRef (member a1_re 8) (instanceRef inst_TailCorr_top)) + )) + (net (rename a1_re_24 "a1_re[24]") (joined + (portRef (member a1_re 7)) + (portRef (member a1_re 7) (instanceRef inst_TailCorr_top)) + )) + (net (rename a1_re_25 "a1_re[25]") (joined + (portRef (member a1_re 6)) + (portRef (member a1_re 6) (instanceRef inst_TailCorr_top)) + )) + (net (rename a1_re_26 "a1_re[26]") (joined + (portRef (member a1_re 5)) + (portRef (member a1_re 5) (instanceRef inst_TailCorr_top)) + )) + (net (rename a1_re_27 "a1_re[27]") (joined + (portRef (member a1_re 4)) + (portRef (member a1_re 4) (instanceRef inst_TailCorr_top)) + )) + (net (rename a1_re_28 "a1_re[28]") (joined + (portRef (member a1_re 3)) + (portRef (member a1_re 3) (instanceRef inst_TailCorr_top)) + )) + (net (rename a1_re_29 "a1_re[29]") (joined + (portRef (member a1_re 2)) + (portRef (member a1_re 2) (instanceRef inst_TailCorr_top)) + )) + (net (rename a1_re_30 "a1_re[30]") (joined + (portRef (member a1_re 1)) + (portRef (member a1_re 1) (instanceRef inst_TailCorr_top)) + )) + (net (rename a1_re_31 "a1_re[31]") (joined + (portRef (member a1_re 0)) + (portRef (member a1_re 0) (instanceRef inst_TailCorr_top)) + )) + (net (rename a1_im_0 "a1_im[0]") (joined + (portRef (member a1_im 31)) + )) + (net (rename a1_im_1 "a1_im[1]") (joined + (portRef (member a1_im 30)) + )) + (net (rename a1_im_2 "a1_im[2]") (joined + (portRef (member a1_im 29)) + )) + (net (rename a1_im_3 "a1_im[3]") (joined + (portRef (member a1_im 28)) + )) + (net (rename a1_im_4 "a1_im[4]") (joined + (portRef (member a1_im 27)) + )) + (net (rename a1_im_5 "a1_im[5]") (joined + (portRef (member a1_im 26)) + )) + (net (rename a1_im_6 "a1_im[6]") (joined + (portRef (member a1_im 25)) + )) + (net (rename a1_im_7 "a1_im[7]") (joined + (portRef (member a1_im 24)) + )) + (net (rename a1_im_8 "a1_im[8]") (joined + (portRef (member a1_im 23)) + )) + (net (rename a1_im_9 "a1_im[9]") (joined + (portRef (member a1_im 22)) + )) + (net (rename a1_im_10 "a1_im[10]") (joined + (portRef (member a1_im 21)) + )) + (net (rename a1_im_11 "a1_im[11]") (joined + (portRef (member a1_im 20)) + )) + (net (rename a1_im_12 "a1_im[12]") (joined + (portRef (member a1_im 19)) + )) + (net (rename a1_im_13 "a1_im[13]") (joined + (portRef (member a1_im 18)) + )) + (net (rename a1_im_14 "a1_im[14]") (joined + (portRef (member a1_im 17)) + )) + (net (rename a1_im_15 "a1_im[15]") (joined + (portRef (member a1_im 16)) + )) + (net (rename a1_im_16 "a1_im[16]") (joined + (portRef (member a1_im 15)) + )) + (net (rename a1_im_17 "a1_im[17]") (joined + (portRef (member a1_im 14)) + )) + (net (rename a1_im_18 "a1_im[18]") (joined + (portRef (member a1_im 13)) + )) + (net (rename a1_im_19 "a1_im[19]") (joined + (portRef (member a1_im 12)) + )) + (net (rename a1_im_20 "a1_im[20]") (joined + (portRef (member a1_im 11)) + )) + (net (rename a1_im_21 "a1_im[21]") (joined + (portRef (member a1_im 10)) + )) + (net (rename a1_im_22 "a1_im[22]") (joined + (portRef (member a1_im 9)) + )) + (net (rename a1_im_23 "a1_im[23]") (joined + (portRef (member a1_im 8)) + )) + (net (rename a1_im_24 "a1_im[24]") (joined + (portRef (member a1_im 7)) + )) + (net (rename a1_im_25 "a1_im[25]") (joined + (portRef (member a1_im 6)) + )) + (net (rename a1_im_26 "a1_im[26]") (joined + (portRef (member a1_im 5)) + )) + (net (rename a1_im_27 "a1_im[27]") (joined + (portRef (member a1_im 4)) + )) + (net (rename a1_im_28 "a1_im[28]") (joined + (portRef (member a1_im 3)) + )) + (net (rename a1_im_29 "a1_im[29]") (joined + (portRef (member a1_im 2)) + )) + (net (rename a1_im_30 "a1_im[30]") (joined + (portRef (member a1_im 1)) + )) + (net (rename a1_im_31 "a1_im[31]") (joined + (portRef (member a1_im 0)) + )) + (net (rename b1_re_0 "b1_re[0]") (joined + (portRef (member b1_re 31)) + (portRef (member b1_re 31) (instanceRef inst_TailCorr_top)) + )) + (net (rename b1_re_1 "b1_re[1]") (joined + (portRef (member b1_re 30)) + (portRef (member b1_re 30) (instanceRef inst_TailCorr_top)) + )) + (net (rename b1_re_2 "b1_re[2]") (joined + (portRef (member b1_re 29)) + (portRef (member b1_re 29) (instanceRef inst_TailCorr_top)) + )) + (net (rename b1_re_3 "b1_re[3]") (joined + (portRef (member b1_re 28)) + (portRef (member b1_re 28) (instanceRef inst_TailCorr_top)) + )) + (net (rename b1_re_4 "b1_re[4]") (joined + (portRef (member b1_re 27)) + (portRef (member b1_re 27) (instanceRef inst_TailCorr_top)) + )) + (net (rename b1_re_5 "b1_re[5]") (joined + (portRef (member b1_re 26)) + (portRef (member b1_re 26) (instanceRef inst_TailCorr_top)) + )) + (net (rename b1_re_6 "b1_re[6]") (joined + (portRef (member b1_re 25)) + (portRef (member b1_re 25) (instanceRef inst_TailCorr_top)) + )) + (net (rename b1_re_7 "b1_re[7]") (joined + (portRef (member b1_re 24)) + (portRef (member b1_re 24) (instanceRef inst_TailCorr_top)) + )) + (net (rename b1_re_8 "b1_re[8]") (joined + (portRef (member b1_re 23)) + (portRef (member b1_re 23) (instanceRef inst_TailCorr_top)) + )) + (net (rename b1_re_9 "b1_re[9]") (joined + (portRef (member b1_re 22)) + (portRef (member b1_re 22) (instanceRef inst_TailCorr_top)) + )) + (net (rename b1_re_10 "b1_re[10]") (joined + (portRef (member b1_re 21)) + (portRef (member b1_re 21) (instanceRef inst_TailCorr_top)) + )) + (net (rename b1_re_11 "b1_re[11]") (joined + (portRef (member b1_re 20)) + (portRef (member b1_re 20) (instanceRef inst_TailCorr_top)) + )) + (net (rename b1_re_12 "b1_re[12]") (joined + (portRef (member b1_re 19)) + (portRef (member b1_re 19) (instanceRef inst_TailCorr_top)) + )) + (net (rename b1_re_13 "b1_re[13]") (joined + (portRef (member b1_re 18)) + (portRef (member b1_re 18) (instanceRef inst_TailCorr_top)) + )) + (net (rename b1_re_14 "b1_re[14]") (joined + (portRef (member b1_re 17)) + (portRef (member b1_re 17) (instanceRef inst_TailCorr_top)) + )) + (net (rename b1_re_15 "b1_re[15]") (joined + (portRef (member b1_re 16)) + (portRef (member b1_re 16) (instanceRef inst_TailCorr_top)) + )) + (net (rename b1_re_16 "b1_re[16]") (joined + (portRef (member b1_re 15)) + (portRef (member b1_re 15) (instanceRef inst_TailCorr_top)) + )) + (net (rename b1_re_17 "b1_re[17]") (joined + (portRef (member b1_re 14)) + (portRef (member b1_re 14) (instanceRef inst_TailCorr_top)) + )) + (net (rename b1_re_18 "b1_re[18]") (joined + (portRef (member b1_re 13)) + (portRef (member b1_re 13) (instanceRef inst_TailCorr_top)) + )) + (net (rename b1_re_19 "b1_re[19]") (joined + (portRef (member b1_re 12)) + (portRef (member b1_re 12) (instanceRef inst_TailCorr_top)) + )) + (net (rename b1_re_20 "b1_re[20]") (joined + (portRef (member b1_re 11)) + (portRef (member b1_re 11) (instanceRef inst_TailCorr_top)) + )) + (net (rename b1_re_21 "b1_re[21]") (joined + (portRef (member b1_re 10)) + (portRef (member b1_re 10) (instanceRef inst_TailCorr_top)) + )) + (net (rename b1_re_22 "b1_re[22]") (joined + (portRef (member b1_re 9)) + (portRef (member b1_re 9) (instanceRef inst_TailCorr_top)) + )) + (net (rename b1_re_23 "b1_re[23]") (joined + (portRef (member b1_re 8)) + (portRef (member b1_re 8) (instanceRef inst_TailCorr_top)) + )) + (net (rename b1_re_24 "b1_re[24]") (joined + (portRef (member b1_re 7)) + (portRef (member b1_re 7) (instanceRef inst_TailCorr_top)) + )) + (net (rename b1_re_25 "b1_re[25]") (joined + (portRef (member b1_re 6)) + (portRef (member b1_re 6) (instanceRef inst_TailCorr_top)) + )) + (net (rename b1_re_26 "b1_re[26]") (joined + (portRef (member b1_re 5)) + (portRef (member b1_re 5) (instanceRef inst_TailCorr_top)) + )) + (net (rename b1_re_27 "b1_re[27]") (joined + (portRef (member b1_re 4)) + (portRef (member b1_re 4) (instanceRef inst_TailCorr_top)) + )) + (net (rename b1_re_28 "b1_re[28]") (joined + (portRef (member b1_re 3)) + (portRef (member b1_re 3) (instanceRef inst_TailCorr_top)) + )) + (net (rename b1_re_29 "b1_re[29]") (joined + (portRef (member b1_re 2)) + (portRef (member b1_re 2) (instanceRef inst_TailCorr_top)) + )) + (net (rename b1_re_30 "b1_re[30]") (joined + (portRef (member b1_re 1)) + (portRef (member b1_re 1) (instanceRef inst_TailCorr_top)) + )) + (net (rename b1_re_31 "b1_re[31]") (joined + (portRef (member b1_re 0)) + (portRef (member b1_re 0) (instanceRef inst_TailCorr_top)) + )) + (net (rename b1_im_0 "b1_im[0]") (joined + (portRef (member b1_im 31)) + )) + (net (rename b1_im_1 "b1_im[1]") (joined + (portRef (member b1_im 30)) + )) + (net (rename b1_im_2 "b1_im[2]") (joined + (portRef (member b1_im 29)) + )) + (net (rename b1_im_3 "b1_im[3]") (joined + (portRef (member b1_im 28)) + )) + (net (rename b1_im_4 "b1_im[4]") (joined + (portRef (member b1_im 27)) + )) + (net (rename b1_im_5 "b1_im[5]") (joined + (portRef (member b1_im 26)) + )) + (net (rename b1_im_6 "b1_im[6]") (joined + (portRef (member b1_im 25)) + )) + (net (rename b1_im_7 "b1_im[7]") (joined + (portRef (member b1_im 24)) + )) + (net (rename b1_im_8 "b1_im[8]") (joined + (portRef (member b1_im 23)) + )) + (net (rename b1_im_9 "b1_im[9]") (joined + (portRef (member b1_im 22)) + )) + (net (rename b1_im_10 "b1_im[10]") (joined + (portRef (member b1_im 21)) + )) + (net (rename b1_im_11 "b1_im[11]") (joined + (portRef (member b1_im 20)) + )) + (net (rename b1_im_12 "b1_im[12]") (joined + (portRef (member b1_im 19)) + )) + (net (rename b1_im_13 "b1_im[13]") (joined + (portRef (member b1_im 18)) + )) + (net (rename b1_im_14 "b1_im[14]") (joined + (portRef (member b1_im 17)) + )) + (net (rename b1_im_15 "b1_im[15]") (joined + (portRef (member b1_im 16)) + )) + (net (rename b1_im_16 "b1_im[16]") (joined + (portRef (member b1_im 15)) + )) + (net (rename b1_im_17 "b1_im[17]") (joined + (portRef (member b1_im 14)) + )) + (net (rename b1_im_18 "b1_im[18]") (joined + (portRef (member b1_im 13)) + )) + (net (rename b1_im_19 "b1_im[19]") (joined + (portRef (member b1_im 12)) + )) + (net (rename b1_im_20 "b1_im[20]") (joined + (portRef (member b1_im 11)) + )) + (net (rename b1_im_21 "b1_im[21]") (joined + (portRef (member b1_im 10)) + )) + (net (rename b1_im_22 "b1_im[22]") (joined + (portRef (member b1_im 9)) + )) + (net (rename b1_im_23 "b1_im[23]") (joined + (portRef (member b1_im 8)) + )) + (net (rename b1_im_24 "b1_im[24]") (joined + (portRef (member b1_im 7)) + )) + (net (rename b1_im_25 "b1_im[25]") (joined + (portRef (member b1_im 6)) + )) + (net (rename b1_im_26 "b1_im[26]") (joined + (portRef (member b1_im 5)) + )) + (net (rename b1_im_27 "b1_im[27]") (joined + (portRef (member b1_im 4)) + )) + (net (rename b1_im_28 "b1_im[28]") (joined + (portRef (member b1_im 3)) + )) + (net (rename b1_im_29 "b1_im[29]") (joined + (portRef (member b1_im 2)) + )) + (net (rename b1_im_30 "b1_im[30]") (joined + (portRef (member b1_im 1)) + )) + (net (rename b1_im_31 "b1_im[31]") (joined + (portRef (member b1_im 0)) + )) + (net (rename a2_re_0 "a2_re[0]") (joined + (portRef (member a2_re 31)) + (portRef (member a2_re 31) (instanceRef inst_TailCorr_top)) + )) + (net (rename a2_re_1 "a2_re[1]") (joined + (portRef (member a2_re 30)) + (portRef (member a2_re 30) (instanceRef inst_TailCorr_top)) + )) + (net (rename a2_re_2 "a2_re[2]") (joined + (portRef (member a2_re 29)) + (portRef (member a2_re 29) (instanceRef inst_TailCorr_top)) + )) + (net (rename a2_re_3 "a2_re[3]") (joined + (portRef (member a2_re 28)) + (portRef (member a2_re 28) (instanceRef inst_TailCorr_top)) + )) + (net (rename a2_re_4 "a2_re[4]") (joined + (portRef (member a2_re 27)) + (portRef (member a2_re 27) (instanceRef inst_TailCorr_top)) + )) + (net (rename a2_re_5 "a2_re[5]") (joined + (portRef (member a2_re 26)) + (portRef (member a2_re 26) (instanceRef inst_TailCorr_top)) + )) + (net (rename a2_re_6 "a2_re[6]") (joined + (portRef (member a2_re 25)) + (portRef (member a2_re 25) (instanceRef inst_TailCorr_top)) + )) + (net (rename a2_re_7 "a2_re[7]") (joined + (portRef (member a2_re 24)) + (portRef (member a2_re 24) (instanceRef inst_TailCorr_top)) + )) + (net (rename a2_re_8 "a2_re[8]") (joined + (portRef (member a2_re 23)) + (portRef (member a2_re 23) (instanceRef inst_TailCorr_top)) + )) + (net (rename a2_re_9 "a2_re[9]") (joined + (portRef (member a2_re 22)) + (portRef (member a2_re 22) (instanceRef inst_TailCorr_top)) + )) + (net (rename a2_re_10 "a2_re[10]") (joined + (portRef (member a2_re 21)) + (portRef (member a2_re 21) (instanceRef inst_TailCorr_top)) + )) + (net (rename a2_re_11 "a2_re[11]") (joined + (portRef (member a2_re 20)) + (portRef (member a2_re 20) (instanceRef inst_TailCorr_top)) + )) + (net (rename a2_re_12 "a2_re[12]") (joined + (portRef (member a2_re 19)) + (portRef (member a2_re 19) (instanceRef inst_TailCorr_top)) + )) + (net (rename a2_re_13 "a2_re[13]") (joined + (portRef (member a2_re 18)) + (portRef (member a2_re 18) (instanceRef inst_TailCorr_top)) + )) + (net (rename a2_re_14 "a2_re[14]") (joined + (portRef (member a2_re 17)) + (portRef (member a2_re 17) (instanceRef inst_TailCorr_top)) + )) + (net (rename a2_re_15 "a2_re[15]") (joined + (portRef (member a2_re 16)) + (portRef (member a2_re 16) (instanceRef inst_TailCorr_top)) + )) + (net (rename a2_re_16 "a2_re[16]") (joined + (portRef (member a2_re 15)) + (portRef (member a2_re 15) (instanceRef inst_TailCorr_top)) + )) + (net (rename a2_re_17 "a2_re[17]") (joined + (portRef (member a2_re 14)) + (portRef (member a2_re 14) (instanceRef inst_TailCorr_top)) + )) + (net (rename a2_re_18 "a2_re[18]") (joined + (portRef (member a2_re 13)) + (portRef (member a2_re 13) (instanceRef inst_TailCorr_top)) + )) + (net (rename a2_re_19 "a2_re[19]") (joined + (portRef (member a2_re 12)) + (portRef (member a2_re 12) (instanceRef inst_TailCorr_top)) + )) + (net (rename a2_re_20 "a2_re[20]") (joined + (portRef (member a2_re 11)) + (portRef (member a2_re 11) (instanceRef inst_TailCorr_top)) + )) + (net (rename a2_re_21 "a2_re[21]") (joined + (portRef (member a2_re 10)) + (portRef (member a2_re 10) (instanceRef inst_TailCorr_top)) + )) + (net (rename a2_re_22 "a2_re[22]") (joined + (portRef (member a2_re 9)) + (portRef (member a2_re 9) (instanceRef inst_TailCorr_top)) + )) + (net (rename a2_re_23 "a2_re[23]") (joined + (portRef (member a2_re 8)) + (portRef (member a2_re 8) (instanceRef inst_TailCorr_top)) + )) + (net (rename a2_re_24 "a2_re[24]") (joined + (portRef (member a2_re 7)) + (portRef (member a2_re 7) (instanceRef inst_TailCorr_top)) + )) + (net (rename a2_re_25 "a2_re[25]") (joined + (portRef (member a2_re 6)) + (portRef (member a2_re 6) (instanceRef inst_TailCorr_top)) + )) + (net (rename a2_re_26 "a2_re[26]") (joined + (portRef (member a2_re 5)) + (portRef (member a2_re 5) (instanceRef inst_TailCorr_top)) + )) + (net (rename a2_re_27 "a2_re[27]") (joined + (portRef (member a2_re 4)) + (portRef (member a2_re 4) (instanceRef inst_TailCorr_top)) + )) + (net (rename a2_re_28 "a2_re[28]") (joined + (portRef (member a2_re 3)) + (portRef (member a2_re 3) (instanceRef inst_TailCorr_top)) + )) + (net (rename a2_re_29 "a2_re[29]") (joined + (portRef (member a2_re 2)) + (portRef (member a2_re 2) (instanceRef inst_TailCorr_top)) + )) + (net (rename a2_re_30 "a2_re[30]") (joined + (portRef (member a2_re 1)) + (portRef (member a2_re 1) (instanceRef inst_TailCorr_top)) + )) + (net (rename a2_re_31 "a2_re[31]") (joined + (portRef (member a2_re 0)) + (portRef (member a2_re 0) (instanceRef inst_TailCorr_top)) + )) + (net (rename a2_im_0 "a2_im[0]") (joined + (portRef (member a2_im 31)) + )) + (net (rename a2_im_1 "a2_im[1]") (joined + (portRef (member a2_im 30)) + )) + (net (rename a2_im_2 "a2_im[2]") (joined + (portRef (member a2_im 29)) + )) + (net (rename a2_im_3 "a2_im[3]") (joined + (portRef (member a2_im 28)) + )) + (net (rename a2_im_4 "a2_im[4]") (joined + (portRef (member a2_im 27)) + )) + (net (rename a2_im_5 "a2_im[5]") (joined + (portRef (member a2_im 26)) + )) + (net (rename a2_im_6 "a2_im[6]") (joined + (portRef (member a2_im 25)) + )) + (net (rename a2_im_7 "a2_im[7]") (joined + (portRef (member a2_im 24)) + )) + (net (rename a2_im_8 "a2_im[8]") (joined + (portRef (member a2_im 23)) + )) + (net (rename a2_im_9 "a2_im[9]") (joined + (portRef (member a2_im 22)) + )) + (net (rename a2_im_10 "a2_im[10]") (joined + (portRef (member a2_im 21)) + )) + (net (rename a2_im_11 "a2_im[11]") (joined + (portRef (member a2_im 20)) + )) + (net (rename a2_im_12 "a2_im[12]") (joined + (portRef (member a2_im 19)) + )) + (net (rename a2_im_13 "a2_im[13]") (joined + (portRef (member a2_im 18)) + )) + (net (rename a2_im_14 "a2_im[14]") (joined + (portRef (member a2_im 17)) + )) + (net (rename a2_im_15 "a2_im[15]") (joined + (portRef (member a2_im 16)) + )) + (net (rename a2_im_16 "a2_im[16]") (joined + (portRef (member a2_im 15)) + )) + (net (rename a2_im_17 "a2_im[17]") (joined + (portRef (member a2_im 14)) + )) + (net (rename a2_im_18 "a2_im[18]") (joined + (portRef (member a2_im 13)) + )) + (net (rename a2_im_19 "a2_im[19]") (joined + (portRef (member a2_im 12)) + )) + (net (rename a2_im_20 "a2_im[20]") (joined + (portRef (member a2_im 11)) + )) + (net (rename a2_im_21 "a2_im[21]") (joined + (portRef (member a2_im 10)) + )) + (net (rename a2_im_22 "a2_im[22]") (joined + (portRef (member a2_im 9)) + )) + (net (rename a2_im_23 "a2_im[23]") (joined + (portRef (member a2_im 8)) + )) + (net (rename a2_im_24 "a2_im[24]") (joined + (portRef (member a2_im 7)) + )) + (net (rename a2_im_25 "a2_im[25]") (joined + (portRef (member a2_im 6)) + )) + (net (rename a2_im_26 "a2_im[26]") (joined + (portRef (member a2_im 5)) + )) + (net (rename a2_im_27 "a2_im[27]") (joined + (portRef (member a2_im 4)) + )) + (net (rename a2_im_28 "a2_im[28]") (joined + (portRef (member a2_im 3)) + )) + (net (rename a2_im_29 "a2_im[29]") (joined + (portRef (member a2_im 2)) + )) + (net (rename a2_im_30 "a2_im[30]") (joined + (portRef (member a2_im 1)) + )) + (net (rename a2_im_31 "a2_im[31]") (joined + (portRef (member a2_im 0)) + )) + (net (rename b2_re_0 "b2_re[0]") (joined + (portRef (member b2_re 31)) + (portRef (member b2_re 31) (instanceRef inst_TailCorr_top)) + )) + (net (rename b2_re_1 "b2_re[1]") (joined + (portRef (member b2_re 30)) + (portRef (member b2_re 30) (instanceRef inst_TailCorr_top)) + )) + (net (rename b2_re_2 "b2_re[2]") (joined + (portRef (member b2_re 29)) + (portRef (member b2_re 29) (instanceRef inst_TailCorr_top)) + )) + (net (rename b2_re_3 "b2_re[3]") (joined + (portRef (member b2_re 28)) + (portRef (member b2_re 28) (instanceRef inst_TailCorr_top)) + )) + (net (rename b2_re_4 "b2_re[4]") (joined + (portRef (member b2_re 27)) + (portRef (member b2_re 27) (instanceRef inst_TailCorr_top)) + )) + (net (rename b2_re_5 "b2_re[5]") (joined + (portRef (member b2_re 26)) + (portRef (member b2_re 26) (instanceRef inst_TailCorr_top)) + )) + (net (rename b2_re_6 "b2_re[6]") (joined + (portRef (member b2_re 25)) + (portRef (member b2_re 25) (instanceRef inst_TailCorr_top)) + )) + (net (rename b2_re_7 "b2_re[7]") (joined + (portRef (member b2_re 24)) + (portRef (member b2_re 24) (instanceRef inst_TailCorr_top)) + )) + (net (rename b2_re_8 "b2_re[8]") (joined + (portRef (member b2_re 23)) + (portRef (member b2_re 23) (instanceRef inst_TailCorr_top)) + )) + (net (rename b2_re_9 "b2_re[9]") (joined + (portRef (member b2_re 22)) + (portRef (member b2_re 22) (instanceRef inst_TailCorr_top)) + )) + (net (rename b2_re_10 "b2_re[10]") (joined + (portRef (member b2_re 21)) + (portRef (member b2_re 21) (instanceRef inst_TailCorr_top)) + )) + (net (rename b2_re_11 "b2_re[11]") (joined + (portRef (member b2_re 20)) + (portRef (member b2_re 20) (instanceRef inst_TailCorr_top)) + )) + (net (rename b2_re_12 "b2_re[12]") (joined + (portRef (member b2_re 19)) + (portRef (member b2_re 19) (instanceRef inst_TailCorr_top)) + )) + (net (rename b2_re_13 "b2_re[13]") (joined + (portRef (member b2_re 18)) + (portRef (member b2_re 18) (instanceRef inst_TailCorr_top)) + )) + (net (rename b2_re_14 "b2_re[14]") (joined + (portRef (member b2_re 17)) + (portRef (member b2_re 17) (instanceRef inst_TailCorr_top)) + )) + (net (rename b2_re_15 "b2_re[15]") (joined + (portRef (member b2_re 16)) + (portRef (member b2_re 16) (instanceRef inst_TailCorr_top)) + )) + (net (rename b2_re_16 "b2_re[16]") (joined + (portRef (member b2_re 15)) + (portRef (member b2_re 15) (instanceRef inst_TailCorr_top)) + )) + (net (rename b2_re_17 "b2_re[17]") (joined + (portRef (member b2_re 14)) + (portRef (member b2_re 14) (instanceRef inst_TailCorr_top)) + )) + (net (rename b2_re_18 "b2_re[18]") (joined + (portRef (member b2_re 13)) + (portRef (member b2_re 13) (instanceRef inst_TailCorr_top)) + )) + (net (rename b2_re_19 "b2_re[19]") (joined + (portRef (member b2_re 12)) + (portRef (member b2_re 12) (instanceRef inst_TailCorr_top)) + )) + (net (rename b2_re_20 "b2_re[20]") (joined + (portRef (member b2_re 11)) + (portRef (member b2_re 11) (instanceRef inst_TailCorr_top)) + )) + (net (rename b2_re_21 "b2_re[21]") (joined + (portRef (member b2_re 10)) + (portRef (member b2_re 10) (instanceRef inst_TailCorr_top)) + )) + (net (rename b2_re_22 "b2_re[22]") (joined + (portRef (member b2_re 9)) + (portRef (member b2_re 9) (instanceRef inst_TailCorr_top)) + )) + (net (rename b2_re_23 "b2_re[23]") (joined + (portRef (member b2_re 8)) + (portRef (member b2_re 8) (instanceRef inst_TailCorr_top)) + )) + (net (rename b2_re_24 "b2_re[24]") (joined + (portRef (member b2_re 7)) + (portRef (member b2_re 7) (instanceRef inst_TailCorr_top)) + )) + (net (rename b2_re_25 "b2_re[25]") (joined + (portRef (member b2_re 6)) + (portRef (member b2_re 6) (instanceRef inst_TailCorr_top)) + )) + (net (rename b2_re_26 "b2_re[26]") (joined + (portRef (member b2_re 5)) + (portRef (member b2_re 5) (instanceRef inst_TailCorr_top)) + )) + (net (rename b2_re_27 "b2_re[27]") (joined + (portRef (member b2_re 4)) + (portRef (member b2_re 4) (instanceRef inst_TailCorr_top)) + )) + (net (rename b2_re_28 "b2_re[28]") (joined + (portRef (member b2_re 3)) + (portRef (member b2_re 3) (instanceRef inst_TailCorr_top)) + )) + (net (rename b2_re_29 "b2_re[29]") (joined + (portRef (member b2_re 2)) + (portRef (member b2_re 2) (instanceRef inst_TailCorr_top)) + )) + (net (rename b2_re_30 "b2_re[30]") (joined + (portRef (member b2_re 1)) + (portRef (member b2_re 1) (instanceRef inst_TailCorr_top)) + )) + (net (rename b2_re_31 "b2_re[31]") (joined + (portRef (member b2_re 0)) + (portRef (member b2_re 0) (instanceRef inst_TailCorr_top)) + )) + (net (rename b2_im_0 "b2_im[0]") (joined + (portRef (member b2_im 31)) + )) + (net (rename b2_im_1 "b2_im[1]") (joined + (portRef (member b2_im 30)) + )) + (net (rename b2_im_2 "b2_im[2]") (joined + (portRef (member b2_im 29)) + )) + (net (rename b2_im_3 "b2_im[3]") (joined + (portRef (member b2_im 28)) + )) + (net (rename b2_im_4 "b2_im[4]") (joined + (portRef (member b2_im 27)) + )) + (net (rename b2_im_5 "b2_im[5]") (joined + (portRef (member b2_im 26)) + )) + (net (rename b2_im_6 "b2_im[6]") (joined + (portRef (member b2_im 25)) + )) + (net (rename b2_im_7 "b2_im[7]") (joined + (portRef (member b2_im 24)) + )) + (net (rename b2_im_8 "b2_im[8]") (joined + (portRef (member b2_im 23)) + )) + (net (rename b2_im_9 "b2_im[9]") (joined + (portRef (member b2_im 22)) + )) + (net (rename b2_im_10 "b2_im[10]") (joined + (portRef (member b2_im 21)) + )) + (net (rename b2_im_11 "b2_im[11]") (joined + (portRef (member b2_im 20)) + )) + (net (rename b2_im_12 "b2_im[12]") (joined + (portRef (member b2_im 19)) + )) + (net (rename b2_im_13 "b2_im[13]") (joined + (portRef (member b2_im 18)) + )) + (net (rename b2_im_14 "b2_im[14]") (joined + (portRef (member b2_im 17)) + )) + (net (rename b2_im_15 "b2_im[15]") (joined + (portRef (member b2_im 16)) + )) + (net (rename b2_im_16 "b2_im[16]") (joined + (portRef (member b2_im 15)) + )) + (net (rename b2_im_17 "b2_im[17]") (joined + (portRef (member b2_im 14)) + )) + (net (rename b2_im_18 "b2_im[18]") (joined + (portRef (member b2_im 13)) + )) + (net (rename b2_im_19 "b2_im[19]") (joined + (portRef (member b2_im 12)) + )) + (net (rename b2_im_20 "b2_im[20]") (joined + (portRef (member b2_im 11)) + )) + (net (rename b2_im_21 "b2_im[21]") (joined + (portRef (member b2_im 10)) + )) + (net (rename b2_im_22 "b2_im[22]") (joined + (portRef (member b2_im 9)) + )) + (net (rename b2_im_23 "b2_im[23]") (joined + (portRef (member b2_im 8)) + )) + (net (rename b2_im_24 "b2_im[24]") (joined + (portRef (member b2_im 7)) + )) + (net (rename b2_im_25 "b2_im[25]") (joined + (portRef (member b2_im 6)) + )) + (net (rename b2_im_26 "b2_im[26]") (joined + (portRef (member b2_im 5)) + )) + (net (rename b2_im_27 "b2_im[27]") (joined + (portRef (member b2_im 4)) + )) + (net (rename b2_im_28 "b2_im[28]") (joined + (portRef (member b2_im 3)) + )) + (net (rename b2_im_29 "b2_im[29]") (joined + (portRef (member b2_im 2)) + )) + (net (rename b2_im_30 "b2_im[30]") (joined + (portRef (member b2_im 1)) + )) + (net (rename b2_im_31 "b2_im[31]") (joined + (portRef (member b2_im 0)) + )) + (net (rename a3_re_0 "a3_re[0]") (joined + (portRef (member a3_re 31)) + (portRef (member a3_re 31) (instanceRef inst_TailCorr_top)) + )) + (net (rename a3_re_1 "a3_re[1]") (joined + (portRef (member a3_re 30)) + (portRef (member a3_re 30) (instanceRef inst_TailCorr_top)) + )) + (net (rename a3_re_2 "a3_re[2]") (joined + (portRef (member a3_re 29)) + (portRef (member a3_re 29) (instanceRef inst_TailCorr_top)) + )) + (net (rename a3_re_3 "a3_re[3]") (joined + (portRef (member a3_re 28)) + (portRef (member a3_re 28) (instanceRef inst_TailCorr_top)) + )) + (net (rename a3_re_4 "a3_re[4]") (joined + (portRef (member a3_re 27)) + (portRef (member a3_re 27) (instanceRef inst_TailCorr_top)) + )) + (net (rename a3_re_5 "a3_re[5]") (joined + (portRef (member a3_re 26)) + (portRef (member a3_re 26) (instanceRef inst_TailCorr_top)) + )) + (net (rename a3_re_6 "a3_re[6]") (joined + (portRef (member a3_re 25)) + (portRef (member a3_re 25) (instanceRef inst_TailCorr_top)) + )) + (net (rename a3_re_7 "a3_re[7]") (joined + (portRef (member a3_re 24)) + (portRef (member a3_re 24) (instanceRef inst_TailCorr_top)) + )) + (net (rename a3_re_8 "a3_re[8]") (joined + (portRef (member a3_re 23)) + (portRef (member a3_re 23) (instanceRef inst_TailCorr_top)) + )) + (net (rename a3_re_9 "a3_re[9]") (joined + (portRef (member a3_re 22)) + (portRef (member a3_re 22) (instanceRef inst_TailCorr_top)) + )) + (net (rename a3_re_10 "a3_re[10]") (joined + (portRef (member a3_re 21)) + (portRef (member a3_re 21) (instanceRef inst_TailCorr_top)) + )) + (net (rename a3_re_11 "a3_re[11]") (joined + (portRef (member a3_re 20)) + (portRef (member a3_re 20) (instanceRef inst_TailCorr_top)) + )) + (net (rename a3_re_12 "a3_re[12]") (joined + (portRef (member a3_re 19)) + (portRef (member a3_re 19) (instanceRef inst_TailCorr_top)) + )) + (net (rename a3_re_13 "a3_re[13]") (joined + (portRef (member a3_re 18)) + (portRef (member a3_re 18) (instanceRef inst_TailCorr_top)) + )) + (net (rename a3_re_14 "a3_re[14]") (joined + (portRef (member a3_re 17)) + (portRef (member a3_re 17) (instanceRef inst_TailCorr_top)) + )) + (net (rename a3_re_15 "a3_re[15]") (joined + (portRef (member a3_re 16)) + (portRef (member a3_re 16) (instanceRef inst_TailCorr_top)) + )) + (net (rename a3_re_16 "a3_re[16]") (joined + (portRef (member a3_re 15)) + (portRef (member a3_re 15) (instanceRef inst_TailCorr_top)) + )) + (net (rename a3_re_17 "a3_re[17]") (joined + (portRef (member a3_re 14)) + (portRef (member a3_re 14) (instanceRef inst_TailCorr_top)) + )) + (net (rename a3_re_18 "a3_re[18]") (joined + (portRef (member a3_re 13)) + (portRef (member a3_re 13) (instanceRef inst_TailCorr_top)) + )) + (net (rename a3_re_19 "a3_re[19]") (joined + (portRef (member a3_re 12)) + (portRef (member a3_re 12) (instanceRef inst_TailCorr_top)) + )) + (net (rename a3_re_20 "a3_re[20]") (joined + (portRef (member a3_re 11)) + (portRef (member a3_re 11) (instanceRef inst_TailCorr_top)) + )) + (net (rename a3_re_21 "a3_re[21]") (joined + (portRef (member a3_re 10)) + (portRef (member a3_re 10) (instanceRef inst_TailCorr_top)) + )) + (net (rename a3_re_22 "a3_re[22]") (joined + (portRef (member a3_re 9)) + (portRef (member a3_re 9) (instanceRef inst_TailCorr_top)) + )) + (net (rename a3_re_23 "a3_re[23]") (joined + (portRef (member a3_re 8)) + (portRef (member a3_re 8) (instanceRef inst_TailCorr_top)) + )) + (net (rename a3_re_24 "a3_re[24]") (joined + (portRef (member a3_re 7)) + (portRef (member a3_re 7) (instanceRef inst_TailCorr_top)) + )) + (net (rename a3_re_25 "a3_re[25]") (joined + (portRef (member a3_re 6)) + (portRef (member a3_re 6) (instanceRef inst_TailCorr_top)) + )) + (net (rename a3_re_26 "a3_re[26]") (joined + (portRef (member a3_re 5)) + (portRef (member a3_re 5) (instanceRef inst_TailCorr_top)) + )) + (net (rename a3_re_27 "a3_re[27]") (joined + (portRef (member a3_re 4)) + (portRef (member a3_re 4) (instanceRef inst_TailCorr_top)) + )) + (net (rename a3_re_28 "a3_re[28]") (joined + (portRef (member a3_re 3)) + (portRef (member a3_re 3) (instanceRef inst_TailCorr_top)) + )) + (net (rename a3_re_29 "a3_re[29]") (joined + (portRef (member a3_re 2)) + (portRef (member a3_re 2) (instanceRef inst_TailCorr_top)) + )) + (net (rename a3_re_30 "a3_re[30]") (joined + (portRef (member a3_re 1)) + (portRef (member a3_re 1) (instanceRef inst_TailCorr_top)) + )) + (net (rename a3_re_31 "a3_re[31]") (joined + (portRef (member a3_re 0)) + (portRef (member a3_re 0) (instanceRef inst_TailCorr_top)) + )) + (net (rename a3_im_0 "a3_im[0]") (joined + (portRef (member a3_im 31)) + )) + (net (rename a3_im_1 "a3_im[1]") (joined + (portRef (member a3_im 30)) + )) + (net (rename a3_im_2 "a3_im[2]") (joined + (portRef (member a3_im 29)) + )) + (net (rename a3_im_3 "a3_im[3]") (joined + (portRef (member a3_im 28)) + )) + (net (rename a3_im_4 "a3_im[4]") (joined + (portRef (member a3_im 27)) + )) + (net (rename a3_im_5 "a3_im[5]") (joined + (portRef (member a3_im 26)) + )) + (net (rename a3_im_6 "a3_im[6]") (joined + (portRef (member a3_im 25)) + )) + (net (rename a3_im_7 "a3_im[7]") (joined + (portRef (member a3_im 24)) + )) + (net (rename a3_im_8 "a3_im[8]") (joined + (portRef (member a3_im 23)) + )) + (net (rename a3_im_9 "a3_im[9]") (joined + (portRef (member a3_im 22)) + )) + (net (rename a3_im_10 "a3_im[10]") (joined + (portRef (member a3_im 21)) + )) + (net (rename a3_im_11 "a3_im[11]") (joined + (portRef (member a3_im 20)) + )) + (net (rename a3_im_12 "a3_im[12]") (joined + (portRef (member a3_im 19)) + )) + (net (rename a3_im_13 "a3_im[13]") (joined + (portRef (member a3_im 18)) + )) + (net (rename a3_im_14 "a3_im[14]") (joined + (portRef (member a3_im 17)) + )) + (net (rename a3_im_15 "a3_im[15]") (joined + (portRef (member a3_im 16)) + )) + (net (rename a3_im_16 "a3_im[16]") (joined + (portRef (member a3_im 15)) + )) + (net (rename a3_im_17 "a3_im[17]") (joined + (portRef (member a3_im 14)) + )) + (net (rename a3_im_18 "a3_im[18]") (joined + (portRef (member a3_im 13)) + )) + (net (rename a3_im_19 "a3_im[19]") (joined + (portRef (member a3_im 12)) + )) + (net (rename a3_im_20 "a3_im[20]") (joined + (portRef (member a3_im 11)) + )) + (net (rename a3_im_21 "a3_im[21]") (joined + (portRef (member a3_im 10)) + )) + (net (rename a3_im_22 "a3_im[22]") (joined + (portRef (member a3_im 9)) + )) + (net (rename a3_im_23 "a3_im[23]") (joined + (portRef (member a3_im 8)) + )) + (net (rename a3_im_24 "a3_im[24]") (joined + (portRef (member a3_im 7)) + )) + (net (rename a3_im_25 "a3_im[25]") (joined + (portRef (member a3_im 6)) + )) + (net (rename a3_im_26 "a3_im[26]") (joined + (portRef (member a3_im 5)) + )) + (net (rename a3_im_27 "a3_im[27]") (joined + (portRef (member a3_im 4)) + )) + (net (rename a3_im_28 "a3_im[28]") (joined + (portRef (member a3_im 3)) + )) + (net (rename a3_im_29 "a3_im[29]") (joined + (portRef (member a3_im 2)) + )) + (net (rename a3_im_30 "a3_im[30]") (joined + (portRef (member a3_im 1)) + )) + (net (rename a3_im_31 "a3_im[31]") (joined + (portRef (member a3_im 0)) + )) + (net (rename b3_re_0 "b3_re[0]") (joined + (portRef (member b3_re 31)) + (portRef (member b3_re 31) (instanceRef inst_TailCorr_top)) + )) + (net (rename b3_re_1 "b3_re[1]") (joined + (portRef (member b3_re 30)) + (portRef (member b3_re 30) (instanceRef inst_TailCorr_top)) + )) + (net (rename b3_re_2 "b3_re[2]") (joined + (portRef (member b3_re 29)) + (portRef (member b3_re 29) (instanceRef inst_TailCorr_top)) + )) + (net (rename b3_re_3 "b3_re[3]") (joined + (portRef (member b3_re 28)) + (portRef (member b3_re 28) (instanceRef inst_TailCorr_top)) + )) + (net (rename b3_re_4 "b3_re[4]") (joined + (portRef (member b3_re 27)) + (portRef (member b3_re 27) (instanceRef inst_TailCorr_top)) + )) + (net (rename b3_re_5 "b3_re[5]") (joined + (portRef (member b3_re 26)) + (portRef (member b3_re 26) (instanceRef inst_TailCorr_top)) + )) + (net (rename b3_re_6 "b3_re[6]") (joined + (portRef (member b3_re 25)) + (portRef (member b3_re 25) (instanceRef inst_TailCorr_top)) + )) + (net (rename b3_re_7 "b3_re[7]") (joined + (portRef (member b3_re 24)) + (portRef (member b3_re 24) (instanceRef inst_TailCorr_top)) + )) + (net (rename b3_re_8 "b3_re[8]") (joined + (portRef (member b3_re 23)) + (portRef (member b3_re 23) (instanceRef inst_TailCorr_top)) + )) + (net (rename b3_re_9 "b3_re[9]") (joined + (portRef (member b3_re 22)) + (portRef (member b3_re 22) (instanceRef inst_TailCorr_top)) + )) + (net (rename b3_re_10 "b3_re[10]") (joined + (portRef (member b3_re 21)) + (portRef (member b3_re 21) (instanceRef inst_TailCorr_top)) + )) + (net (rename b3_re_11 "b3_re[11]") (joined + (portRef (member b3_re 20)) + (portRef (member b3_re 20) (instanceRef inst_TailCorr_top)) + )) + (net (rename b3_re_12 "b3_re[12]") (joined + (portRef (member b3_re 19)) + (portRef (member b3_re 19) (instanceRef inst_TailCorr_top)) + )) + (net (rename b3_re_13 "b3_re[13]") (joined + (portRef (member b3_re 18)) + (portRef (member b3_re 18) (instanceRef inst_TailCorr_top)) + )) + (net (rename b3_re_14 "b3_re[14]") (joined + (portRef (member b3_re 17)) + (portRef (member b3_re 17) (instanceRef inst_TailCorr_top)) + )) + (net (rename b3_re_15 "b3_re[15]") (joined + (portRef (member b3_re 16)) + (portRef (member b3_re 16) (instanceRef inst_TailCorr_top)) + )) + (net (rename b3_re_16 "b3_re[16]") (joined + (portRef (member b3_re 15)) + (portRef (member b3_re 15) (instanceRef inst_TailCorr_top)) + )) + (net (rename b3_re_17 "b3_re[17]") (joined + (portRef (member b3_re 14)) + (portRef (member b3_re 14) (instanceRef inst_TailCorr_top)) + )) + (net (rename b3_re_18 "b3_re[18]") (joined + (portRef (member b3_re 13)) + (portRef (member b3_re 13) (instanceRef inst_TailCorr_top)) + )) + (net (rename b3_re_19 "b3_re[19]") (joined + (portRef (member b3_re 12)) + (portRef (member b3_re 12) (instanceRef inst_TailCorr_top)) + )) + (net (rename b3_re_20 "b3_re[20]") (joined + (portRef (member b3_re 11)) + (portRef (member b3_re 11) (instanceRef inst_TailCorr_top)) + )) + (net (rename b3_re_21 "b3_re[21]") (joined + (portRef (member b3_re 10)) + (portRef (member b3_re 10) (instanceRef inst_TailCorr_top)) + )) + (net (rename b3_re_22 "b3_re[22]") (joined + (portRef (member b3_re 9)) + (portRef (member b3_re 9) (instanceRef inst_TailCorr_top)) + )) + (net (rename b3_re_23 "b3_re[23]") (joined + (portRef (member b3_re 8)) + (portRef (member b3_re 8) (instanceRef inst_TailCorr_top)) + )) + (net (rename b3_re_24 "b3_re[24]") (joined + (portRef (member b3_re 7)) + (portRef (member b3_re 7) (instanceRef inst_TailCorr_top)) + )) + (net (rename b3_re_25 "b3_re[25]") (joined + (portRef (member b3_re 6)) + (portRef (member b3_re 6) (instanceRef inst_TailCorr_top)) + )) + (net (rename b3_re_26 "b3_re[26]") (joined + (portRef (member b3_re 5)) + (portRef (member b3_re 5) (instanceRef inst_TailCorr_top)) + )) + (net (rename b3_re_27 "b3_re[27]") (joined + (portRef (member b3_re 4)) + (portRef (member b3_re 4) (instanceRef inst_TailCorr_top)) + )) + (net (rename b3_re_28 "b3_re[28]") (joined + (portRef (member b3_re 3)) + (portRef (member b3_re 3) (instanceRef inst_TailCorr_top)) + )) + (net (rename b3_re_29 "b3_re[29]") (joined + (portRef (member b3_re 2)) + (portRef (member b3_re 2) (instanceRef inst_TailCorr_top)) + )) + (net (rename b3_re_30 "b3_re[30]") (joined + (portRef (member b3_re 1)) + (portRef (member b3_re 1) (instanceRef inst_TailCorr_top)) + )) + (net (rename b3_re_31 "b3_re[31]") (joined + (portRef (member b3_re 0)) + (portRef (member b3_re 0) (instanceRef inst_TailCorr_top)) + )) + (net (rename b3_im_0 "b3_im[0]") (joined + (portRef (member b3_im 31)) + )) + (net (rename b3_im_1 "b3_im[1]") (joined + (portRef (member b3_im 30)) + )) + (net (rename b3_im_2 "b3_im[2]") (joined + (portRef (member b3_im 29)) + )) + (net (rename b3_im_3 "b3_im[3]") (joined + (portRef (member b3_im 28)) + )) + (net (rename b3_im_4 "b3_im[4]") (joined + (portRef (member b3_im 27)) + )) + (net (rename b3_im_5 "b3_im[5]") (joined + (portRef (member b3_im 26)) + )) + (net (rename b3_im_6 "b3_im[6]") (joined + (portRef (member b3_im 25)) + )) + (net (rename b3_im_7 "b3_im[7]") (joined + (portRef (member b3_im 24)) + )) + (net (rename b3_im_8 "b3_im[8]") (joined + (portRef (member b3_im 23)) + )) + (net (rename b3_im_9 "b3_im[9]") (joined + (portRef (member b3_im 22)) + )) + (net (rename b3_im_10 "b3_im[10]") (joined + (portRef (member b3_im 21)) + )) + (net (rename b3_im_11 "b3_im[11]") (joined + (portRef (member b3_im 20)) + )) + (net (rename b3_im_12 "b3_im[12]") (joined + (portRef (member b3_im 19)) + )) + (net (rename b3_im_13 "b3_im[13]") (joined + (portRef (member b3_im 18)) + )) + (net (rename b3_im_14 "b3_im[14]") (joined + (portRef (member b3_im 17)) + )) + (net (rename b3_im_15 "b3_im[15]") (joined + (portRef (member b3_im 16)) + )) + (net (rename b3_im_16 "b3_im[16]") (joined + (portRef (member b3_im 15)) + )) + (net (rename b3_im_17 "b3_im[17]") (joined + (portRef (member b3_im 14)) + )) + (net (rename b3_im_18 "b3_im[18]") (joined + (portRef (member b3_im 13)) + )) + (net (rename b3_im_19 "b3_im[19]") (joined + (portRef (member b3_im 12)) + )) + (net (rename b3_im_20 "b3_im[20]") (joined + (portRef (member b3_im 11)) + )) + (net (rename b3_im_21 "b3_im[21]") (joined + (portRef (member b3_im 10)) + )) + (net (rename b3_im_22 "b3_im[22]") (joined + (portRef (member b3_im 9)) + )) + (net (rename b3_im_23 "b3_im[23]") (joined + (portRef (member b3_im 8)) + )) + (net (rename b3_im_24 "b3_im[24]") (joined + (portRef (member b3_im 7)) + )) + (net (rename b3_im_25 "b3_im[25]") (joined + (portRef (member b3_im 6)) + )) + (net (rename b3_im_26 "b3_im[26]") (joined + (portRef (member b3_im 5)) + )) + (net (rename b3_im_27 "b3_im[27]") (joined + (portRef (member b3_im 4)) + )) + (net (rename b3_im_28 "b3_im[28]") (joined + (portRef (member b3_im 3)) + )) + (net (rename b3_im_29 "b3_im[29]") (joined + (portRef (member b3_im 2)) + )) + (net (rename b3_im_30 "b3_im[30]") (joined + (portRef (member b3_im 1)) + )) + (net (rename b3_im_31 "b3_im[31]") (joined + (portRef (member b3_im 0)) + )) + (net (rename a4_re_0 "a4_re[0]") (joined + (portRef (member a4_re 31)) + (portRef (member a4_re 31) (instanceRef inst_TailCorr_top)) + )) + (net (rename a4_re_1 "a4_re[1]") (joined + (portRef (member a4_re 30)) + (portRef (member a4_re 30) (instanceRef inst_TailCorr_top)) + )) + (net (rename a4_re_2 "a4_re[2]") (joined + (portRef (member a4_re 29)) + (portRef (member a4_re 29) (instanceRef inst_TailCorr_top)) + )) + (net (rename a4_re_3 "a4_re[3]") (joined + (portRef (member a4_re 28)) + (portRef (member a4_re 28) (instanceRef inst_TailCorr_top)) + )) + (net (rename a4_re_4 "a4_re[4]") (joined + (portRef (member a4_re 27)) + (portRef (member a4_re 27) (instanceRef inst_TailCorr_top)) + )) + (net (rename a4_re_5 "a4_re[5]") (joined + (portRef (member a4_re 26)) + (portRef (member a4_re 26) (instanceRef inst_TailCorr_top)) + )) + (net (rename a4_re_6 "a4_re[6]") (joined + (portRef (member a4_re 25)) + (portRef (member a4_re 25) (instanceRef inst_TailCorr_top)) + )) + (net (rename a4_re_7 "a4_re[7]") (joined + (portRef (member a4_re 24)) + (portRef (member a4_re 24) (instanceRef inst_TailCorr_top)) + )) + (net (rename a4_re_8 "a4_re[8]") (joined + (portRef (member a4_re 23)) + (portRef (member a4_re 23) (instanceRef inst_TailCorr_top)) + )) + (net (rename a4_re_9 "a4_re[9]") (joined + (portRef (member a4_re 22)) + (portRef (member a4_re 22) (instanceRef inst_TailCorr_top)) + )) + (net (rename a4_re_10 "a4_re[10]") (joined + (portRef (member a4_re 21)) + (portRef (member a4_re 21) (instanceRef inst_TailCorr_top)) + )) + (net (rename a4_re_11 "a4_re[11]") (joined + (portRef (member a4_re 20)) + (portRef (member a4_re 20) (instanceRef inst_TailCorr_top)) + )) + (net (rename a4_re_12 "a4_re[12]") (joined + (portRef (member a4_re 19)) + (portRef (member a4_re 19) (instanceRef inst_TailCorr_top)) + )) + (net (rename a4_re_13 "a4_re[13]") (joined + (portRef (member a4_re 18)) + (portRef (member a4_re 18) (instanceRef inst_TailCorr_top)) + )) + (net (rename a4_re_14 "a4_re[14]") (joined + (portRef (member a4_re 17)) + (portRef (member a4_re 17) (instanceRef inst_TailCorr_top)) + )) + (net (rename a4_re_15 "a4_re[15]") (joined + (portRef (member a4_re 16)) + (portRef (member a4_re 16) (instanceRef inst_TailCorr_top)) + )) + (net (rename a4_re_16 "a4_re[16]") (joined + (portRef (member a4_re 15)) + (portRef (member a4_re 15) (instanceRef inst_TailCorr_top)) + )) + (net (rename a4_re_17 "a4_re[17]") (joined + (portRef (member a4_re 14)) + (portRef (member a4_re 14) (instanceRef inst_TailCorr_top)) + )) + (net (rename a4_re_18 "a4_re[18]") (joined + (portRef (member a4_re 13)) + (portRef (member a4_re 13) (instanceRef inst_TailCorr_top)) + )) + (net (rename a4_re_19 "a4_re[19]") (joined + (portRef (member a4_re 12)) + (portRef (member a4_re 12) (instanceRef inst_TailCorr_top)) + )) + (net (rename a4_re_20 "a4_re[20]") (joined + (portRef (member a4_re 11)) + (portRef (member a4_re 11) (instanceRef inst_TailCorr_top)) + )) + (net (rename a4_re_21 "a4_re[21]") (joined + (portRef (member a4_re 10)) + (portRef (member a4_re 10) (instanceRef inst_TailCorr_top)) + )) + (net (rename a4_re_22 "a4_re[22]") (joined + (portRef (member a4_re 9)) + (portRef (member a4_re 9) (instanceRef inst_TailCorr_top)) + )) + (net (rename a4_re_23 "a4_re[23]") (joined + (portRef (member a4_re 8)) + (portRef (member a4_re 8) (instanceRef inst_TailCorr_top)) + )) + (net (rename a4_re_24 "a4_re[24]") (joined + (portRef (member a4_re 7)) + (portRef (member a4_re 7) (instanceRef inst_TailCorr_top)) + )) + (net (rename a4_re_25 "a4_re[25]") (joined + (portRef (member a4_re 6)) + (portRef (member a4_re 6) (instanceRef inst_TailCorr_top)) + )) + (net (rename a4_re_26 "a4_re[26]") (joined + (portRef (member a4_re 5)) + (portRef (member a4_re 5) (instanceRef inst_TailCorr_top)) + )) + (net (rename a4_re_27 "a4_re[27]") (joined + (portRef (member a4_re 4)) + (portRef (member a4_re 4) (instanceRef inst_TailCorr_top)) + )) + (net (rename a4_re_28 "a4_re[28]") (joined + (portRef (member a4_re 3)) + (portRef (member a4_re 3) (instanceRef inst_TailCorr_top)) + )) + (net (rename a4_re_29 "a4_re[29]") (joined + (portRef (member a4_re 2)) + (portRef (member a4_re 2) (instanceRef inst_TailCorr_top)) + )) + (net (rename a4_re_30 "a4_re[30]") (joined + (portRef (member a4_re 1)) + (portRef (member a4_re 1) (instanceRef inst_TailCorr_top)) + )) + (net (rename a4_re_31 "a4_re[31]") (joined + (portRef (member a4_re 0)) + (portRef (member a4_re 0) (instanceRef inst_TailCorr_top)) + )) + (net (rename a4_im_0 "a4_im[0]") (joined + (portRef (member a4_im 31)) + )) + (net (rename a4_im_1 "a4_im[1]") (joined + (portRef (member a4_im 30)) + )) + (net (rename a4_im_2 "a4_im[2]") (joined + (portRef (member a4_im 29)) + )) + (net (rename a4_im_3 "a4_im[3]") (joined + (portRef (member a4_im 28)) + )) + (net (rename a4_im_4 "a4_im[4]") (joined + (portRef (member a4_im 27)) + )) + (net (rename a4_im_5 "a4_im[5]") (joined + (portRef (member a4_im 26)) + )) + (net (rename a4_im_6 "a4_im[6]") (joined + (portRef (member a4_im 25)) + )) + (net (rename a4_im_7 "a4_im[7]") (joined + (portRef (member a4_im 24)) + )) + (net (rename a4_im_8 "a4_im[8]") (joined + (portRef (member a4_im 23)) + )) + (net (rename a4_im_9 "a4_im[9]") (joined + (portRef (member a4_im 22)) + )) + (net (rename a4_im_10 "a4_im[10]") (joined + (portRef (member a4_im 21)) + )) + (net (rename a4_im_11 "a4_im[11]") (joined + (portRef (member a4_im 20)) + )) + (net (rename a4_im_12 "a4_im[12]") (joined + (portRef (member a4_im 19)) + )) + (net (rename a4_im_13 "a4_im[13]") (joined + (portRef (member a4_im 18)) + )) + (net (rename a4_im_14 "a4_im[14]") (joined + (portRef (member a4_im 17)) + )) + (net (rename a4_im_15 "a4_im[15]") (joined + (portRef (member a4_im 16)) + )) + (net (rename a4_im_16 "a4_im[16]") (joined + (portRef (member a4_im 15)) + )) + (net (rename a4_im_17 "a4_im[17]") (joined + (portRef (member a4_im 14)) + )) + (net (rename a4_im_18 "a4_im[18]") (joined + (portRef (member a4_im 13)) + )) + (net (rename a4_im_19 "a4_im[19]") (joined + (portRef (member a4_im 12)) + )) + (net (rename a4_im_20 "a4_im[20]") (joined + (portRef (member a4_im 11)) + )) + (net (rename a4_im_21 "a4_im[21]") (joined + (portRef (member a4_im 10)) + )) + (net (rename a4_im_22 "a4_im[22]") (joined + (portRef (member a4_im 9)) + )) + (net (rename a4_im_23 "a4_im[23]") (joined + (portRef (member a4_im 8)) + )) + (net (rename a4_im_24 "a4_im[24]") (joined + (portRef (member a4_im 7)) + )) + (net (rename a4_im_25 "a4_im[25]") (joined + (portRef (member a4_im 6)) + )) + (net (rename a4_im_26 "a4_im[26]") (joined + (portRef (member a4_im 5)) + )) + (net (rename a4_im_27 "a4_im[27]") (joined + (portRef (member a4_im 4)) + )) + (net (rename a4_im_28 "a4_im[28]") (joined + (portRef (member a4_im 3)) + )) + (net (rename a4_im_29 "a4_im[29]") (joined + (portRef (member a4_im 2)) + )) + (net (rename a4_im_30 "a4_im[30]") (joined + (portRef (member a4_im 1)) + )) + (net (rename a4_im_31 "a4_im[31]") (joined + (portRef (member a4_im 0)) + )) + (net (rename b4_re_0 "b4_re[0]") (joined + (portRef (member b4_re 31)) + (portRef (member b4_re 31) (instanceRef inst_TailCorr_top)) + )) + (net (rename b4_re_1 "b4_re[1]") (joined + (portRef (member b4_re 30)) + (portRef (member b4_re 30) (instanceRef inst_TailCorr_top)) + )) + (net (rename b4_re_2 "b4_re[2]") (joined + (portRef (member b4_re 29)) + (portRef (member b4_re 29) (instanceRef inst_TailCorr_top)) + )) + (net (rename b4_re_3 "b4_re[3]") (joined + (portRef (member b4_re 28)) + (portRef (member b4_re 28) (instanceRef inst_TailCorr_top)) + )) + (net (rename b4_re_4 "b4_re[4]") (joined + (portRef (member b4_re 27)) + (portRef (member b4_re 27) (instanceRef inst_TailCorr_top)) + )) + (net (rename b4_re_5 "b4_re[5]") (joined + (portRef (member b4_re 26)) + (portRef (member b4_re 26) (instanceRef inst_TailCorr_top)) + )) + (net (rename b4_re_6 "b4_re[6]") (joined + (portRef (member b4_re 25)) + (portRef (member b4_re 25) (instanceRef inst_TailCorr_top)) + )) + (net (rename b4_re_7 "b4_re[7]") (joined + (portRef (member b4_re 24)) + (portRef (member b4_re 24) (instanceRef inst_TailCorr_top)) + )) + (net (rename b4_re_8 "b4_re[8]") (joined + (portRef (member b4_re 23)) + (portRef (member b4_re 23) (instanceRef inst_TailCorr_top)) + )) + (net (rename b4_re_9 "b4_re[9]") (joined + (portRef (member b4_re 22)) + (portRef (member b4_re 22) (instanceRef inst_TailCorr_top)) + )) + (net (rename b4_re_10 "b4_re[10]") (joined + (portRef (member b4_re 21)) + (portRef (member b4_re 21) (instanceRef inst_TailCorr_top)) + )) + (net (rename b4_re_11 "b4_re[11]") (joined + (portRef (member b4_re 20)) + (portRef (member b4_re 20) (instanceRef inst_TailCorr_top)) + )) + (net (rename b4_re_12 "b4_re[12]") (joined + (portRef (member b4_re 19)) + (portRef (member b4_re 19) (instanceRef inst_TailCorr_top)) + )) + (net (rename b4_re_13 "b4_re[13]") (joined + (portRef (member b4_re 18)) + (portRef (member b4_re 18) (instanceRef inst_TailCorr_top)) + )) + (net (rename b4_re_14 "b4_re[14]") (joined + (portRef (member b4_re 17)) + (portRef (member b4_re 17) (instanceRef inst_TailCorr_top)) + )) + (net (rename b4_re_15 "b4_re[15]") (joined + (portRef (member b4_re 16)) + (portRef (member b4_re 16) (instanceRef inst_TailCorr_top)) + )) + (net (rename b4_re_16 "b4_re[16]") (joined + (portRef (member b4_re 15)) + (portRef (member b4_re 15) (instanceRef inst_TailCorr_top)) + )) + (net (rename b4_re_17 "b4_re[17]") (joined + (portRef (member b4_re 14)) + (portRef (member b4_re 14) (instanceRef inst_TailCorr_top)) + )) + (net (rename b4_re_18 "b4_re[18]") (joined + (portRef (member b4_re 13)) + (portRef (member b4_re 13) (instanceRef inst_TailCorr_top)) + )) + (net (rename b4_re_19 "b4_re[19]") (joined + (portRef (member b4_re 12)) + (portRef (member b4_re 12) (instanceRef inst_TailCorr_top)) + )) + (net (rename b4_re_20 "b4_re[20]") (joined + (portRef (member b4_re 11)) + (portRef (member b4_re 11) (instanceRef inst_TailCorr_top)) + )) + (net (rename b4_re_21 "b4_re[21]") (joined + (portRef (member b4_re 10)) + (portRef (member b4_re 10) (instanceRef inst_TailCorr_top)) + )) + (net (rename b4_re_22 "b4_re[22]") (joined + (portRef (member b4_re 9)) + (portRef (member b4_re 9) (instanceRef inst_TailCorr_top)) + )) + (net (rename b4_re_23 "b4_re[23]") (joined + (portRef (member b4_re 8)) + (portRef (member b4_re 8) (instanceRef inst_TailCorr_top)) + )) + (net (rename b4_re_24 "b4_re[24]") (joined + (portRef (member b4_re 7)) + (portRef (member b4_re 7) (instanceRef inst_TailCorr_top)) + )) + (net (rename b4_re_25 "b4_re[25]") (joined + (portRef (member b4_re 6)) + (portRef (member b4_re 6) (instanceRef inst_TailCorr_top)) + )) + (net (rename b4_re_26 "b4_re[26]") (joined + (portRef (member b4_re 5)) + (portRef (member b4_re 5) (instanceRef inst_TailCorr_top)) + )) + (net (rename b4_re_27 "b4_re[27]") (joined + (portRef (member b4_re 4)) + (portRef (member b4_re 4) (instanceRef inst_TailCorr_top)) + )) + (net (rename b4_re_28 "b4_re[28]") (joined + (portRef (member b4_re 3)) + (portRef (member b4_re 3) (instanceRef inst_TailCorr_top)) + )) + (net (rename b4_re_29 "b4_re[29]") (joined + (portRef (member b4_re 2)) + (portRef (member b4_re 2) (instanceRef inst_TailCorr_top)) + )) + (net (rename b4_re_30 "b4_re[30]") (joined + (portRef (member b4_re 1)) + (portRef (member b4_re 1) (instanceRef inst_TailCorr_top)) + )) + (net (rename b4_re_31 "b4_re[31]") (joined + (portRef (member b4_re 0)) + (portRef (member b4_re 0) (instanceRef inst_TailCorr_top)) + )) + (net (rename b4_im_0 "b4_im[0]") (joined + (portRef (member b4_im 31)) + )) + (net (rename b4_im_1 "b4_im[1]") (joined + (portRef (member b4_im 30)) + )) + (net (rename b4_im_2 "b4_im[2]") (joined + (portRef (member b4_im 29)) + )) + (net (rename b4_im_3 "b4_im[3]") (joined + (portRef (member b4_im 28)) + )) + (net (rename b4_im_4 "b4_im[4]") (joined + (portRef (member b4_im 27)) + )) + (net (rename b4_im_5 "b4_im[5]") (joined + (portRef (member b4_im 26)) + )) + (net (rename b4_im_6 "b4_im[6]") (joined + (portRef (member b4_im 25)) + )) + (net (rename b4_im_7 "b4_im[7]") (joined + (portRef (member b4_im 24)) + )) + (net (rename b4_im_8 "b4_im[8]") (joined + (portRef (member b4_im 23)) + )) + (net (rename b4_im_9 "b4_im[9]") (joined + (portRef (member b4_im 22)) + )) + (net (rename b4_im_10 "b4_im[10]") (joined + (portRef (member b4_im 21)) + )) + (net (rename b4_im_11 "b4_im[11]") (joined + (portRef (member b4_im 20)) + )) + (net (rename b4_im_12 "b4_im[12]") (joined + (portRef (member b4_im 19)) + )) + (net (rename b4_im_13 "b4_im[13]") (joined + (portRef (member b4_im 18)) + )) + (net (rename b4_im_14 "b4_im[14]") (joined + (portRef (member b4_im 17)) + )) + (net (rename b4_im_15 "b4_im[15]") (joined + (portRef (member b4_im 16)) + )) + (net (rename b4_im_16 "b4_im[16]") (joined + (portRef (member b4_im 15)) + )) + (net (rename b4_im_17 "b4_im[17]") (joined + (portRef (member b4_im 14)) + )) + (net (rename b4_im_18 "b4_im[18]") (joined + (portRef (member b4_im 13)) + )) + (net (rename b4_im_19 "b4_im[19]") (joined + (portRef (member b4_im 12)) + )) + (net (rename b4_im_20 "b4_im[20]") (joined + (portRef (member b4_im 11)) + )) + (net (rename b4_im_21 "b4_im[21]") (joined + (portRef (member b4_im 10)) + )) + (net (rename b4_im_22 "b4_im[22]") (joined + (portRef (member b4_im 9)) + )) + (net (rename b4_im_23 "b4_im[23]") (joined + (portRef (member b4_im 8)) + )) + (net (rename b4_im_24 "b4_im[24]") (joined + (portRef (member b4_im 7)) + )) + (net (rename b4_im_25 "b4_im[25]") (joined + (portRef (member b4_im 6)) + )) + (net (rename b4_im_26 "b4_im[26]") (joined + (portRef (member b4_im 5)) + )) + (net (rename b4_im_27 "b4_im[27]") (joined + (portRef (member b4_im 4)) + )) + (net (rename b4_im_28 "b4_im[28]") (joined + (portRef (member b4_im 3)) + )) + (net (rename b4_im_29 "b4_im[29]") (joined + (portRef (member b4_im 2)) + )) + (net (rename b4_im_30 "b4_im[30]") (joined + (portRef (member b4_im 1)) + )) + (net (rename b4_im_31 "b4_im[31]") (joined + (portRef (member b4_im 0)) + )) + (net (rename a5_re_0 "a5_re[0]") (joined + (portRef (member a5_re 31)) + (portRef (member a5_re 31) (instanceRef inst_TailCorr_top)) + )) + (net (rename a5_re_1 "a5_re[1]") (joined + (portRef (member a5_re 30)) + (portRef (member a5_re 30) (instanceRef inst_TailCorr_top)) + )) + (net (rename a5_re_2 "a5_re[2]") (joined + (portRef (member a5_re 29)) + (portRef (member a5_re 29) (instanceRef inst_TailCorr_top)) + )) + (net (rename a5_re_3 "a5_re[3]") (joined + (portRef (member a5_re 28)) + (portRef (member a5_re 28) (instanceRef inst_TailCorr_top)) + )) + (net (rename a5_re_4 "a5_re[4]") (joined + (portRef (member a5_re 27)) + (portRef (member a5_re 27) (instanceRef inst_TailCorr_top)) + )) + (net (rename a5_re_5 "a5_re[5]") (joined + (portRef (member a5_re 26)) + (portRef (member a5_re 26) (instanceRef inst_TailCorr_top)) + )) + (net (rename a5_re_6 "a5_re[6]") (joined + (portRef (member a5_re 25)) + (portRef (member a5_re 25) (instanceRef inst_TailCorr_top)) + )) + (net (rename a5_re_7 "a5_re[7]") (joined + (portRef (member a5_re 24)) + (portRef (member a5_re 24) (instanceRef inst_TailCorr_top)) + )) + (net (rename a5_re_8 "a5_re[8]") (joined + (portRef (member a5_re 23)) + (portRef (member a5_re 23) (instanceRef inst_TailCorr_top)) + )) + (net (rename a5_re_9 "a5_re[9]") (joined + (portRef (member a5_re 22)) + (portRef (member a5_re 22) (instanceRef inst_TailCorr_top)) + )) + (net (rename a5_re_10 "a5_re[10]") (joined + (portRef (member a5_re 21)) + (portRef (member a5_re 21) (instanceRef inst_TailCorr_top)) + )) + (net (rename a5_re_11 "a5_re[11]") (joined + (portRef (member a5_re 20)) + (portRef (member a5_re 20) (instanceRef inst_TailCorr_top)) + )) + (net (rename a5_re_12 "a5_re[12]") (joined + (portRef (member a5_re 19)) + (portRef (member a5_re 19) (instanceRef inst_TailCorr_top)) + )) + (net (rename a5_re_13 "a5_re[13]") (joined + (portRef (member a5_re 18)) + (portRef (member a5_re 18) (instanceRef inst_TailCorr_top)) + )) + (net (rename a5_re_14 "a5_re[14]") (joined + (portRef (member a5_re 17)) + (portRef (member a5_re 17) (instanceRef inst_TailCorr_top)) + )) + (net (rename a5_re_15 "a5_re[15]") (joined + (portRef (member a5_re 16)) + (portRef (member a5_re 16) (instanceRef inst_TailCorr_top)) + )) + (net (rename a5_re_16 "a5_re[16]") (joined + (portRef (member a5_re 15)) + (portRef (member a5_re 15) (instanceRef inst_TailCorr_top)) + )) + (net (rename a5_re_17 "a5_re[17]") (joined + (portRef (member a5_re 14)) + (portRef (member a5_re 14) (instanceRef inst_TailCorr_top)) + )) + (net (rename a5_re_18 "a5_re[18]") (joined + (portRef (member a5_re 13)) + (portRef (member a5_re 13) (instanceRef inst_TailCorr_top)) + )) + (net (rename a5_re_19 "a5_re[19]") (joined + (portRef (member a5_re 12)) + (portRef (member a5_re 12) (instanceRef inst_TailCorr_top)) + )) + (net (rename a5_re_20 "a5_re[20]") (joined + (portRef (member a5_re 11)) + (portRef (member a5_re 11) (instanceRef inst_TailCorr_top)) + )) + (net (rename a5_re_21 "a5_re[21]") (joined + (portRef (member a5_re 10)) + (portRef (member a5_re 10) (instanceRef inst_TailCorr_top)) + )) + (net (rename a5_re_22 "a5_re[22]") (joined + (portRef (member a5_re 9)) + (portRef (member a5_re 9) (instanceRef inst_TailCorr_top)) + )) + (net (rename a5_re_23 "a5_re[23]") (joined + (portRef (member a5_re 8)) + (portRef (member a5_re 8) (instanceRef inst_TailCorr_top)) + )) + (net (rename a5_re_24 "a5_re[24]") (joined + (portRef (member a5_re 7)) + (portRef (member a5_re 7) (instanceRef inst_TailCorr_top)) + )) + (net (rename a5_re_25 "a5_re[25]") (joined + (portRef (member a5_re 6)) + (portRef (member a5_re 6) (instanceRef inst_TailCorr_top)) + )) + (net (rename a5_re_26 "a5_re[26]") (joined + (portRef (member a5_re 5)) + (portRef (member a5_re 5) (instanceRef inst_TailCorr_top)) + )) + (net (rename a5_re_27 "a5_re[27]") (joined + (portRef (member a5_re 4)) + (portRef (member a5_re 4) (instanceRef inst_TailCorr_top)) + )) + (net (rename a5_re_28 "a5_re[28]") (joined + (portRef (member a5_re 3)) + (portRef (member a5_re 3) (instanceRef inst_TailCorr_top)) + )) + (net (rename a5_re_29 "a5_re[29]") (joined + (portRef (member a5_re 2)) + (portRef (member a5_re 2) (instanceRef inst_TailCorr_top)) + )) + (net (rename a5_re_30 "a5_re[30]") (joined + (portRef (member a5_re 1)) + (portRef (member a5_re 1) (instanceRef inst_TailCorr_top)) + )) + (net (rename a5_re_31 "a5_re[31]") (joined + (portRef (member a5_re 0)) + (portRef (member a5_re 0) (instanceRef inst_TailCorr_top)) + )) + (net (rename a5_im_0 "a5_im[0]") (joined + (portRef (member a5_im 31)) + )) + (net (rename a5_im_1 "a5_im[1]") (joined + (portRef (member a5_im 30)) + )) + (net (rename a5_im_2 "a5_im[2]") (joined + (portRef (member a5_im 29)) + )) + (net (rename a5_im_3 "a5_im[3]") (joined + (portRef (member a5_im 28)) + )) + (net (rename a5_im_4 "a5_im[4]") (joined + (portRef (member a5_im 27)) + )) + (net (rename a5_im_5 "a5_im[5]") (joined + (portRef (member a5_im 26)) + )) + (net (rename a5_im_6 "a5_im[6]") (joined + (portRef (member a5_im 25)) + )) + (net (rename a5_im_7 "a5_im[7]") (joined + (portRef (member a5_im 24)) + )) + (net (rename a5_im_8 "a5_im[8]") (joined + (portRef (member a5_im 23)) + )) + (net (rename a5_im_9 "a5_im[9]") (joined + (portRef (member a5_im 22)) + )) + (net (rename a5_im_10 "a5_im[10]") (joined + (portRef (member a5_im 21)) + )) + (net (rename a5_im_11 "a5_im[11]") (joined + (portRef (member a5_im 20)) + )) + (net (rename a5_im_12 "a5_im[12]") (joined + (portRef (member a5_im 19)) + )) + (net (rename a5_im_13 "a5_im[13]") (joined + (portRef (member a5_im 18)) + )) + (net (rename a5_im_14 "a5_im[14]") (joined + (portRef (member a5_im 17)) + )) + (net (rename a5_im_15 "a5_im[15]") (joined + (portRef (member a5_im 16)) + )) + (net (rename a5_im_16 "a5_im[16]") (joined + (portRef (member a5_im 15)) + )) + (net (rename a5_im_17 "a5_im[17]") (joined + (portRef (member a5_im 14)) + )) + (net (rename a5_im_18 "a5_im[18]") (joined + (portRef (member a5_im 13)) + )) + (net (rename a5_im_19 "a5_im[19]") (joined + (portRef (member a5_im 12)) + )) + (net (rename a5_im_20 "a5_im[20]") (joined + (portRef (member a5_im 11)) + )) + (net (rename a5_im_21 "a5_im[21]") (joined + (portRef (member a5_im 10)) + )) + (net (rename a5_im_22 "a5_im[22]") (joined + (portRef (member a5_im 9)) + )) + (net (rename a5_im_23 "a5_im[23]") (joined + (portRef (member a5_im 8)) + )) + (net (rename a5_im_24 "a5_im[24]") (joined + (portRef (member a5_im 7)) + )) + (net (rename a5_im_25 "a5_im[25]") (joined + (portRef (member a5_im 6)) + )) + (net (rename a5_im_26 "a5_im[26]") (joined + (portRef (member a5_im 5)) + )) + (net (rename a5_im_27 "a5_im[27]") (joined + (portRef (member a5_im 4)) + )) + (net (rename a5_im_28 "a5_im[28]") (joined + (portRef (member a5_im 3)) + )) + (net (rename a5_im_29 "a5_im[29]") (joined + (portRef (member a5_im 2)) + )) + (net (rename a5_im_30 "a5_im[30]") (joined + (portRef (member a5_im 1)) + )) + (net (rename a5_im_31 "a5_im[31]") (joined + (portRef (member a5_im 0)) + )) + (net (rename b5_re_0 "b5_re[0]") (joined + (portRef (member b5_re 31)) + (portRef (member b5_re 31) (instanceRef inst_TailCorr_top)) + )) + (net (rename b5_re_1 "b5_re[1]") (joined + (portRef (member b5_re 30)) + (portRef (member b5_re 30) (instanceRef inst_TailCorr_top)) + )) + (net (rename b5_re_2 "b5_re[2]") (joined + (portRef (member b5_re 29)) + (portRef (member b5_re 29) (instanceRef inst_TailCorr_top)) + )) + (net (rename b5_re_3 "b5_re[3]") (joined + (portRef (member b5_re 28)) + (portRef (member b5_re 28) (instanceRef inst_TailCorr_top)) + )) + (net (rename b5_re_4 "b5_re[4]") (joined + (portRef (member b5_re 27)) + (portRef (member b5_re 27) (instanceRef inst_TailCorr_top)) + )) + (net (rename b5_re_5 "b5_re[5]") (joined + (portRef (member b5_re 26)) + (portRef (member b5_re 26) (instanceRef inst_TailCorr_top)) + )) + (net (rename b5_re_6 "b5_re[6]") (joined + (portRef (member b5_re 25)) + (portRef (member b5_re 25) (instanceRef inst_TailCorr_top)) + )) + (net (rename b5_re_7 "b5_re[7]") (joined + (portRef (member b5_re 24)) + (portRef (member b5_re 24) (instanceRef inst_TailCorr_top)) + )) + (net (rename b5_re_8 "b5_re[8]") (joined + (portRef (member b5_re 23)) + (portRef (member b5_re 23) (instanceRef inst_TailCorr_top)) + )) + (net (rename b5_re_9 "b5_re[9]") (joined + (portRef (member b5_re 22)) + (portRef (member b5_re 22) (instanceRef inst_TailCorr_top)) + )) + (net (rename b5_re_10 "b5_re[10]") (joined + (portRef (member b5_re 21)) + (portRef (member b5_re 21) (instanceRef inst_TailCorr_top)) + )) + (net (rename b5_re_11 "b5_re[11]") (joined + (portRef (member b5_re 20)) + (portRef (member b5_re 20) (instanceRef inst_TailCorr_top)) + )) + (net (rename b5_re_12 "b5_re[12]") (joined + (portRef (member b5_re 19)) + (portRef (member b5_re 19) (instanceRef inst_TailCorr_top)) + )) + (net (rename b5_re_13 "b5_re[13]") (joined + (portRef (member b5_re 18)) + (portRef (member b5_re 18) (instanceRef inst_TailCorr_top)) + )) + (net (rename b5_re_14 "b5_re[14]") (joined + (portRef (member b5_re 17)) + (portRef (member b5_re 17) (instanceRef inst_TailCorr_top)) + )) + (net (rename b5_re_15 "b5_re[15]") (joined + (portRef (member b5_re 16)) + (portRef (member b5_re 16) (instanceRef inst_TailCorr_top)) + )) + (net (rename b5_re_16 "b5_re[16]") (joined + (portRef (member b5_re 15)) + (portRef (member b5_re 15) (instanceRef inst_TailCorr_top)) + )) + (net (rename b5_re_17 "b5_re[17]") (joined + (portRef (member b5_re 14)) + (portRef (member b5_re 14) (instanceRef inst_TailCorr_top)) + )) + (net (rename b5_re_18 "b5_re[18]") (joined + (portRef (member b5_re 13)) + (portRef (member b5_re 13) (instanceRef inst_TailCorr_top)) + )) + (net (rename b5_re_19 "b5_re[19]") (joined + (portRef (member b5_re 12)) + (portRef (member b5_re 12) (instanceRef inst_TailCorr_top)) + )) + (net (rename b5_re_20 "b5_re[20]") (joined + (portRef (member b5_re 11)) + (portRef (member b5_re 11) (instanceRef inst_TailCorr_top)) + )) + (net (rename b5_re_21 "b5_re[21]") (joined + (portRef (member b5_re 10)) + (portRef (member b5_re 10) (instanceRef inst_TailCorr_top)) + )) + (net (rename b5_re_22 "b5_re[22]") (joined + (portRef (member b5_re 9)) + (portRef (member b5_re 9) (instanceRef inst_TailCorr_top)) + )) + (net (rename b5_re_23 "b5_re[23]") (joined + (portRef (member b5_re 8)) + (portRef (member b5_re 8) (instanceRef inst_TailCorr_top)) + )) + (net (rename b5_re_24 "b5_re[24]") (joined + (portRef (member b5_re 7)) + (portRef (member b5_re 7) (instanceRef inst_TailCorr_top)) + )) + (net (rename b5_re_25 "b5_re[25]") (joined + (portRef (member b5_re 6)) + (portRef (member b5_re 6) (instanceRef inst_TailCorr_top)) + )) + (net (rename b5_re_26 "b5_re[26]") (joined + (portRef (member b5_re 5)) + (portRef (member b5_re 5) (instanceRef inst_TailCorr_top)) + )) + (net (rename b5_re_27 "b5_re[27]") (joined + (portRef (member b5_re 4)) + (portRef (member b5_re 4) (instanceRef inst_TailCorr_top)) + )) + (net (rename b5_re_28 "b5_re[28]") (joined + (portRef (member b5_re 3)) + (portRef (member b5_re 3) (instanceRef inst_TailCorr_top)) + )) + (net (rename b5_re_29 "b5_re[29]") (joined + (portRef (member b5_re 2)) + (portRef (member b5_re 2) (instanceRef inst_TailCorr_top)) + )) + (net (rename b5_re_30 "b5_re[30]") (joined + (portRef (member b5_re 1)) + (portRef (member b5_re 1) (instanceRef inst_TailCorr_top)) + )) + (net (rename b5_re_31 "b5_re[31]") (joined + (portRef (member b5_re 0)) + (portRef (member b5_re 0) (instanceRef inst_TailCorr_top)) + )) + (net (rename b5_im_0 "b5_im[0]") (joined + (portRef (member b5_im 31)) + )) + (net (rename b5_im_1 "b5_im[1]") (joined + (portRef (member b5_im 30)) + )) + (net (rename b5_im_2 "b5_im[2]") (joined + (portRef (member b5_im 29)) + )) + (net (rename b5_im_3 "b5_im[3]") (joined + (portRef (member b5_im 28)) + )) + (net (rename b5_im_4 "b5_im[4]") (joined + (portRef (member b5_im 27)) + )) + (net (rename b5_im_5 "b5_im[5]") (joined + (portRef (member b5_im 26)) + )) + (net (rename b5_im_6 "b5_im[6]") (joined + (portRef (member b5_im 25)) + )) + (net (rename b5_im_7 "b5_im[7]") (joined + (portRef (member b5_im 24)) + )) + (net (rename b5_im_8 "b5_im[8]") (joined + (portRef (member b5_im 23)) + )) + (net (rename b5_im_9 "b5_im[9]") (joined + (portRef (member b5_im 22)) + )) + (net (rename b5_im_10 "b5_im[10]") (joined + (portRef (member b5_im 21)) + )) + (net (rename b5_im_11 "b5_im[11]") (joined + (portRef (member b5_im 20)) + )) + (net (rename b5_im_12 "b5_im[12]") (joined + (portRef (member b5_im 19)) + )) + (net (rename b5_im_13 "b5_im[13]") (joined + (portRef (member b5_im 18)) + )) + (net (rename b5_im_14 "b5_im[14]") (joined + (portRef (member b5_im 17)) + )) + (net (rename b5_im_15 "b5_im[15]") (joined + (portRef (member b5_im 16)) + )) + (net (rename b5_im_16 "b5_im[16]") (joined + (portRef (member b5_im 15)) + )) + (net (rename b5_im_17 "b5_im[17]") (joined + (portRef (member b5_im 14)) + )) + (net (rename b5_im_18 "b5_im[18]") (joined + (portRef (member b5_im 13)) + )) + (net (rename b5_im_19 "b5_im[19]") (joined + (portRef (member b5_im 12)) + )) + (net (rename b5_im_20 "b5_im[20]") (joined + (portRef (member b5_im 11)) + )) + (net (rename b5_im_21 "b5_im[21]") (joined + (portRef (member b5_im 10)) + )) + (net (rename b5_im_22 "b5_im[22]") (joined + (portRef (member b5_im 9)) + )) + (net (rename b5_im_23 "b5_im[23]") (joined + (portRef (member b5_im 8)) + )) + (net (rename b5_im_24 "b5_im[24]") (joined + (portRef (member b5_im 7)) + )) + (net (rename b5_im_25 "b5_im[25]") (joined + (portRef (member b5_im 6)) + )) + (net (rename b5_im_26 "b5_im[26]") (joined + (portRef (member b5_im 5)) + )) + (net (rename b5_im_27 "b5_im[27]") (joined + (portRef (member b5_im 4)) + )) + (net (rename b5_im_28 "b5_im[28]") (joined + (portRef (member b5_im 3)) + )) + (net (rename b5_im_29 "b5_im[29]") (joined + (portRef (member b5_im 2)) + )) + (net (rename b5_im_30 "b5_im[30]") (joined + (portRef (member b5_im 1)) + )) + (net (rename b5_im_31 "b5_im[31]") (joined + (portRef (member b5_im 0)) + )) + (net (rename dout0_0 "dout0[0]") (joined + (portRef Q (instanceRef doutf_0_0)) + (portRef (member dout0 15)) + )) + (net (rename dout0_1 "dout0[1]") (joined + (portRef Q (instanceRef doutf_0_1)) + (portRef (member dout0 14)) + )) + (net (rename dout0_2 "dout0[2]") (joined + (portRef Q (instanceRef doutf_0_2)) + (portRef (member dout0 13)) + )) + (net (rename dout0_3 "dout0[3]") (joined + (portRef Q (instanceRef doutf_0_3)) + (portRef (member dout0 12)) + )) + (net (rename dout0_4 "dout0[4]") (joined + (portRef Q (instanceRef doutf_0_4)) + (portRef (member dout0 11)) + )) + (net (rename dout0_5 "dout0[5]") (joined + (portRef Q (instanceRef doutf_0_5)) + (portRef (member dout0 10)) + )) + (net (rename dout0_6 "dout0[6]") (joined + (portRef Q (instanceRef doutf_0_6)) + (portRef (member dout0 9)) + )) + (net (rename dout0_7 "dout0[7]") (joined + (portRef Q (instanceRef doutf_0_7)) + (portRef (member dout0 8)) + )) + (net (rename dout0_8 "dout0[8]") (joined + (portRef Q (instanceRef doutf_0_8)) + (portRef (member dout0 7)) + )) + (net (rename dout0_9 "dout0[9]") (joined + (portRef Q (instanceRef doutf_0_9)) + (portRef (member dout0 6)) + )) + (net (rename dout0_10 "dout0[10]") (joined + (portRef Q (instanceRef doutf_0_10)) + (portRef (member dout0 5)) + )) + (net (rename dout0_11 "dout0[11]") (joined + (portRef Q (instanceRef doutf_0_11)) + (portRef (member dout0 4)) + )) + (net (rename dout0_12 "dout0[12]") (joined + (portRef Q (instanceRef doutf_0_12)) + (portRef (member dout0 3)) + )) + (net (rename dout0_13 "dout0[13]") (joined + (portRef Q (instanceRef doutf_0_13)) + (portRef (member dout0 2)) + )) + (net (rename dout0_14 "dout0[14]") (joined + (portRef Q (instanceRef doutf_0_14)) + (portRef (member dout0 1)) + )) + (net (rename dout0_15 "dout0[15]") (joined + (portRef Q (instanceRef doutf_0_15)) + (portRef (member dout0 0)) + )) + (net (rename dout1_0 "dout1[0]") (joined + (portRef Q (instanceRef doutf_1_0)) + (portRef (member dout1 15)) + )) + (net (rename dout1_1 "dout1[1]") (joined + (portRef Q (instanceRef doutf_1_1)) + (portRef (member dout1 14)) + )) + (net (rename dout1_2 "dout1[2]") (joined + (portRef Q (instanceRef doutf_1_2)) + (portRef (member dout1 13)) + )) + (net (rename dout1_3 "dout1[3]") (joined + (portRef Q (instanceRef doutf_1_3)) + (portRef (member dout1 12)) + )) + (net (rename dout1_4 "dout1[4]") (joined + (portRef Q (instanceRef doutf_1_4)) + (portRef (member dout1 11)) + )) + (net (rename dout1_5 "dout1[5]") (joined + (portRef Q (instanceRef doutf_1_5)) + (portRef (member dout1 10)) + )) + (net (rename dout1_6 "dout1[6]") (joined + (portRef Q (instanceRef doutf_1_6)) + (portRef (member dout1 9)) + )) + (net (rename dout1_7 "dout1[7]") (joined + (portRef Q (instanceRef doutf_1_7)) + (portRef (member dout1 8)) + )) + (net (rename dout1_8 "dout1[8]") (joined + (portRef Q (instanceRef doutf_1_8)) + (portRef (member dout1 7)) + )) + (net (rename dout1_9 "dout1[9]") (joined + (portRef Q (instanceRef doutf_1_9)) + (portRef (member dout1 6)) + )) + (net (rename dout1_10 "dout1[10]") (joined + (portRef Q (instanceRef doutf_1_10)) + (portRef (member dout1 5)) + )) + (net (rename dout1_11 "dout1[11]") (joined + (portRef Q (instanceRef doutf_1_11)) + (portRef (member dout1 4)) + )) + (net (rename dout1_12 "dout1[12]") (joined + (portRef Q (instanceRef doutf_1_12)) + (portRef (member dout1 3)) + )) + (net (rename dout1_13 "dout1[13]") (joined + (portRef Q (instanceRef doutf_1_13)) + (portRef (member dout1 2)) + )) + (net (rename dout1_14 "dout1[14]") (joined + (portRef Q (instanceRef doutf_1_14)) + (portRef (member dout1 1)) + )) + (net (rename dout1_15 "dout1[15]") (joined + (portRef Q (instanceRef doutf_1_15)) + (portRef (member dout1 0)) + )) + (net (rename dout2_0 "dout2[0]") (joined + (portRef Q (instanceRef doutf_2_0)) + (portRef (member dout2 15)) + )) + (net (rename dout2_1 "dout2[1]") (joined + (portRef Q (instanceRef doutf_2_1)) + (portRef (member dout2 14)) + )) + (net (rename dout2_2 "dout2[2]") (joined + (portRef Q (instanceRef doutf_2_2)) + (portRef (member dout2 13)) + )) + (net (rename dout2_3 "dout2[3]") (joined + (portRef Q (instanceRef doutf_2_3)) + (portRef (member dout2 12)) + )) + (net (rename dout2_4 "dout2[4]") (joined + (portRef Q (instanceRef doutf_2_4)) + (portRef (member dout2 11)) + )) + (net (rename dout2_5 "dout2[5]") (joined + (portRef Q (instanceRef doutf_2_5)) + (portRef (member dout2 10)) + )) + (net (rename dout2_6 "dout2[6]") (joined + (portRef Q (instanceRef doutf_2_6)) + (portRef (member dout2 9)) + )) + (net (rename dout2_7 "dout2[7]") (joined + (portRef Q (instanceRef doutf_2_7)) + (portRef (member dout2 8)) + )) + (net (rename dout2_8 "dout2[8]") (joined + (portRef Q (instanceRef doutf_2_8)) + (portRef (member dout2 7)) + )) + (net (rename dout2_9 "dout2[9]") (joined + (portRef Q (instanceRef doutf_2_9)) + (portRef (member dout2 6)) + )) + (net (rename dout2_10 "dout2[10]") (joined + (portRef Q (instanceRef doutf_2_10)) + (portRef (member dout2 5)) + )) + (net (rename dout2_11 "dout2[11]") (joined + (portRef Q (instanceRef doutf_2_11)) + (portRef (member dout2 4)) + )) + (net (rename dout2_12 "dout2[12]") (joined + (portRef Q (instanceRef doutf_2_12)) + (portRef (member dout2 3)) + )) + (net (rename dout2_13 "dout2[13]") (joined + (portRef Q (instanceRef doutf_2_13)) + (portRef (member dout2 2)) + )) + (net (rename dout2_14 "dout2[14]") (joined + (portRef Q (instanceRef doutf_2_14)) + (portRef (member dout2 1)) + )) + (net (rename dout2_15 "dout2[15]") (joined + (portRef Q (instanceRef doutf_2_15)) + (portRef (member dout2 0)) + )) + (net (rename dout3_0 "dout3[0]") (joined + (portRef Q (instanceRef doutf_3_0)) + (portRef (member dout3 15)) + )) + (net (rename dout3_1 "dout3[1]") (joined + (portRef Q (instanceRef doutf_3_1)) + (portRef (member dout3 14)) + )) + (net (rename dout3_2 "dout3[2]") (joined + (portRef Q (instanceRef doutf_3_2)) + (portRef (member dout3 13)) + )) + (net (rename dout3_3 "dout3[3]") (joined + (portRef Q (instanceRef doutf_3_3)) + (portRef (member dout3 12)) + )) + (net (rename dout3_4 "dout3[4]") (joined + (portRef Q (instanceRef doutf_3_4)) + (portRef (member dout3 11)) + )) + (net (rename dout3_5 "dout3[5]") (joined + (portRef Q (instanceRef doutf_3_5)) + (portRef (member dout3 10)) + )) + (net (rename dout3_6 "dout3[6]") (joined + (portRef Q (instanceRef doutf_3_6)) + (portRef (member dout3 9)) + )) + (net (rename dout3_7 "dout3[7]") (joined + (portRef Q (instanceRef doutf_3_7)) + (portRef (member dout3 8)) + )) + (net (rename dout3_8 "dout3[8]") (joined + (portRef Q (instanceRef doutf_3_8)) + (portRef (member dout3 7)) + )) + (net (rename dout3_9 "dout3[9]") (joined + (portRef Q (instanceRef doutf_3_9)) + (portRef (member dout3 6)) + )) + (net (rename dout3_10 "dout3[10]") (joined + (portRef Q (instanceRef doutf_3_10)) + (portRef (member dout3 5)) + )) + (net (rename dout3_11 "dout3[11]") (joined + (portRef Q (instanceRef doutf_3_11)) + (portRef (member dout3 4)) + )) + (net (rename dout3_12 "dout3[12]") (joined + (portRef Q (instanceRef doutf_3_12)) + (portRef (member dout3 3)) + )) + (net (rename dout3_13 "dout3[13]") (joined + (portRef Q (instanceRef doutf_3_13)) + (portRef (member dout3 2)) + )) + (net (rename dout3_14 "dout3[14]") (joined + (portRef Q (instanceRef doutf_3_14)) + (portRef (member dout3 1)) + )) + (net (rename dout3_15 "dout3[15]") (joined + (portRef Q (instanceRef doutf_3_15)) + (portRef (member dout3 0)) + )) + (net vldo (joined + (portRef O (instanceRef vldo_r_1176_1226_RNID20N)) + (portRef vldo) + )) + (net saturation_0 (joined + (portRef saturation_0 (instanceRef inst_TailCorr_top)) + (portRef saturation_0) + )) + (net saturation_1 (joined + (portRef saturation_1 (instanceRef inst_TailCorr_top)) + (portRef saturation_1) + )) + (net saturation_2 (joined + (portRef saturation_2 (instanceRef inst_TailCorr_top)) + (portRef saturation_2) + )) + (net saturation_3 (joined + (portRef saturation_3 (instanceRef inst_TailCorr_top)) + (portRef saturation_3) + )) + (net saturation_4 (joined + (portRef saturation_4 (instanceRef inst_TailCorr_top)) + (portRef saturation_4) + )) + (net saturation_5 (joined + (portRef saturation_5 (instanceRef inst_TailCorr_top)) + (portRef saturation_5) + )) + (net IIR_out_14 (joined + (portRef IIR_out_14 (instanceRef inst_TailCorr_top)) + (portRef IIR_out_14 (instanceRef inst_MeanIntp_8)) + )) + (net IIR_out_13 (joined + (portRef IIR_out_13 (instanceRef inst_TailCorr_top)) + (portRef IIR_out_13 (instanceRef inst_MeanIntp_8)) + )) + (net IIR_out_12 (joined + (portRef IIR_out_12 (instanceRef inst_TailCorr_top)) + (portRef IIR_out_12 (instanceRef inst_MeanIntp_8)) + )) + (net IIR_out_11 (joined + (portRef IIR_out_11 (instanceRef inst_TailCorr_top)) + (portRef IIR_out_11 (instanceRef inst_MeanIntp_8)) + )) + (net IIR_out_10 (joined + (portRef IIR_out_10 (instanceRef inst_TailCorr_top)) + (portRef IIR_out_10 (instanceRef inst_MeanIntp_8)) + )) + (net IIR_out_9 (joined + (portRef IIR_out_9 (instanceRef inst_TailCorr_top)) + (portRef IIR_out_9 (instanceRef inst_MeanIntp_8)) + )) + (net IIR_out_8 (joined + (portRef IIR_out_8 (instanceRef inst_TailCorr_top)) + (portRef IIR_out_8 (instanceRef inst_MeanIntp_8)) + )) + (net IIR_out_7 (joined + (portRef IIR_out_7 (instanceRef inst_TailCorr_top)) + (portRef IIR_out_7 (instanceRef inst_MeanIntp_8)) + )) + (net IIR_out_6 (joined + (portRef IIR_out_6 (instanceRef inst_TailCorr_top)) + (portRef IIR_out_6 (instanceRef inst_MeanIntp_8)) + )) + (net IIR_out_5 (joined + (portRef IIR_out_5 (instanceRef inst_TailCorr_top)) + (portRef IIR_out_5 (instanceRef inst_MeanIntp_8)) + )) + (net IIR_out_4 (joined + (portRef IIR_out_4 (instanceRef inst_TailCorr_top)) + (portRef IIR_out_4 (instanceRef inst_MeanIntp_8)) + )) + (net IIR_out_3 (joined + (portRef IIR_out_3 (instanceRef inst_TailCorr_top)) + (portRef IIR_out_3 (instanceRef inst_MeanIntp_8)) + )) + (net IIR_out_2 (joined + (portRef IIR_out_2 (instanceRef inst_TailCorr_top)) + (portRef IIR_out_2 (instanceRef inst_MeanIntp_8)) + )) + (net IIR_out_1 (joined + (portRef IIR_out_1 (instanceRef inst_TailCorr_top)) + (portRef IIR_out_1 (instanceRef inst_MeanIntp_8)) + )) + (net IIR_out_0 (joined + (portRef IIR_out_0 (instanceRef inst_TailCorr_top)) + (portRef IIR_out_0 (instanceRef inst_MeanIntp_8)) + )) + (net IIR_out (joined + (portRef IIR_out (instanceRef inst_TailCorr_top)) + (portRef IIR_out (instanceRef inst_MeanIntp_8)) + )) + (net (rename dout_0_0 "dout_0[0]") (joined + (portRef (member dout_0 15) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_0_4_0)) + )) + (net (rename dout_0_1 "dout_0[1]") (joined + (portRef (member dout_0 14) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_0_4_1)) + )) + (net (rename dout_0_2 "dout_0[2]") (joined + (portRef (member dout_0 13) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_0_4_2)) + )) + (net (rename dout_0_3 "dout_0[3]") (joined + (portRef (member dout_0 12) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_0_4_3)) + )) + (net (rename dout_0_4 "dout_0[4]") (joined + (portRef (member dout_0 11) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_0_4_4)) + )) + (net (rename dout_0_5 "dout_0[5]") (joined + (portRef (member dout_0 10) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_0_4_5)) + )) + (net (rename dout_0_6 "dout_0[6]") (joined + (portRef (member dout_0 9) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_0_4_6)) + )) + (net (rename dout_0_7 "dout_0[7]") (joined + (portRef (member dout_0 8) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_0_4_7)) + )) + (net (rename dout_0_8 "dout_0[8]") (joined + (portRef (member dout_0 7) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_0_4_8)) + )) + (net (rename dout_0_9 "dout_0[9]") (joined + (portRef (member dout_0 6) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_0_4_9)) + )) + (net (rename dout_0_10 "dout_0[10]") (joined + (portRef (member dout_0 5) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_0_4_10)) + )) + (net (rename dout_0_11 "dout_0[11]") (joined + (portRef (member dout_0 4) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_0_4_11)) + )) + (net (rename dout_0_12 "dout_0[12]") (joined + (portRef (member dout_0 3) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_0_4_12)) + )) + (net (rename dout_0_13 "dout_0[13]") (joined + (portRef (member dout_0 2) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_0_4_13)) + )) + (net (rename dout_0_14 "dout_0[14]") (joined + (portRef (member dout_0 1) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_0_4_14)) + )) + (net (rename dout_0_15 "dout_0[15]") (joined + (portRef (member dout_0 0) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_0_4_15)) + )) + (net (rename dout_1_0 "dout_1[0]") (joined + (portRef (member dout_1 15) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_1_4_0)) + )) + (net (rename dout_1_1 "dout_1[1]") (joined + (portRef (member dout_1 14) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_1_4_1)) + )) + (net (rename dout_1_2 "dout_1[2]") (joined + (portRef (member dout_1 13) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_1_4_2)) + )) + (net (rename dout_1_3 "dout_1[3]") (joined + (portRef (member dout_1 12) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_1_4_3)) + )) + (net (rename dout_1_4 "dout_1[4]") (joined + (portRef (member dout_1 11) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_1_4_4)) + )) + (net (rename dout_1_5 "dout_1[5]") (joined + (portRef (member dout_1 10) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_1_4_5)) + )) + (net (rename dout_1_6 "dout_1[6]") (joined + (portRef (member dout_1 9) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_1_4_6)) + )) + (net (rename dout_1_7 "dout_1[7]") (joined + (portRef (member dout_1 8) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_1_4_7)) + )) + (net (rename dout_1_8 "dout_1[8]") (joined + (portRef (member dout_1 7) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_1_4_8)) + )) + (net (rename dout_1_9 "dout_1[9]") (joined + (portRef (member dout_1 6) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_1_4_9)) + )) + (net (rename dout_1_10 "dout_1[10]") (joined + (portRef (member dout_1 5) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_1_4_10)) + )) + (net (rename dout_1_11 "dout_1[11]") (joined + (portRef (member dout_1 4) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_1_4_11)) + )) + (net (rename dout_1_12 "dout_1[12]") (joined + (portRef (member dout_1 3) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_1_4_12)) + )) + (net (rename dout_1_13 "dout_1[13]") (joined + (portRef (member dout_1 2) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_1_4_13)) + )) + (net (rename dout_1_14 "dout_1[14]") (joined + (portRef (member dout_1 1) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_1_4_14)) + )) + (net (rename dout_1_15 "dout_1[15]") (joined + (portRef (member dout_1 0) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_1_4_15)) + )) + (net (rename dout_2_0 "dout_2[0]") (joined + (portRef (member dout_2 15) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_2_4_0)) + )) + (net (rename dout_2_1 "dout_2[1]") (joined + (portRef (member dout_2 14) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_2_4_1)) + )) + (net (rename dout_2_2 "dout_2[2]") (joined + (portRef (member dout_2 13) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_2_4_2)) + )) + (net (rename dout_2_3 "dout_2[3]") (joined + (portRef (member dout_2 12) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_2_4_3)) + )) + (net (rename dout_2_4 "dout_2[4]") (joined + (portRef (member dout_2 11) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_2_4_4)) + )) + (net (rename dout_2_5 "dout_2[5]") (joined + (portRef (member dout_2 10) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_2_4_5)) + )) + (net (rename dout_2_6 "dout_2[6]") (joined + (portRef (member dout_2 9) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_2_4_6)) + )) + (net (rename dout_2_7 "dout_2[7]") (joined + (portRef (member dout_2 8) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_2_4_7)) + )) + (net (rename dout_2_8 "dout_2[8]") (joined + (portRef (member dout_2 7) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_2_4_8)) + )) + (net (rename dout_2_9 "dout_2[9]") (joined + (portRef (member dout_2 6) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_2_4_9)) + )) + (net (rename dout_2_10 "dout_2[10]") (joined + (portRef (member dout_2 5) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_2_4_10)) + )) + (net (rename dout_2_11 "dout_2[11]") (joined + (portRef (member dout_2 4) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_2_4_11)) + )) + (net (rename dout_2_12 "dout_2[12]") (joined + (portRef (member dout_2 3) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_2_4_12)) + )) + (net (rename dout_2_13 "dout_2[13]") (joined + (portRef (member dout_2 2) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_2_4_13)) + )) + (net (rename dout_2_14 "dout_2[14]") (joined + (portRef (member dout_2 1) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_2_4_14)) + )) + (net (rename dout_2_15 "dout_2[15]") (joined + (portRef (member dout_2 0) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_2_4_15)) + )) + (net (rename dout_3_0 "dout_3[0]") (joined + (portRef (member dout_3 15) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_3_4_0)) + )) + (net (rename dout_3_1 "dout_3[1]") (joined + (portRef (member dout_3 14) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_3_4_1)) + )) + (net (rename dout_3_2 "dout_3[2]") (joined + (portRef (member dout_3 13) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_3_4_2)) + )) + (net (rename dout_3_3 "dout_3[3]") (joined + (portRef (member dout_3 12) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_3_4_3)) + )) + (net (rename dout_3_4 "dout_3[4]") (joined + (portRef (member dout_3 11) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_3_4_4)) + )) + (net (rename dout_3_5 "dout_3[5]") (joined + (portRef (member dout_3 10) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_3_4_5)) + )) + (net (rename dout_3_6 "dout_3[6]") (joined + (portRef (member dout_3 9) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_3_4_6)) + )) + (net (rename dout_3_7 "dout_3[7]") (joined + (portRef (member dout_3 8) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_3_4_7)) + )) + (net (rename dout_3_8 "dout_3[8]") (joined + (portRef (member dout_3 7) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_3_4_8)) + )) + (net (rename dout_3_9 "dout_3[9]") (joined + (portRef (member dout_3 6) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_3_4_9)) + )) + (net (rename dout_3_10 "dout_3[10]") (joined + (portRef (member dout_3 5) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_3_4_10)) + )) + (net (rename dout_3_11 "dout_3[11]") (joined + (portRef (member dout_3 4) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_3_4_11)) + )) + (net (rename dout_3_12 "dout_3[12]") (joined + (portRef (member dout_3 3) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_3_4_12)) + )) + (net (rename dout_3_13 "dout_3[13]") (joined + (portRef (member dout_3 2) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_3_4_13)) + )) + (net (rename dout_3_14 "dout_3[14]") (joined + (portRef (member dout_3 1) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_3_4_14)) + )) + (net (rename dout_3_15 "dout_3[15]") (joined + (portRef (member dout_3 0) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_3_4_15)) + )) + (net (rename dout_4_0 "dout_4[0]") (joined + (portRef (member dout_4 15) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_0_4_0)) + )) + (net (rename dout_4_1 "dout_4[1]") (joined + (portRef (member dout_4 14) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_0_4_1)) + )) + (net (rename dout_4_2 "dout_4[2]") (joined + (portRef (member dout_4 13) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_0_4_2)) + )) + (net (rename dout_4_3 "dout_4[3]") (joined + (portRef (member dout_4 12) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_0_4_3)) + )) + (net (rename dout_4_4 "dout_4[4]") (joined + (portRef (member dout_4 11) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_0_4_4)) + )) + (net (rename dout_4_5 "dout_4[5]") (joined + (portRef (member dout_4 10) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_0_4_5)) + )) + (net (rename dout_4_6 "dout_4[6]") (joined + (portRef (member dout_4 9) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_0_4_6)) + )) + (net (rename dout_4_7 "dout_4[7]") (joined + (portRef (member dout_4 8) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_0_4_7)) + )) + (net (rename dout_4_8 "dout_4[8]") (joined + (portRef (member dout_4 7) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_0_4_8)) + )) + (net (rename dout_4_9 "dout_4[9]") (joined + (portRef (member dout_4 6) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_0_4_9)) + )) + (net (rename dout_4_10 "dout_4[10]") (joined + (portRef (member dout_4 5) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_0_4_10)) + )) + (net (rename dout_4_11 "dout_4[11]") (joined + (portRef (member dout_4 4) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_0_4_11)) + )) + (net (rename dout_4_12 "dout_4[12]") (joined + (portRef (member dout_4 3) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_0_4_12)) + )) + (net (rename dout_4_13 "dout_4[13]") (joined + (portRef (member dout_4 2) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_0_4_13)) + )) + (net (rename dout_4_14 "dout_4[14]") (joined + (portRef (member dout_4 1) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_0_4_14)) + )) + (net (rename dout_4_15 "dout_4[15]") (joined + (portRef (member dout_4 0) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_0_4_15)) + )) + (net (rename dout_5_0 "dout_5[0]") (joined + (portRef (member dout_5 15) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_1_4_0)) + )) + (net (rename dout_5_1 "dout_5[1]") (joined + (portRef (member dout_5 14) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_1_4_1)) + )) + (net (rename dout_5_2 "dout_5[2]") (joined + (portRef (member dout_5 13) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_1_4_2)) + )) + (net (rename dout_5_3 "dout_5[3]") (joined + (portRef (member dout_5 12) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_1_4_3)) + )) + (net (rename dout_5_4 "dout_5[4]") (joined + (portRef (member dout_5 11) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_1_4_4)) + )) + (net (rename dout_5_5 "dout_5[5]") (joined + (portRef (member dout_5 10) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_1_4_5)) + )) + (net (rename dout_5_6 "dout_5[6]") (joined + (portRef (member dout_5 9) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_1_4_6)) + )) + (net (rename dout_5_7 "dout_5[7]") (joined + (portRef (member dout_5 8) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_1_4_7)) + )) + (net (rename dout_5_8 "dout_5[8]") (joined + (portRef (member dout_5 7) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_1_4_8)) + )) + (net (rename dout_5_9 "dout_5[9]") (joined + (portRef (member dout_5 6) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_1_4_9)) + )) + (net (rename dout_5_10 "dout_5[10]") (joined + (portRef (member dout_5 5) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_1_4_10)) + )) + (net (rename dout_5_11 "dout_5[11]") (joined + (portRef (member dout_5 4) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_1_4_11)) + )) + (net (rename dout_5_12 "dout_5[12]") (joined + (portRef (member dout_5 3) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_1_4_12)) + )) + (net (rename dout_5_13 "dout_5[13]") (joined + (portRef (member dout_5 2) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_1_4_13)) + )) + (net (rename dout_5_14 "dout_5[14]") (joined + (portRef (member dout_5 1) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_1_4_14)) + )) + (net (rename dout_5_15 "dout_5[15]") (joined + (portRef (member dout_5 0) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_1_4_15)) + )) + (net (rename dout_6_0 "dout_6[0]") (joined + (portRef (member dout_6 15) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_2_4_0)) + )) + (net (rename dout_6_1 "dout_6[1]") (joined + (portRef (member dout_6 14) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_2_4_1)) + )) + (net (rename dout_6_2 "dout_6[2]") (joined + (portRef (member dout_6 13) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_2_4_2)) + )) + (net (rename dout_6_3 "dout_6[3]") (joined + (portRef (member dout_6 12) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_2_4_3)) + )) + (net (rename dout_6_4 "dout_6[4]") (joined + (portRef (member dout_6 11) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_2_4_4)) + )) + (net (rename dout_6_5 "dout_6[5]") (joined + (portRef (member dout_6 10) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_2_4_5)) + )) + (net (rename dout_6_6 "dout_6[6]") (joined + (portRef (member dout_6 9) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_2_4_6)) + )) + (net (rename dout_6_7 "dout_6[7]") (joined + (portRef (member dout_6 8) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_2_4_7)) + )) + (net (rename dout_6_8 "dout_6[8]") (joined + (portRef (member dout_6 7) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_2_4_8)) + )) + (net (rename dout_6_9 "dout_6[9]") (joined + (portRef (member dout_6 6) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_2_4_9)) + )) + (net (rename dout_6_10 "dout_6[10]") (joined + (portRef (member dout_6 5) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_2_4_10)) + )) + (net (rename dout_6_11 "dout_6[11]") (joined + (portRef (member dout_6 4) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_2_4_11)) + )) + (net (rename dout_6_12 "dout_6[12]") (joined + (portRef (member dout_6 3) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_2_4_12)) + )) + (net (rename dout_6_13 "dout_6[13]") (joined + (portRef (member dout_6 2) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_2_4_13)) + )) + (net (rename dout_6_14 "dout_6[14]") (joined + (portRef (member dout_6 1) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_2_4_14)) + )) + (net (rename dout_6_15 "dout_6[15]") (joined + (portRef (member dout_6 0) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_2_4_15)) + )) + (net (rename dout_7_0 "dout_7[0]") (joined + (portRef (member dout_7 15) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_3_4_0)) + )) + (net (rename dout_7_1 "dout_7[1]") (joined + (portRef (member dout_7 14) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_3_4_1)) + )) + (net (rename dout_7_2 "dout_7[2]") (joined + (portRef (member dout_7 13) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_3_4_2)) + )) + (net (rename dout_7_3 "dout_7[3]") (joined + (portRef (member dout_7 12) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_3_4_3)) + )) + (net (rename dout_7_4 "dout_7[4]") (joined + (portRef (member dout_7 11) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_3_4_4)) + )) + (net (rename dout_7_5 "dout_7[5]") (joined + (portRef (member dout_7 10) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_3_4_5)) + )) + (net (rename dout_7_6 "dout_7[6]") (joined + (portRef (member dout_7 9) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_3_4_6)) + )) + (net (rename dout_7_7 "dout_7[7]") (joined + (portRef (member dout_7 8) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_3_4_7)) + )) + (net (rename dout_7_8 "dout_7[8]") (joined + (portRef (member dout_7 7) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_3_4_8)) + )) + (net (rename dout_7_9 "dout_7[9]") (joined + (portRef (member dout_7 6) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_3_4_9)) + )) + (net (rename dout_7_10 "dout_7[10]") (joined + (portRef (member dout_7 5) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_3_4_10)) + )) + (net (rename dout_7_11 "dout_7[11]") (joined + (portRef (member dout_7 4) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_3_4_11)) + )) + (net (rename dout_7_12 "dout_7[12]") (joined + (portRef (member dout_7 3) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_3_4_12)) + )) + (net (rename dout_7_13 "dout_7[13]") (joined + (portRef (member dout_7 2) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_3_4_13)) + )) + (net (rename dout_7_14 "dout_7[14]") (joined + (portRef (member dout_7 1) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_3_4_14)) + )) + (net (rename dout_7_15 "dout_7[15]") (joined + (portRef (member dout_7 0) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_3_4_15)) + )) + (net (rename VCCZ0 "VCC") (joined + (portRef P (instanceRef VCC)) + (portRef A2 (instanceRef vldo_r_vldo_r_1)) + (portRef A0 (instanceRef vldo_r_vldo_r_1)) + (portRef A1 (instanceRef vldo_r_1176_0_vldo_r_1176_1)) + (portRef D (instanceRef vldo_r_1172_0_inst_TailCorr_top_din_r4_1)) + (portRef A0 (instanceRef vldo_r_1172_0_inst_TailCorr_top_din_r4_1)) + (portRef D (instanceRef vldo_r_0_0)) + )) + (net (rename GNDZ0 "GND") (joined + (portRef G (instanceRef GND)) + (portRef A3 (instanceRef vldo_r_vldo_r_1)) + (portRef A1 (instanceRef vldo_r_vldo_r_1)) + (portRef A3 (instanceRef vldo_r_1176_0_vldo_r_1176_1)) + (portRef A2 (instanceRef vldo_r_1176_0_vldo_r_1176_1)) + (portRef A0 (instanceRef vldo_r_1176_0_vldo_r_1176_1)) + (portRef A3 (instanceRef vldo_r_1172_0_inst_TailCorr_top_din_r4_1)) + (portRef A2 (instanceRef vldo_r_1172_0_inst_TailCorr_top_din_r4_1)) + (portRef A1 (instanceRef vldo_r_1172_0_inst_TailCorr_top_din_r4_1)) + )) + (net vldo_r_5 (joined + (portRef Q (instanceRef vldo_r_0)) + (portRef D (instanceRef vldo_r_vldo_r_1)) + )) + (net (rename doutf_3_4Z0Z_0 "doutf_3_4[0]") (joined + (portRef LO (instanceRef doutf_3_4_0)) + (portRef D (instanceRef doutf_3_0)) + )) + (net (rename doutf_3_4Z0Z_1 "doutf_3_4[1]") (joined + (portRef LO (instanceRef doutf_3_4_1)) + (portRef D (instanceRef doutf_3_1)) + )) + (net (rename doutf_3_4Z0Z_2 "doutf_3_4[2]") (joined + (portRef LO (instanceRef doutf_3_4_2)) + (portRef D (instanceRef doutf_3_2)) + )) + (net (rename doutf_3_4Z0Z_3 "doutf_3_4[3]") (joined + (portRef LO (instanceRef doutf_3_4_3)) + (portRef D (instanceRef doutf_3_3)) + )) + (net (rename doutf_3_4Z0Z_4 "doutf_3_4[4]") (joined + (portRef LO (instanceRef doutf_3_4_4)) + (portRef D (instanceRef doutf_3_4)) + )) + (net (rename doutf_3_4Z0Z_5 "doutf_3_4[5]") (joined + (portRef LO (instanceRef doutf_3_4_5)) + (portRef D (instanceRef doutf_3_5)) + )) + (net (rename doutf_3_4Z0Z_6 "doutf_3_4[6]") (joined + (portRef LO (instanceRef doutf_3_4_6)) + (portRef D (instanceRef doutf_3_6)) + )) + (net (rename doutf_3_4Z0Z_7 "doutf_3_4[7]") (joined + (portRef LO (instanceRef doutf_3_4_7)) + (portRef D (instanceRef doutf_3_7)) + )) + (net (rename doutf_3_4Z0Z_8 "doutf_3_4[8]") (joined + (portRef LO (instanceRef doutf_3_4_8)) + (portRef D (instanceRef doutf_3_8)) + )) + (net (rename doutf_3_4Z0Z_9 "doutf_3_4[9]") (joined + (portRef LO (instanceRef doutf_3_4_9)) + (portRef D (instanceRef doutf_3_9)) + )) + (net (rename doutf_3_4Z0Z_10 "doutf_3_4[10]") (joined + (portRef LO (instanceRef doutf_3_4_10)) + (portRef D (instanceRef doutf_3_10)) + )) + (net (rename doutf_3_4Z0Z_11 "doutf_3_4[11]") (joined + (portRef LO (instanceRef doutf_3_4_11)) + (portRef D (instanceRef doutf_3_11)) + )) + (net (rename doutf_3_4Z0Z_12 "doutf_3_4[12]") (joined + (portRef LO (instanceRef doutf_3_4_12)) + (portRef D (instanceRef doutf_3_12)) + )) + (net (rename doutf_3_4Z0Z_13 "doutf_3_4[13]") (joined + (portRef LO (instanceRef doutf_3_4_13)) + (portRef D (instanceRef doutf_3_13)) + )) + (net (rename doutf_3_4Z0Z_14 "doutf_3_4[14]") (joined + (portRef LO (instanceRef doutf_3_4_14)) + (portRef D (instanceRef doutf_3_14)) + )) + (net (rename doutf_3_4Z0Z_15 "doutf_3_4[15]") (joined + (portRef LO (instanceRef doutf_3_4_15)) + (portRef D (instanceRef doutf_3_15)) + )) + (net (rename doutf_2_4Z0Z_0 "doutf_2_4[0]") (joined + (portRef LO (instanceRef doutf_2_4_0)) + (portRef D (instanceRef doutf_2_0)) + )) + (net (rename doutf_2_4Z0Z_1 "doutf_2_4[1]") (joined + (portRef LO (instanceRef doutf_2_4_1)) + (portRef D (instanceRef doutf_2_1)) + )) + (net (rename doutf_2_4Z0Z_2 "doutf_2_4[2]") (joined + (portRef LO (instanceRef doutf_2_4_2)) + (portRef D (instanceRef doutf_2_2)) + )) + (net (rename doutf_2_4Z0Z_3 "doutf_2_4[3]") (joined + (portRef LO (instanceRef doutf_2_4_3)) + (portRef D (instanceRef doutf_2_3)) + )) + (net (rename doutf_2_4Z0Z_4 "doutf_2_4[4]") (joined + (portRef LO (instanceRef doutf_2_4_4)) + (portRef D (instanceRef doutf_2_4)) + )) + (net (rename doutf_2_4Z0Z_5 "doutf_2_4[5]") (joined + (portRef LO (instanceRef doutf_2_4_5)) + (portRef D (instanceRef doutf_2_5)) + )) + (net (rename doutf_2_4Z0Z_6 "doutf_2_4[6]") (joined + (portRef LO (instanceRef doutf_2_4_6)) + (portRef D (instanceRef doutf_2_6)) + )) + (net (rename doutf_2_4Z0Z_7 "doutf_2_4[7]") (joined + (portRef LO (instanceRef doutf_2_4_7)) + (portRef D (instanceRef doutf_2_7)) + )) + (net (rename doutf_2_4Z0Z_8 "doutf_2_4[8]") (joined + (portRef LO (instanceRef doutf_2_4_8)) + (portRef D (instanceRef doutf_2_8)) + )) + (net (rename doutf_2_4Z0Z_9 "doutf_2_4[9]") (joined + (portRef LO (instanceRef doutf_2_4_9)) + (portRef D (instanceRef doutf_2_9)) + )) + (net (rename doutf_2_4Z0Z_10 "doutf_2_4[10]") (joined + (portRef LO (instanceRef doutf_2_4_10)) + (portRef D (instanceRef doutf_2_10)) + )) + (net (rename doutf_2_4Z0Z_11 "doutf_2_4[11]") (joined + (portRef LO (instanceRef doutf_2_4_11)) + (portRef D (instanceRef doutf_2_11)) + )) + (net (rename doutf_2_4Z0Z_12 "doutf_2_4[12]") (joined + (portRef LO (instanceRef doutf_2_4_12)) + (portRef D (instanceRef doutf_2_12)) + )) + (net (rename doutf_2_4Z0Z_13 "doutf_2_4[13]") (joined + (portRef LO (instanceRef doutf_2_4_13)) + (portRef D (instanceRef doutf_2_13)) + )) + (net (rename doutf_2_4Z0Z_14 "doutf_2_4[14]") (joined + (portRef LO (instanceRef doutf_2_4_14)) + (portRef D (instanceRef doutf_2_14)) + )) + (net (rename doutf_2_4Z0Z_15 "doutf_2_4[15]") (joined + (portRef LO (instanceRef doutf_2_4_15)) + (portRef D (instanceRef doutf_2_15)) + )) + (net (rename doutf_1_4Z0Z_0 "doutf_1_4[0]") (joined + (portRef LO (instanceRef doutf_1_4_0)) + (portRef D (instanceRef doutf_1_0)) + )) + (net (rename doutf_1_4Z0Z_1 "doutf_1_4[1]") (joined + (portRef LO (instanceRef doutf_1_4_1)) + (portRef D (instanceRef doutf_1_1)) + )) + (net (rename doutf_1_4Z0Z_2 "doutf_1_4[2]") (joined + (portRef LO (instanceRef doutf_1_4_2)) + (portRef D (instanceRef doutf_1_2)) + )) + (net (rename doutf_1_4Z0Z_3 "doutf_1_4[3]") (joined + (portRef LO (instanceRef doutf_1_4_3)) + (portRef D (instanceRef doutf_1_3)) + )) + (net (rename doutf_1_4Z0Z_4 "doutf_1_4[4]") (joined + (portRef LO (instanceRef doutf_1_4_4)) + (portRef D (instanceRef doutf_1_4)) + )) + (net (rename doutf_1_4Z0Z_5 "doutf_1_4[5]") (joined + (portRef LO (instanceRef doutf_1_4_5)) + (portRef D (instanceRef doutf_1_5)) + )) + (net (rename doutf_1_4Z0Z_6 "doutf_1_4[6]") (joined + (portRef LO (instanceRef doutf_1_4_6)) + (portRef D (instanceRef doutf_1_6)) + )) + (net (rename doutf_1_4Z0Z_7 "doutf_1_4[7]") (joined + (portRef LO (instanceRef doutf_1_4_7)) + (portRef D (instanceRef doutf_1_7)) + )) + (net (rename doutf_1_4Z0Z_8 "doutf_1_4[8]") (joined + (portRef LO (instanceRef doutf_1_4_8)) + (portRef D (instanceRef doutf_1_8)) + )) + (net (rename doutf_1_4Z0Z_9 "doutf_1_4[9]") (joined + (portRef LO (instanceRef doutf_1_4_9)) + (portRef D (instanceRef doutf_1_9)) + )) + (net (rename doutf_1_4Z0Z_10 "doutf_1_4[10]") (joined + (portRef LO (instanceRef doutf_1_4_10)) + (portRef D (instanceRef doutf_1_10)) + )) + (net (rename doutf_1_4Z0Z_11 "doutf_1_4[11]") (joined + (portRef LO (instanceRef doutf_1_4_11)) + (portRef D (instanceRef doutf_1_11)) + )) + (net (rename doutf_1_4Z0Z_12 "doutf_1_4[12]") (joined + (portRef LO (instanceRef doutf_1_4_12)) + (portRef D (instanceRef doutf_1_12)) + )) + (net (rename doutf_1_4Z0Z_13 "doutf_1_4[13]") (joined + (portRef LO (instanceRef doutf_1_4_13)) + (portRef D (instanceRef doutf_1_13)) + )) + (net (rename doutf_1_4Z0Z_14 "doutf_1_4[14]") (joined + (portRef LO (instanceRef doutf_1_4_14)) + (portRef D (instanceRef doutf_1_14)) + )) + (net (rename doutf_1_4Z0Z_15 "doutf_1_4[15]") (joined + (portRef LO (instanceRef doutf_1_4_15)) + (portRef D (instanceRef doutf_1_15)) + )) + (net (rename doutf_0_4Z0Z_0 "doutf_0_4[0]") (joined + (portRef LO (instanceRef doutf_0_4_0)) + (portRef D (instanceRef doutf_0_0)) + )) + (net (rename doutf_0_4Z0Z_1 "doutf_0_4[1]") (joined + (portRef LO (instanceRef doutf_0_4_1)) + (portRef D (instanceRef doutf_0_1)) + )) + (net (rename doutf_0_4Z0Z_2 "doutf_0_4[2]") (joined + (portRef LO (instanceRef doutf_0_4_2)) + (portRef D (instanceRef doutf_0_2)) + )) + (net (rename doutf_0_4Z0Z_3 "doutf_0_4[3]") (joined + (portRef LO (instanceRef doutf_0_4_3)) + (portRef D (instanceRef doutf_0_3)) + )) + (net (rename doutf_0_4Z0Z_4 "doutf_0_4[4]") (joined + (portRef LO (instanceRef doutf_0_4_4)) + (portRef D (instanceRef doutf_0_4)) + )) + (net (rename doutf_0_4Z0Z_5 "doutf_0_4[5]") (joined + (portRef LO (instanceRef doutf_0_4_5)) + (portRef D (instanceRef doutf_0_5)) + )) + (net (rename doutf_0_4Z0Z_6 "doutf_0_4[6]") (joined + (portRef LO (instanceRef doutf_0_4_6)) + (portRef D (instanceRef doutf_0_6)) + )) + (net (rename doutf_0_4Z0Z_7 "doutf_0_4[7]") (joined + (portRef LO (instanceRef doutf_0_4_7)) + (portRef D (instanceRef doutf_0_7)) + )) + (net (rename doutf_0_4Z0Z_8 "doutf_0_4[8]") (joined + (portRef LO (instanceRef doutf_0_4_8)) + (portRef D (instanceRef doutf_0_8)) + )) + (net (rename doutf_0_4Z0Z_9 "doutf_0_4[9]") (joined + (portRef LO (instanceRef doutf_0_4_9)) + (portRef D (instanceRef doutf_0_9)) + )) + (net (rename doutf_0_4Z0Z_10 "doutf_0_4[10]") (joined + (portRef LO (instanceRef doutf_0_4_10)) + (portRef D (instanceRef doutf_0_10)) + )) + (net (rename doutf_0_4Z0Z_11 "doutf_0_4[11]") (joined + (portRef LO (instanceRef doutf_0_4_11)) + (portRef D (instanceRef doutf_0_11)) + )) + (net (rename doutf_0_4Z0Z_12 "doutf_0_4[12]") (joined + (portRef LO (instanceRef doutf_0_4_12)) + (portRef D (instanceRef doutf_0_12)) + )) + (net (rename doutf_0_4Z0Z_13 "doutf_0_4[13]") (joined + (portRef LO (instanceRef doutf_0_4_13)) + (portRef D (instanceRef doutf_0_13)) + )) + (net (rename doutf_0_4Z0Z_14 "doutf_0_4[14]") (joined + (portRef LO (instanceRef doutf_0_4_14)) + (portRef D (instanceRef doutf_0_14)) + )) + (net (rename doutf_0_4Z0Z_15 "doutf_0_4[15]") (joined + (portRef LO (instanceRef doutf_0_4_15)) + (portRef D (instanceRef doutf_0_15)) + )) + (net rstn_i (joined + (portRef O (instanceRef rstn_buf)) + (portRef rstn_i (instanceRef inst_MeanIntp_8)) + (portRef rstn_i (instanceRef inst_TailCorr_top)) + (portRef CLR (instanceRef doutf_3_1)) + (portRef CLR (instanceRef doutf_3_0)) + (portRef CLR (instanceRef doutf_2_0)) + (portRef CLR (instanceRef doutf_3_15)) + (portRef CLR (instanceRef doutf_3_14)) + (portRef CLR (instanceRef doutf_3_13)) + (portRef CLR (instanceRef doutf_3_12)) + (portRef CLR (instanceRef doutf_3_11)) + (portRef CLR (instanceRef doutf_3_10)) + (portRef CLR (instanceRef doutf_3_9)) + (portRef CLR (instanceRef doutf_3_8)) + (portRef CLR (instanceRef doutf_3_7)) + (portRef CLR (instanceRef doutf_3_6)) + (portRef CLR (instanceRef doutf_3_5)) + (portRef CLR (instanceRef doutf_3_4)) + (portRef CLR (instanceRef doutf_3_3)) + (portRef CLR (instanceRef doutf_3_2)) + (portRef CLR (instanceRef doutf_2_15)) + (portRef CLR (instanceRef doutf_2_14)) + (portRef CLR (instanceRef doutf_2_13)) + (portRef CLR (instanceRef doutf_2_12)) + (portRef CLR (instanceRef doutf_2_11)) + (portRef CLR (instanceRef doutf_2_10)) + (portRef CLR (instanceRef doutf_2_9)) + (portRef CLR (instanceRef doutf_2_8)) + (portRef CLR (instanceRef doutf_2_7)) + (portRef CLR (instanceRef doutf_2_6)) + (portRef CLR (instanceRef doutf_2_5)) + (portRef CLR (instanceRef doutf_2_4)) + (portRef CLR (instanceRef doutf_2_3)) + (portRef CLR (instanceRef doutf_2_2)) + (portRef CLR (instanceRef doutf_2_1)) + (portRef CLR (instanceRef doutf_1_14)) + (portRef CLR (instanceRef doutf_1_13)) + (portRef CLR (instanceRef doutf_1_12)) + (portRef CLR (instanceRef doutf_1_11)) + (portRef CLR (instanceRef doutf_1_10)) + (portRef CLR (instanceRef doutf_1_9)) + (portRef CLR (instanceRef doutf_1_8)) + (portRef CLR (instanceRef doutf_1_7)) + (portRef CLR (instanceRef doutf_1_6)) + (portRef CLR (instanceRef doutf_1_5)) + (portRef CLR (instanceRef doutf_1_4)) + (portRef CLR (instanceRef doutf_1_3)) + (portRef CLR (instanceRef doutf_1_2)) + (portRef CLR (instanceRef doutf_1_1)) + (portRef CLR (instanceRef doutf_1_0)) + (portRef CLR (instanceRef doutf_0_13)) + (portRef CLR (instanceRef doutf_0_12)) + (portRef CLR (instanceRef doutf_0_11)) + (portRef CLR (instanceRef doutf_0_10)) + (portRef CLR (instanceRef doutf_0_9)) + (portRef CLR (instanceRef doutf_0_8)) + (portRef CLR (instanceRef doutf_0_7)) + (portRef CLR (instanceRef doutf_0_6)) + (portRef CLR (instanceRef doutf_0_5)) + (portRef CLR (instanceRef doutf_0_4)) + (portRef CLR (instanceRef doutf_0_3)) + (portRef CLR (instanceRef doutf_0_2)) + (portRef CLR (instanceRef doutf_0_1)) + (portRef CLR (instanceRef doutf_0_0)) + (portRef CLR (instanceRef doutf_1_15)) + (portRef CLR (instanceRef doutf_0_15)) + (portRef CLR (instanceRef doutf_0_14)) + (portRef CLR (instanceRef vldo_r_0)) + (portRef CLR (instanceRef vldo_r_1176_1226)) + (portRef CLR (instanceRef vldo_r_1_0)) + (portRef CLR (instanceRef vldo_r_0_0)) + (portRef CLR (instanceRef vldo_r_2_0)) + )) + (net (rename vldo_r_1172_0_DOUT_RNIOLJHZ0Z_0 "vldo_r_1172_0_DOUT_RNIOLJH[0]") (joined + (portRef O (instanceRef vldo_r_1172_0_DOUT_RNIOLJH_0)) + (portRef vldo_r_1172_0_DOUT_RNIOLJH_0 (instanceRef inst_TailCorr_top)) + (portRef D (instanceRef vldo_r_1176_0_vldo_r_1176_1)) + )) + (net (rename vldo_r_DOUTZ0Z_0 "vldo_r_DOUT[0]") (joined + (portRef Q (instanceRef vldo_r_DOUT_0)) + (portRef I2 (instanceRef vldo_r_1176_1226_RNID20N)) + )) + (net (rename vldo_r_tmp_d_array_0_0 "vldo_r_tmp_d_array_0[0]") (joined + (portRef Q (instanceRef vldo_r_vldo_r_1)) + (portRef D (instanceRef vldo_r_DOUT_0)) + )) + (net (rename vldo_r_1176Z0Z_1226 "vldo_r_1176_1226") (joined + (portRef Q (instanceRef vldo_r_1176_1226)) + (portRef I1 (instanceRef vldo_r_1176_1226_RNID20N)) + )) + (net (rename vldo_r_1176_0_DOUTZ0Z_0 "vldo_r_1176_0_DOUT[0]") (joined + (portRef Q (instanceRef vldo_r_1176_0_DOUT_0)) + (portRef I0 (instanceRef vldo_r_1176_1226_RNID20N)) + )) + (net vldo_r_1172_1231 (joined + (portRef Q (instanceRef vldo_r_2_0)) + (portRef D (instanceRef vldo_r_1176_1226)) + (portRef I1 (instanceRef vldo_r_1172_0_DOUT_RNIOLJH_0)) + )) + (net (rename vldo_r_1172_0_DOUTZ0Z_0 "vldo_r_1172_0_DOUT[0]") (joined + (portRef Q (instanceRef vldo_r_1172_0_DOUT_0)) + (portRef I0 (instanceRef vldo_r_1172_0_DOUT_RNIOLJH_0)) + )) + (net (rename vldo_r_1172_0_tmp_d_array_0_0 "vldo_r_1172_0_tmp_d_array_0[0]") (joined + (portRef Q (instanceRef vldo_r_1172_0_inst_TailCorr_top_din_r4_1)) + (portRef D (instanceRef vldo_r_1172_0_DOUT_0)) + )) + (net (rename vldo_r_1176_0_tmp_d_array_0_0 "vldo_r_1176_0_tmp_d_array_0[0]") (joined + (portRef Q (instanceRef vldo_r_1176_0_vldo_r_1176_1)) + (portRef D (instanceRef vldo_r_1176_0_DOUT_0)) + )) + (net (rename din_re_0 "din_re[0]") (joined + (portRef (member din_re 15)) + (portRef (member din_re 15) (instanceRef inst_TailCorr_top)) + )) + (net (rename din_re_1 "din_re[1]") (joined + (portRef (member din_re 14)) + (portRef (member din_re 14) (instanceRef inst_TailCorr_top)) + )) + (net (rename din_re_2 "din_re[2]") (joined + (portRef (member din_re 13)) + (portRef (member din_re 13) (instanceRef inst_TailCorr_top)) + )) + (net (rename din_re_3 "din_re[3]") (joined + (portRef (member din_re 12)) + (portRef (member din_re 12) (instanceRef inst_TailCorr_top)) + )) + (net (rename din_re_4 "din_re[4]") (joined + (portRef (member din_re 11)) + (portRef (member din_re 11) (instanceRef inst_TailCorr_top)) + )) + (net (rename din_re_5 "din_re[5]") (joined + (portRef (member din_re 10)) + (portRef (member din_re 10) (instanceRef inst_TailCorr_top)) + )) + (net (rename din_re_6 "din_re[6]") (joined + (portRef (member din_re 9)) + (portRef (member din_re 9) (instanceRef inst_TailCorr_top)) + )) + (net (rename din_re_7 "din_re[7]") (joined + (portRef (member din_re 8)) + (portRef (member din_re 8) (instanceRef inst_TailCorr_top)) + )) + (net (rename din_re_8 "din_re[8]") (joined + (portRef (member din_re 7)) + (portRef (member din_re 7) (instanceRef inst_TailCorr_top)) + )) + (net (rename din_re_9 "din_re[9]") (joined + (portRef (member din_re 6)) + (portRef (member din_re 6) (instanceRef inst_TailCorr_top)) + )) + (net (rename din_re_10 "din_re[10]") (joined + (portRef (member din_re 5)) + (portRef (member din_re 5) (instanceRef inst_TailCorr_top)) + )) + (net (rename din_re_11 "din_re[11]") (joined + (portRef (member din_re 4)) + (portRef (member din_re 4) (instanceRef inst_TailCorr_top)) + )) + (net (rename din_re_12 "din_re[12]") (joined + (portRef (member din_re 3)) + (portRef (member din_re 3) (instanceRef inst_TailCorr_top)) + )) + (net (rename din_re_13 "din_re[13]") (joined + (portRef (member din_re 2)) + (portRef (member din_re 2) (instanceRef inst_TailCorr_top)) + )) + (net (rename din_re_14 "din_re[14]") (joined + (portRef (member din_re 1)) + (portRef (member din_re 1) (instanceRef inst_TailCorr_top)) + )) + (net (rename din_re_15 "din_re[15]") (joined + (portRef (member din_re 0)) + (portRef (member din_re 0) (instanceRef inst_TailCorr_top)) + )) + (net rstn_i_fo (joined + (portRef rstn_i_fo (instanceRef inst_TailCorr_top)) + (portRef I (instanceRef rstn_buf)) + )) + (net (rename vldo_r_0Z0Z_0 "vldo_r_0[0]") (joined + (portRef Q (instanceRef vldo_r_0_0)) + (portRef D (instanceRef vldo_r_1_0)) + )) + (net (rename vldo_r_1Z0Z_0 "vldo_r_1[0]") (joined + (portRef Q (instanceRef vldo_r_1_0)) + (portRef D (instanceRef vldo_r_2_0)) + )) + ) + (property langParams (string "Delay")) + (property mapper_option (string "")) + (property Delay (integer 7)) + (property orig_inst_of (string "z_dsp")) + ) + ) + ) + (design z_dsp (cellRef z_dsp (libraryRef work)) + (property mapper_option (string "")) + (property PART (string "xcku040-ffva1156-1-i") (owner "Xilinx"))) +) diff --git a/rtl/z_dsp_wrapper.v b/edfFile/z_dsp.v similarity index 95% rename from rtl/z_dsp_wrapper.v rename to edfFile/z_dsp.v index f42cf31..2a60056 100644 --- a/rtl/z_dsp_wrapper.v +++ b/edfFile/z_dsp.v @@ -1,3 +1,4 @@ + //+FHDR-------------------------------------------------------------------------------------------------------- // Company: //----------------------------------------------------------------------------------------------------------------- @@ -31,14 +32,16 @@ // Other: //-FHDR-------------------------------------------------------------------------------------------------------- -module z_dsp_wrapper +module z_dsp ( input clk, input rstn, +input en, //enable input [1:0] dac_mode_sel, //2'b00:NRZ mode;2'b01:Double data mode; //2'b10:Double Double data mode;2'b11:reserve; input tc_bypass, input [1:0] intp_mode, //2'b00:x1;2'b01:x2,'b10:x4;other:reserve; +input vldi, input signed [15:0] din_re, input signed [15:0] din_im, input signed [31:0] a0_re, //a0's real part @@ -69,10 +72,6 @@ output signed [15:0] dout0, output signed [15:0] dout1, output signed [15:0] dout2, output signed [15:0] dout3, -output signed [15:0] dout4, -output signed [15:0] dout5, -output signed [15:0] dout6, -output signed [15:0] dout7, output vldo, output saturation_0, output saturation_1, @@ -80,6 +79,6 @@ output saturation_2, output saturation_3, output saturation_4, output saturation_5 - ); + ); -endmodule \ No newline at end of file +endmodule diff --git a/edfFile/z_dsp_en.edf b/edfFile/z_dsp_en.edf index 1d07a55..4057430 100644 --- a/edfFile/z_dsp_en.edf +++ b/edfFile/z_dsp_en.edf @@ -4,7 +4,7 @@ (keywordMap (keywordLevel 0)) (status (written - (timeStamp 2024 11 21 10 35 40) + (timeStamp 2024 11 21 14 46 32) (author "Synopsys, Inc.") (program "Synplify Premier" (version "K-2015.09, mapper maprc, Build 2950R")) ) @@ -4178,8 +4178,8 @@ (view netlist (viewType NETLIST) (interface (port (array (rename un1_psum0_signed "un1_psum0_signed(70:66)") 5) (direction INPUT)) - (port g0_13_0 (direction INPUT)) (port Z_32 (direction INPUT)) + (port g0_13_0 (direction INPUT)) (port g0_12_0 (direction INPUT)) (port feedback_to_big (direction OUTPUT)) ) @@ -4205,12 +4205,12 @@ (portRef O (instanceRef g5)) (portRef I2 (instanceRef g0)) )) - (net g0_13_0 (joined - (portRef g0_13_0) - (portRef I3 (instanceRef g0)) - )) (net (rename z_32 "Z_32") (joined (portRef Z_32) + (portRef I3 (instanceRef g0)) + )) + (net g0_13_0 (joined + (portRef g0_13_0) (portRef I4 (instanceRef g0)) )) (net g0_12_0 (joined @@ -4221,23 +4221,23 @@ (portRef O (instanceRef g0)) (portRef feedback_to_big) )) - (net (rename un1_psum0_signed_67 "un1_psum0_signed(67)") (joined - (portRef (member un1_psum0_signed 3)) + (net (rename un1_psum0_signed_68 "un1_psum0_signed(68)") (joined + (portRef (member un1_psum0_signed 2)) (portRef I0 (instanceRef g1_2)) (portRef I0 (instanceRef g5)) )) - (net (rename un1_psum0_signed_68 "un1_psum0_signed(68)") (joined - (portRef (member un1_psum0_signed 2)) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) (portRef I1 (instanceRef g1_2)) (portRef I1 (instanceRef g5)) )) - (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined - (portRef (member un1_psum0_signed 0)) + (net (rename un1_psum0_signed_69 "un1_psum0_signed(69)") (joined + (portRef (member un1_psum0_signed 1)) (portRef I2 (instanceRef g1_2)) (portRef I2 (instanceRef g5)) )) - (net (rename un1_psum0_signed_69 "un1_psum0_signed(69)") (joined - (portRef (member un1_psum0_signed 1)) + (net (rename un1_psum0_signed_67 "un1_psum0_signed(67)") (joined + (portRef (member un1_psum0_signed 3)) (portRef I3 (instanceRef g1_2)) (portRef I3 (instanceRef g5)) )) @@ -4305,7 +4305,7 @@ ) (contents (instance N_153_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h08000A0008080A0A")) + (property INIT (string "64'h080A0000080A080A")) ) (instance N_77_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h08000A0008080A0A")) @@ -4363,10 +4363,6 @@ (portRef I2 (instanceRef N_77_i)) (portRef I2 (instanceRef N_153_i)) )) - (net (rename feedback_inc_data_33 "feedback_inc_data(33)") (joined - (portRef (member feedback_inc_data 0)) - (portRef I3 (instanceRef N_153_i)) - )) (net (rename n_186 "N_186") (joined (portRef N_186) (portRef I3 (instanceRef N_41_i)) @@ -4378,6 +4374,10 @@ (portRef I4 (instanceRef N_65_i)) (portRef I4 (instanceRef N_75_i)) (portRef I4 (instanceRef N_77_i)) + (portRef I3 (instanceRef N_153_i)) + )) + (net (rename feedback_inc_data_33 "feedback_inc_data(33)") (joined + (portRef (member feedback_inc_data 0)) (portRef I4 (instanceRef N_153_i)) )) (net (rename n_218 "N_218") (joined @@ -4599,7 +4599,7 @@ ) (contents (instance N_12_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h20302233")) + (property INIT (string "32'h23002323")) ) (net un1_psum0_signed_0 (joined (portRef un1_psum0_signed_0) @@ -4609,12 +4609,12 @@ (portRef N_213) (portRef I1 (instanceRef N_12_i)) )) - (net feedback_inc_data_0 (joined - (portRef feedback_inc_data_0) - (portRef I2 (instanceRef N_12_i)) - )) (net (rename n_186 "N_186") (joined (portRef N_186) + (portRef I2 (instanceRef N_12_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) (portRef I3 (instanceRef N_12_i)) )) (net (rename n_218 "N_218") (joined @@ -4851,18 +4851,18 @@ ) (contents (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000FFFFEC4CEE44")) + (property INIT (string "64'h0000FFFFF870FA50")) ) (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined (portRef (member un1_psum0_signed 40)) (portRef I0 (instanceRef Z)) )) - (net (rename un1_psum0_signed_39 "un1_psum0_signed(39)") (joined - (portRef (member un1_psum0_signed 31)) - (portRef I1 (instanceRef Z)) - )) (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined (portRef Z_i_0_o3_28) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_39 "un1_psum0_signed(39)") (joined + (portRef (member un1_psum0_signed 31)) (portRef I2 (instanceRef Z)) )) (net feedback_inc_data_0 (joined @@ -4941,22 +4941,22 @@ ) (contents (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000FFFFEC4CEE44")) + (property INIT (string "64'h0000FFFFE2AAE2E2")) ) - (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined - (portRef (member un1_psum0_signed 40)) - (portRef I0 (instanceRef Z)) - )) (net (rename un1_psum0_signed_34 "un1_psum0_signed(34)") (joined (portRef (member un1_psum0_signed 36)) - (portRef I1 (instanceRef Z)) + (portRef I0 (instanceRef Z)) )) - (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined - (portRef Z_i_0_o3_28) - (portRef I2 (instanceRef Z)) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I1 (instanceRef Z)) )) (net feedback_inc_data_0 (joined (portRef feedback_inc_data_0) + (portRef I2 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) (portRef I3 (instanceRef Z)) )) (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined @@ -4986,7 +4986,7 @@ ) (contents (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000FFFFEC4CEE44")) + (property INIT (string "64'h0000FFFFE4CCE4E4")) ) (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined (portRef (member un1_psum0_signed 40)) @@ -4996,12 +4996,12 @@ (portRef (member un1_psum0_signed 35)) (portRef I1 (instanceRef Z)) )) - (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined - (portRef Z_i_0_o3_28) - (portRef I2 (instanceRef Z)) - )) (net feedback_inc_data_0 (joined (portRef feedback_inc_data_0) + (portRef I2 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) (portRef I3 (instanceRef Z)) )) (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined @@ -5164,7 +5164,7 @@ ) (contents (instance N_16_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h20302233")) + (property INIT (string "32'h23002323")) ) (net un1_psum0_signed_0 (joined (portRef un1_psum0_signed_0) @@ -5174,12 +5174,12 @@ (portRef N_213) (portRef I1 (instanceRef N_16_i)) )) - (net feedback_inc_data_0 (joined - (portRef feedback_inc_data_0) - (portRef I2 (instanceRef N_16_i)) - )) (net (rename n_186 "N_186") (joined (portRef N_186) + (portRef I2 (instanceRef N_16_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) (portRef I3 (instanceRef N_16_i)) )) (net (rename n_218 "N_218") (joined @@ -5205,7 +5205,7 @@ ) (contents (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000FFFFF870FA50")) + (property INIT (string "64'h00FF00FFF8FA7050")) ) (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined (portRef (member un1_psum0_signed 40)) @@ -5219,12 +5219,12 @@ (portRef (member un1_psum0_signed 27)) (portRef I2 (instanceRef Z)) )) - (net feedback_inc_data_0 (joined - (portRef feedback_inc_data_0) - (portRef I3 (instanceRef Z)) - )) (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) (portRef I4 (instanceRef Z)) )) (net feedback_to_big (joined @@ -5866,7 +5866,7 @@ ) (contents (instance N_25_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h23002323")) + (property INIT (string "32'h20302233")) ) (net un1_psum0_signed_0 (joined (portRef un1_psum0_signed_0) @@ -5876,12 +5876,12 @@ (portRef N_213) (portRef I1 (instanceRef N_25_i)) )) - (net (rename n_186 "N_186") (joined - (portRef N_186) - (portRef I2 (instanceRef N_25_i)) - )) (net feedback_inc_data_0 (joined (portRef feedback_inc_data_0) + (portRef I2 (instanceRef N_25_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) (portRef I3 (instanceRef N_25_i)) )) (net (rename n_218 "N_218") (joined @@ -5992,7 +5992,7 @@ ) (contents (instance N_13_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h20302233")) + (property INIT (string "32'h23002323")) ) (net un1_psum0_signed_0 (joined (portRef un1_psum0_signed_0) @@ -6002,12 +6002,12 @@ (portRef N_213) (portRef I1 (instanceRef N_13_i)) )) - (net feedback_inc_data_0 (joined - (portRef feedback_inc_data_0) - (portRef I2 (instanceRef N_13_i)) - )) (net (rename n_186 "N_186") (joined (portRef N_186) + (portRef I2 (instanceRef N_13_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) (portRef I3 (instanceRef N_13_i)) )) (net (rename n_218 "N_218") (joined @@ -6155,12 +6155,12 @@ (portRef (member un1_psum0_signed 17)) (portRef I0 (instanceRef Z_32)) )) - (net (rename un1_psum0_signed_64 "un1_psum0_signed(64)") (joined - (portRef (member un1_psum0_signed 1)) - (portRef I1 (instanceRef Z_32)) - )) (net (rename un1_psum0_signed_63 "un1_psum0_signed(63)") (joined (portRef (member un1_psum0_signed 2)) + (portRef I1 (instanceRef Z_32)) + )) + (net (rename un1_psum0_signed_64 "un1_psum0_signed(64)") (joined + (portRef (member un1_psum0_signed 1)) (portRef I2 (instanceRef Z_32)) )) (net (rename un1_psum0_signed_65 "un1_psum0_signed(65)") (joined @@ -6187,34 +6187,34 @@ (portRef (member un1_psum0_signed 25)) (portRef I1 (instanceRef Z_32_0)) )) - (net (rename un1_psum0_signed_41 "un1_psum0_signed(41)") (joined - (portRef (member un1_psum0_signed 24)) - (portRef I2 (instanceRef Z_32_0)) - )) (net (rename un1_psum0_signed_42 "un1_psum0_signed(42)") (joined (portRef (member un1_psum0_signed 23)) - (portRef I3 (instanceRef Z_32_0)) - )) - (net (rename un1_psum0_signed_44 "un1_psum0_signed(44)") (joined - (portRef (member un1_psum0_signed 21)) - (portRef I4 (instanceRef Z_32_0)) + (portRef I2 (instanceRef Z_32_0)) )) (net (rename un1_psum0_signed_43 "un1_psum0_signed(43)") (joined (portRef (member un1_psum0_signed 22)) - (portRef I5 (instanceRef Z_32_0)) + (portRef I3 (instanceRef Z_32_0)) )) - (net (rename un1_psum0_signed_56 "un1_psum0_signed(56)") (joined - (portRef (member un1_psum0_signed 9)) - (portRef I0 (instanceRef g0_12_N_6L11)) - (portRef I0 (instanceRef Z_33_2)) + (net (rename un1_psum0_signed_41 "un1_psum0_signed(41)") (joined + (portRef (member un1_psum0_signed 24)) + (portRef I4 (instanceRef Z_32_0)) + )) + (net (rename un1_psum0_signed_44 "un1_psum0_signed(44)") (joined + (portRef (member un1_psum0_signed 21)) + (portRef I5 (instanceRef Z_32_0)) )) (net (rename un1_psum0_signed_52 "un1_psum0_signed(52)") (joined (portRef (member un1_psum0_signed 13)) - (portRef I1 (instanceRef g0_12_N_6L11)) - (portRef I1 (instanceRef Z_33_2)) + (portRef I0 (instanceRef g0_12_N_6L11)) + (portRef I0 (instanceRef Z_33_2)) )) (net (rename un1_psum0_signed_54 "un1_psum0_signed(54)") (joined (portRef (member un1_psum0_signed 11)) + (portRef I1 (instanceRef g0_12_N_6L11)) + (portRef I1 (instanceRef Z_33_2)) + )) + (net (rename un1_psum0_signed_55 "un1_psum0_signed(55)") (joined + (portRef (member un1_psum0_signed 10)) (portRef I2 (instanceRef g0_12_N_6L11)) (portRef I2 (instanceRef Z_33_2)) )) @@ -6223,14 +6223,14 @@ (portRef I3 (instanceRef g0_12)) (portRef I3 (instanceRef Z_33_2)) )) - (net (rename un1_psum0_signed_55 "un1_psum0_signed(55)") (joined - (portRef (member un1_psum0_signed 10)) - (portRef I3 (instanceRef g0_12_N_6L11)) - (portRef I4 (instanceRef Z_33_2)) - )) (net (rename un1_psum0_signed_53 "un1_psum0_signed(53)") (joined (portRef (member un1_psum0_signed 12)) (portRef I3 (instanceRef g0_12_N_4L7)) + (portRef I4 (instanceRef Z_33_2)) + )) + (net (rename un1_psum0_signed_56 "un1_psum0_signed(56)") (joined + (portRef (member un1_psum0_signed 9)) + (portRef I3 (instanceRef g0_12_N_6L11)) (portRef I5 (instanceRef Z_33_2)) )) (net (rename Z_33Z0Z_2 "Z_33_2") (joined @@ -6275,20 +6275,20 @@ (portRef O (instanceRef Z_33_1_1)) (portRef I3 (instanceRef Z_33_1_1_RNIJBSA1)) )) + (net (rename un1_psum0_signed_49 "un1_psum0_signed(49)") (joined + (portRef (member un1_psum0_signed 16)) + (portRef I0 (instanceRef Z_33_0_2_RNIQA763)) + )) (net (rename un1_psum0_signed_58 "un1_psum0_signed(58)") (joined (portRef (member un1_psum0_signed 7)) - (portRef I0 (instanceRef Z_33_0_2_RNIQA763)) + (portRef I1 (instanceRef Z_33_0_2_RNIQA763)) )) (net (rename un1_psum0_signed_59 "un1_psum0_signed(59)") (joined (portRef (member un1_psum0_signed 6)) - (portRef I1 (instanceRef Z_33_0_2_RNIQA763)) + (portRef I2 (instanceRef Z_33_0_2_RNIQA763)) )) (net (rename un1_psum0_signed_57 "un1_psum0_signed(57)") (joined (portRef (member un1_psum0_signed 8)) - (portRef I2 (instanceRef Z_33_0_2_RNIQA763)) - )) - (net (rename un1_psum0_signed_49 "un1_psum0_signed(49)") (joined - (portRef (member un1_psum0_signed 16)) (portRef I3 (instanceRef Z_33_0_2_RNIQA763)) )) (net g0_11 (joined @@ -6308,13 +6308,13 @@ (portRef I0 (instanceRef g0_12_N_4L7)) (portRef I0 (instanceRef Z_33_1_1_RNIJBSA1)) )) - (net (rename un1_psum0_signed_33 "un1_psum0_signed(33)") (joined - (portRef (member un1_psum0_signed 32)) + (net (rename un1_psum0_signed_36 "un1_psum0_signed(36)") (joined + (portRef (member un1_psum0_signed 29)) (portRef I1 (instanceRef g0_12_N_4L7)) (portRef I1 (instanceRef Z_33_1_1_RNIJBSA1)) )) - (net (rename un1_psum0_signed_36 "un1_psum0_signed(36)") (joined - (portRef (member un1_psum0_signed 29)) + (net (rename un1_psum0_signed_33 "un1_psum0_signed(33)") (joined + (portRef (member un1_psum0_signed 32)) (portRef I2 (instanceRef g0_12_N_4L7)) (portRef I2 (instanceRef Z_33_1_1_RNIJBSA1)) )) @@ -6411,7 +6411,7 @@ (property INIT (string "64'h08000A0008080A0A")) ) (instance N_34_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h008000A0008800AA")) + (property INIT (string "64'h08000A0008080A0A")) ) (instance N_38_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h008000A0008800AA")) @@ -6531,7 +6531,7 @@ (portRef I3 (instanceRef N_46_i)) (portRef I3 (instanceRef N_42_i)) (portRef I3 (instanceRef N_38_i)) - (portRef I3 (instanceRef N_34_i)) + (portRef I2 (instanceRef N_34_i)) (portRef I2 (instanceRef N_30_i)) (portRef I2 (instanceRef N_26_i)) (portRef I2 (instanceRef N_22_i)) @@ -6654,7 +6654,7 @@ )) (net (rename feedback_inc_data_16 "feedback_inc_data(16)") (joined (portRef (member feedback_inc_data 19)) - (portRef I2 (instanceRef N_34_i)) + (portRef I3 (instanceRef N_34_i)) )) (net (rename N_34_iZ0 "N_34_i") (joined (portRef LO (instanceRef N_34_i)) @@ -6874,32 +6874,32 @@ (portRef (member psum1_saved 13)) (portRef I1 (instanceRef Z_i_0_o3_28_3)) )) - (net (rename Z_i_0_o3_28Z0Z_2 "Z_i_0_o3_28_2") (joined - (portRef O (instanceRef Z_i_0_o3_28_2)) + (net (rename Z_i_0_o3_28Z0Z_0 "Z_i_0_o3_28_0") (joined + (portRef O (instanceRef Z_i_0_o3_28_0)) (portRef I2 (instanceRef Z_i_0_o3_28_3)) )) (net (rename Z_i_0_o3_28Z0Z_1 "Z_i_0_o3_28_1") (joined (portRef O (instanceRef Z_i_0_o3_28_1)) (portRef I3 (instanceRef Z_i_0_o3_28_3)) )) - (net (rename Z_i_0_o3_28Z0Z_0 "Z_i_0_o3_28_0") (joined - (portRef O (instanceRef Z_i_0_o3_28_0)) + (net (rename Z_i_0_o3_28Z0Z_2 "Z_i_0_o3_28_2") (joined + (portRef O (instanceRef Z_i_0_o3_28_2)) (portRef I4 (instanceRef Z_i_0_o3_28_3)) )) (net (rename un1_psum0_signed_20 "un1_psum0_signed(20)") (joined (portRef (member un1_psum0_signed 50)) (portRef I5 (instanceRef Z_i_0_o3_28_3)) )) - (net (rename psum1_saved_7 "psum1_saved(7)") (joined - (portRef (member psum1_saved 12)) + (net (rename psum1_saved_8 "psum1_saved(8)") (joined + (portRef (member psum1_saved 11)) (portRef I0 (instanceRef Z_i_0_o3_28_0)) )) (net (rename psum1_saved_0 "psum1_saved(0)") (joined (portRef (member psum1_saved 19)) (portRef I1 (instanceRef Z_i_0_o3_28_0)) )) - (net (rename psum1_saved_8 "psum1_saved(8)") (joined - (portRef (member psum1_saved 11)) + (net (rename psum1_saved_7 "psum1_saved(7)") (joined + (portRef (member psum1_saved 12)) (portRef I2 (instanceRef Z_i_0_o3_28_0)) )) (net (rename psum1_saved_9 "psum1_saved(9)") (joined @@ -6914,28 +6914,28 @@ (portRef (member psum1_saved 8)) (portRef I5 (instanceRef Z_i_0_o3_28_0)) )) - (net (rename psum1_saved_16 "psum1_saved(16)") (joined - (portRef (member psum1_saved 3)) - (portRef I0 (instanceRef Z_i_0_o3_28_1)) - )) - (net (rename psum1_saved_17 "psum1_saved(17)") (joined - (portRef (member psum1_saved 2)) - (portRef I1 (instanceRef Z_i_0_o3_28_1)) - )) (net (rename psum1_saved_12 "psum1_saved(12)") (joined (portRef (member psum1_saved 7)) - (portRef I2 (instanceRef Z_i_0_o3_28_1)) + (portRef I0 (instanceRef Z_i_0_o3_28_1)) )) (net (rename psum1_saved_13 "psum1_saved(13)") (joined (portRef (member psum1_saved 6)) - (portRef I3 (instanceRef Z_i_0_o3_28_1)) + (portRef I1 (instanceRef Z_i_0_o3_28_1)) )) (net (rename psum1_saved_14 "psum1_saved(14)") (joined (portRef (member psum1_saved 5)) - (portRef I4 (instanceRef Z_i_0_o3_28_1)) + (portRef I2 (instanceRef Z_i_0_o3_28_1)) )) (net (rename psum1_saved_15 "psum1_saved(15)") (joined (portRef (member psum1_saved 4)) + (portRef I3 (instanceRef Z_i_0_o3_28_1)) + )) + (net (rename psum1_saved_16 "psum1_saved(16)") (joined + (portRef (member psum1_saved 3)) + (portRef I4 (instanceRef Z_i_0_o3_28_1)) + )) + (net (rename psum1_saved_17 "psum1_saved(17)") (joined + (portRef (member psum1_saved 2)) (portRef I5 (instanceRef Z_i_0_o3_28_1)) )) (net (rename psum1_saved_1 "psum1_saved(1)") (joined @@ -7473,18 +7473,18 @@ (portRef O (instanceRef g0)) (portRef feedback_to_big) )) - (net (rename un1_psum0_signed_67 "un1_psum0_signed(67)") (joined - (portRef (member un1_psum0_signed 3)) + (net (rename un1_psum0_signed_68 "un1_psum0_signed(68)") (joined + (portRef (member un1_psum0_signed 2)) (portRef I0 (instanceRef g1_2)) (portRef I0 (instanceRef g5)) )) - (net (rename un1_psum0_signed_68 "un1_psum0_signed(68)") (joined - (portRef (member un1_psum0_signed 2)) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) (portRef I1 (instanceRef g1_2)) (portRef I1 (instanceRef g5)) )) - (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined - (portRef (member un1_psum0_signed 0)) + (net (rename un1_psum0_signed_67 "un1_psum0_signed(67)") (joined + (portRef (member un1_psum0_signed 3)) (portRef I2 (instanceRef g1_2)) (portRef I2 (instanceRef g5)) )) @@ -8103,7 +8103,7 @@ ) (contents (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000FFFFF870FA50")) + (property INIT (string "64'h00FF00FFF8FA7050")) ) (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined (portRef (member un1_psum0_signed 40)) @@ -8117,12 +8117,12 @@ (portRef (member un1_psum0_signed 31)) (portRef I2 (instanceRef Z)) )) - (net feedback_inc_data_0 (joined - (portRef feedback_inc_data_0) - (portRef I3 (instanceRef Z)) - )) (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) (portRef I4 (instanceRef Z)) )) (net feedback_to_big (joined @@ -8193,22 +8193,22 @@ ) (contents (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000FFFFEA2AEE22")) + (property INIT (string "64'h0000FFFFE4CCE4E4")) ) - (net (rename un1_psum0_signed_34 "un1_psum0_signed(34)") (joined - (portRef (member un1_psum0_signed 36)) - (portRef I0 (instanceRef Z)) - )) (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined (portRef (member un1_psum0_signed 40)) - (portRef I1 (instanceRef Z)) + (portRef I0 (instanceRef Z)) )) - (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined - (portRef Z_i_0_o3_28) - (portRef I2 (instanceRef Z)) + (net (rename un1_psum0_signed_34 "un1_psum0_signed(34)") (joined + (portRef (member un1_psum0_signed 36)) + (portRef I1 (instanceRef Z)) )) (net feedback_inc_data_0 (joined (portRef feedback_inc_data_0) + (portRef I2 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) (portRef I3 (instanceRef Z)) )) (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined @@ -8238,7 +8238,7 @@ ) (contents (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000FFFFEC4CEE44")) + (property INIT (string "64'h0000FFFFE4CCE4E4")) ) (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined (portRef (member un1_psum0_signed 40)) @@ -8248,12 +8248,12 @@ (portRef (member un1_psum0_signed 35)) (portRef I1 (instanceRef Z)) )) - (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined - (portRef Z_i_0_o3_28) - (portRef I2 (instanceRef Z)) - )) (net feedback_inc_data_0 (joined (portRef feedback_inc_data_0) + (portRef I2 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) (portRef I3 (instanceRef Z)) )) (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined @@ -8329,18 +8329,18 @@ ) (contents (instance N_32_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h080C0A0F")) + (property INIT (string "32'h20302233")) ) (net un1_psum0_signed_0 (joined (portRef un1_psum0_signed_0) (portRef I0 (instanceRef N_32_i)) )) - (net feedback_inc_data_0 (joined - (portRef feedback_inc_data_0) - (portRef I1 (instanceRef N_32_i)) - )) (net feedback_to_big_neg (joined (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_32_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) (portRef I2 (instanceRef N_32_i)) )) (net (rename n_186 "N_186") (joined @@ -8853,7 +8853,7 @@ ) (contents (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h00FF00FFF8FA7050")) + (property INIT (string "64'h0F0F0F0FFF8A7500")) ) (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined (portRef (member un1_psum0_signed 40)) @@ -8863,12 +8863,12 @@ (portRef Z_i_0_o3_28) (portRef I1 (instanceRef Z)) )) - (net (rename un1_psum0_signed_59 "un1_psum0_signed(59)") (joined - (portRef (member un1_psum0_signed 11)) - (portRef I2 (instanceRef Z)) - )) (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined (portRef (member un1_psum0_signed 0)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_59 "un1_psum0_signed(59)") (joined + (portRef (member un1_psum0_signed 11)) (portRef I3 (instanceRef Z)) )) (net feedback_inc_data_0 (joined @@ -8985,7 +8985,7 @@ ) (contents (instance Z_i_0_o3_1_RNIAQ9D1 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h23002323")) + (property INIT (string "32'h20302233")) ) (instance Z_i_0_o3_0_a0_1 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h0000000000000001")) @@ -9003,7 +9003,7 @@ (property INIT (string "16'hFFF4")) ) (instance Z_i_0_a3_1 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0800A8A0A8A0A8A0")) + (property INIT (string "64'h0A880A00AA88AA00")) ) (net (rename un1_psum0_signed_65 "un1_psum0_signed(65)") (joined (portRef (member un1_psum0_signed 5)) @@ -9013,14 +9013,14 @@ (portRef feedback_to_big_neg) (portRef I1 (instanceRef Z_i_0_o3_1_RNIAQ9D1)) )) - (net (rename n_186 "N_186") (joined - (portRef O (instanceRef Z_i_0_o3_1)) - (portRef I2 (instanceRef Z_i_0_o3_1_RNIAQ9D1)) - (portRef N_186) - )) (net feedback_inc_data_0 (joined (portRef feedback_inc_data_0) + (portRef I2 (instanceRef Z_i_0_o3_1_RNIAQ9D1)) + )) + (net (rename n_186 "N_186") (joined + (portRef O (instanceRef Z_i_0_o3_1)) (portRef I3 (instanceRef Z_i_0_o3_1_RNIAQ9D1)) + (portRef N_186) )) (net (rename n_218 "N_218") (joined (portRef O (instanceRef Z_i_0_a3_1)) @@ -9037,30 +9037,30 @@ (portRef I0 (instanceRef Z_i_0_o3_0_a2)) (portRef I0 (instanceRef Z_i_0_o3_0_a0_1)) )) - (net (rename un1_psum0_signed_66 "un1_psum0_signed(66)") (joined - (portRef (member un1_psum0_signed 4)) + (net (rename un1_psum0_signed_68 "un1_psum0_signed(68)") (joined + (portRef (member un1_psum0_signed 2)) (portRef I0 (instanceRef Z_m2_0_a2_0)) (portRef I0 (instanceRef Z_i_0_a3_1_RNO)) (portRef I1 (instanceRef Z_i_0_o3_0_a2)) (portRef I1 (instanceRef Z_i_0_o3_0_a0_1)) )) - (net (rename un1_psum0_signed_67 "un1_psum0_signed(67)") (joined - (portRef (member un1_psum0_signed 3)) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I1 (instanceRef Z_i_0_o3_1)) (portRef I1 (instanceRef Z_m2_0_a2_0)) (portRef I1 (instanceRef Z_i_0_a3_1_RNO)) (portRef I2 (instanceRef Z_i_0_o3_0_a2)) (portRef I2 (instanceRef Z_i_0_o3_0_a0_1)) )) - (net (rename un1_psum0_signed_68 "un1_psum0_signed(68)") (joined - (portRef (member un1_psum0_signed 2)) + (net (rename un1_psum0_signed_66 "un1_psum0_signed(66)") (joined + (portRef (member un1_psum0_signed 4)) (portRef I2 (instanceRef Z_m2_0_a2_0)) (portRef I2 (instanceRef Z_i_0_a3_1_RNO)) (portRef I3 (instanceRef Z_i_0_o3_0_a2)) (portRef I3 (instanceRef Z_i_0_o3_0_a0_1)) )) - (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined - (portRef (member un1_psum0_signed 0)) - (portRef I1 (instanceRef Z_i_0_o3_1)) + (net (rename un1_psum0_signed_67 "un1_psum0_signed(67)") (joined + (portRef (member un1_psum0_signed 3)) (portRef I3 (instanceRef Z_m2_0_a2_0)) (portRef I3 (instanceRef Z_i_0_a3_1_RNO)) (portRef I4 (instanceRef Z_i_0_o3_0_a2)) @@ -9083,11 +9083,11 @@ )) (net d_m2_e_0_0 (joined (portRef O (instanceRef Z_i_0_a3_1_RNO)) - (portRef I3 (instanceRef Z_i_0_a3_1)) + (portRef I4 (instanceRef Z_i_0_a3_1)) )) (net (rename Z_m2_0_a2Z0Z_0 "Z_m2_0_a2_0") (joined (portRef O (instanceRef Z_m2_0_a2_0)) - (portRef I2 (instanceRef Z_i_0_a3_1)) + (portRef I3 (instanceRef Z_i_0_a3_1)) )) (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined (portRef Z_i_0_o3_28) @@ -9096,7 +9096,7 @@ )) (net (rename z_32 "Z_32") (joined (portRef Z_32) - (portRef I4 (instanceRef Z_i_0_a3_1)) + (portRef I2 (instanceRef Z_i_0_a3_1)) )) (net (rename z_33 "Z_33") (joined (portRef Z_33) @@ -9118,7 +9118,7 @@ ) (contents (instance N_25_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h23002323")) + (property INIT (string "32'h20302233")) ) (net un1_psum0_signed_0 (joined (portRef un1_psum0_signed_0) @@ -9128,12 +9128,12 @@ (portRef feedback_to_big_neg) (portRef I1 (instanceRef N_25_i)) )) - (net (rename n_186 "N_186") (joined - (portRef N_186) - (portRef I2 (instanceRef N_25_i)) - )) (net feedback_inc_data_0 (joined (portRef feedback_inc_data_0) + (portRef I2 (instanceRef N_25_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) (portRef I3 (instanceRef N_25_i)) )) (net (rename n_218 "N_218") (joined @@ -9328,7 +9328,7 @@ ) (contents (instance N_17_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h23002323")) + (property INIT (string "32'h20302233")) ) (net un1_psum0_signed_0 (joined (portRef un1_psum0_signed_0) @@ -9338,12 +9338,12 @@ (portRef feedback_to_big_neg) (portRef I1 (instanceRef N_17_i)) )) - (net (rename n_186 "N_186") (joined - (portRef N_186) - (portRef I2 (instanceRef N_17_i)) - )) (net feedback_inc_data_0 (joined (portRef feedback_inc_data_0) + (portRef I2 (instanceRef N_17_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) (portRef I3 (instanceRef N_17_i)) )) (net (rename n_218 "N_218") (joined @@ -9443,12 +9443,12 @@ (portRef O (instanceRef Z_32)) (portRef Z_32) )) - (net (rename un1_psum0_signed_40 "un1_psum0_signed(40)") (joined - (portRef (member un1_psum0_signed 25)) + (net (rename un1_psum0_signed_39 "un1_psum0_signed(39)") (joined + (portRef (member un1_psum0_signed 26)) (portRef I0 (instanceRef Z_32_0)) )) - (net (rename un1_psum0_signed_41 "un1_psum0_signed(41)") (joined - (portRef (member un1_psum0_signed 24)) + (net (rename un1_psum0_signed_40 "un1_psum0_signed(40)") (joined + (portRef (member un1_psum0_signed 25)) (portRef I1 (instanceRef Z_32_0)) )) (net (rename un1_psum0_signed_42 "un1_psum0_signed(42)") (joined @@ -9459,8 +9459,8 @@ (portRef (member un1_psum0_signed 22)) (portRef I3 (instanceRef Z_32_0)) )) - (net (rename un1_psum0_signed_39 "un1_psum0_signed(39)") (joined - (portRef (member un1_psum0_signed 26)) + (net (rename un1_psum0_signed_41 "un1_psum0_signed(41)") (joined + (portRef (member un1_psum0_signed 24)) (portRef I4 (instanceRef Z_32_0)) )) (net (rename un1_psum0_signed_44 "un1_psum0_signed(44)") (joined @@ -9487,19 +9487,19 @@ (portRef I3 (instanceRef g0_12_N_6L11)) (portRef I3 (instanceRef Z_33_2)) )) - (net (rename un1_psum0_signed_53 "un1_psum0_signed(53)") (joined - (portRef (member un1_psum0_signed 12)) - (portRef I4 (instanceRef Z_33_0_2_RNIVAPC2)) - (portRef I4 (instanceRef Z_33_2)) - )) (net (rename un1_psum0_signed_56 "un1_psum0_signed(56)") (joined (portRef (member un1_psum0_signed 9)) (portRef I3 (instanceRef Z_33_0_2_RNIVAPC2)) + (portRef I4 (instanceRef Z_33_2)) + )) + (net (rename un1_psum0_signed_53 "un1_psum0_signed(53)") (joined + (portRef (member un1_psum0_signed 12)) + (portRef I4 (instanceRef Z_33_0_2_RNIVAPC2)) (portRef I5 (instanceRef Z_33_2)) )) (net (rename Z_33Z0Z_2 "Z_33_2") (joined (portRef O (instanceRef Z_33_2)) - (portRef I3 (instanceRef Z_33_1_1_RNICC8T2)) + (portRef I4 (instanceRef Z_33_1_1_RNICC8T2)) )) (net (rename un1_psum0_signed_46 "un1_psum0_signed(46)") (joined (portRef (member un1_psum0_signed 19)) @@ -9583,13 +9583,13 @@ (portRef I2 (instanceRef Z_33_0_2_RNIBN541)) (portRef I2 (instanceRef Z_33_0_2_RNIBN541_0)) )) - (net (rename un1_psum0_signed_33 "un1_psum0_signed(33)") (joined - (portRef (member un1_psum0_signed 32)) + (net (rename un1_psum0_signed_36 "un1_psum0_signed(36)") (joined + (portRef (member un1_psum0_signed 29)) (portRef I3 (instanceRef Z_33_0_2_RNIBN541)) (portRef I3 (instanceRef Z_33_0_2_RNIBN541_0)) )) - (net (rename un1_psum0_signed_36 "un1_psum0_signed(36)") (joined - (portRef (member un1_psum0_signed 29)) + (net (rename un1_psum0_signed_33 "un1_psum0_signed(33)") (joined + (portRef (member un1_psum0_signed 32)) (portRef I4 (instanceRef Z_33_0_2_RNIBN541)) (portRef I4 (instanceRef Z_33_0_2_RNIBN541_0)) )) @@ -9623,7 +9623,7 @@ )) (net (rename Z_32_xZ0 "Z_32_x") (joined (portRef LO (instanceRef Z_32_x)) - (portRef I4 (instanceRef Z_33_1_1_RNICC8T2)) + (portRef I3 (instanceRef Z_33_1_1_RNICC8T2)) )) (net g0_14_1 (joined (portRef LO (instanceRef Z_33_1_1_RNICC8T2)) @@ -9680,13 +9680,13 @@ (property INIT (string "64'h08000A0008080A0A")) ) (instance N_26_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h08000A0008080A0A")) + (property INIT (string "64'h008000A0008800AA")) ) (instance N_30_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h008000A0008800AA")) ) (instance N_34_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h08000A0008080A0A")) + (property INIT (string "64'h008000A0008800AA")) ) (instance N_38_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h008000A0008800AA")) @@ -9809,9 +9809,9 @@ (portRef I3 (instanceRef N_46_i)) (portRef I3 (instanceRef N_42_i)) (portRef I3 (instanceRef N_38_i)) - (portRef I2 (instanceRef N_34_i)) + (portRef I3 (instanceRef N_34_i)) (portRef I3 (instanceRef N_30_i)) - (portRef I2 (instanceRef N_26_i)) + (portRef I3 (instanceRef N_26_i)) (portRef I2 (instanceRef N_22_i)) (portRef I2 (instanceRef N_18_i)) (portRef I2 (instanceRef N_14_i)) @@ -9908,7 +9908,7 @@ )) (net (rename feedback_inc_data_18 "feedback_inc_data(18)") (joined (portRef (member feedback_inc_data 17)) - (portRef I3 (instanceRef N_26_i)) + (portRef I2 (instanceRef N_26_i)) )) (net (rename N_26_iZ0 "N_26_i") (joined (portRef LO (instanceRef N_26_i)) @@ -9932,7 +9932,7 @@ )) (net (rename feedback_inc_data_16 "feedback_inc_data(16)") (joined (portRef (member feedback_inc_data 19)) - (portRef I3 (instanceRef N_34_i)) + (portRef I2 (instanceRef N_34_i)) )) (net (rename N_34_iZ0 "N_34_i") (joined (portRef LO (instanceRef N_34_i)) @@ -10248,12 +10248,12 @@ (portRef (member un1_psum0_signed 43)) (portRef I1 (instanceRef Z_i_0_o3_28_3_4)) )) - (net (rename un1_psum0_signed_25 "un1_psum0_signed(25)") (joined - (portRef (member un1_psum0_signed 45)) - (portRef I2 (instanceRef Z_i_0_o3_28_3_4)) - )) (net (rename un1_psum0_signed_28 "un1_psum0_signed(28)") (joined (portRef (member un1_psum0_signed 42)) + (portRef I2 (instanceRef Z_i_0_o3_28_3_4)) + )) + (net (rename un1_psum0_signed_25 "un1_psum0_signed(25)") (joined + (portRef (member un1_psum0_signed 45)) (portRef I3 (instanceRef Z_i_0_o3_28_3_4)) )) (net (rename un1_psum0_signed_29 "un1_psum0_signed(29)") (joined @@ -10332,13 +10332,13 @@ (portRef I0 (instanceRef g1_2)) (portRef I0 (instanceRef g5)) )) - (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined - (portRef (member un1_psum0_signed 0)) + (net (rename un1_psum0_signed_67 "un1_psum0_signed(67)") (joined + (portRef (member un1_psum0_signed 3)) (portRef I1 (instanceRef g1_2)) (portRef I1 (instanceRef g5)) )) - (net (rename un1_psum0_signed_67 "un1_psum0_signed(67)") (joined - (portRef (member un1_psum0_signed 3)) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) (portRef I2 (instanceRef g1_2)) (portRef I2 (instanceRef g5)) )) @@ -10359,7 +10359,7 @@ ) (contents (instance Z (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h70F0F0F0")) + (property INIT (string "32'h7F00FF00")) ) (net (rename un1_psum0_signed_66 "un1_psum0_signed(66)") (joined (portRef (member un1_psum0_signed 4)) @@ -10369,12 +10369,12 @@ (portRef (member un1_psum0_signed 2)) (portRef I1 (instanceRef Z)) )) - (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined - (portRef (member un1_psum0_signed 0)) - (portRef I2 (instanceRef Z)) - )) (net (rename un1_psum0_signed_67 "un1_psum0_signed(67)") (joined (portRef (member un1_psum0_signed 3)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) (portRef I3 (instanceRef Z)) )) (net (rename un1_psum0_signed_69 "un1_psum0_signed(69)") (joined @@ -10417,7 +10417,7 @@ (property INIT (string "64'h08000A0008080A0A")) ) (instance N_75_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h08000A0008080A0A")) + (property INIT (string "64'h080A0000080A080A")) ) (instance N_65_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h08000A0008080A0A")) @@ -10429,10 +10429,10 @@ (property INIT (string "64'h08000A0008080A0A")) ) (instance N_53_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h008000A0008800AA")) + (property INIT (string "64'h08000A0008080A0A")) ) (instance N_49_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h008000A0008800AA")) + (property INIT (string "64'h08000A0008080A0A")) ) (instance N_45_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h008000A0008800AA")) @@ -10460,8 +10460,8 @@ (portRef feedback_to_big_neg) (portRef I2 (instanceRef N_41_i)) (portRef I3 (instanceRef N_45_i)) - (portRef I3 (instanceRef N_49_i)) - (portRef I3 (instanceRef N_53_i)) + (portRef I2 (instanceRef N_49_i)) + (portRef I2 (instanceRef N_53_i)) (portRef I2 (instanceRef N_57_i)) (portRef I2 (instanceRef N_61_i)) (portRef I2 (instanceRef N_65_i)) @@ -10482,7 +10482,7 @@ (portRef I4 (instanceRef N_57_i)) (portRef I4 (instanceRef N_61_i)) (portRef I4 (instanceRef N_65_i)) - (portRef I4 (instanceRef N_75_i)) + (portRef I3 (instanceRef N_75_i)) (portRef I4 (instanceRef N_77_i)) (portRef I4 (instanceRef N_153_i)) )) @@ -10521,7 +10521,7 @@ )) (net (rename feedback_inc_data_30 "feedback_inc_data(30)") (joined (portRef (member feedback_inc_data 3)) - (portRef I3 (instanceRef N_75_i)) + (portRef I4 (instanceRef N_75_i)) )) (net (rename N_75_iZ0 "N_75_i") (joined (portRef LO (instanceRef N_75_i)) @@ -10569,7 +10569,7 @@ )) (net (rename feedback_inc_data_24 "feedback_inc_data(24)") (joined (portRef (member feedback_inc_data 9)) - (portRef I2 (instanceRef N_53_i)) + (portRef I3 (instanceRef N_53_i)) )) (net (rename N_53_iZ0 "N_53_i") (joined (portRef LO (instanceRef N_53_i)) @@ -10581,7 +10581,7 @@ )) (net (rename feedback_inc_data_23 "feedback_inc_data(23)") (joined (portRef (member feedback_inc_data 10)) - (portRef I2 (instanceRef N_49_i)) + (portRef I3 (instanceRef N_49_i)) )) (net (rename N_49_iZ0 "N_49_i") (joined (portRef LO (instanceRef N_49_i)) @@ -10621,18 +10621,18 @@ ) (contents (instance N_28_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h080C0A0F")) + (property INIT (string "32'h20302233")) ) (net un1_psum0_signed_0 (joined (portRef un1_psum0_signed_0) (portRef I0 (instanceRef N_28_i)) )) - (net feedback_inc_data_0 (joined - (portRef feedback_inc_data_0) - (portRef I1 (instanceRef N_28_i)) - )) (net feedback_to_big_neg (joined (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_28_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) (portRef I2 (instanceRef N_28_i)) )) (net (rename n_186 "N_186") (joined @@ -11047,14 +11047,14 @@ ) (contents (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000FFFFEA2AEE22")) + (property INIT (string "64'h0000FFFFEC4CEE44")) ) - (net (rename un1_psum0_signed_34 "un1_psum0_signed(34)") (joined - (portRef (member un1_psum0_signed 36)) - (portRef I0 (instanceRef Z)) - )) (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_34 "un1_psum0_signed(34)") (joined + (portRef (member un1_psum0_signed 36)) (portRef I1 (instanceRef Z)) )) (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined @@ -11183,18 +11183,18 @@ ) (contents (instance N_32_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h080C0A0F")) + (property INIT (string "32'h20302233")) ) (net un1_psum0_signed_0 (joined (portRef un1_psum0_signed_0) (portRef I0 (instanceRef N_32_i)) )) - (net feedback_inc_data_0 (joined - (portRef feedback_inc_data_0) - (portRef I1 (instanceRef N_32_i)) - )) (net feedback_to_big_neg (joined (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_32_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) (portRef I2 (instanceRef N_32_i)) )) (net (rename n_186 "N_186") (joined @@ -11447,18 +11447,18 @@ ) (contents (instance N_24_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h080C0A0F")) + (property INIT (string "32'h20302233")) ) (net un1_psum0_signed_0 (joined (portRef un1_psum0_signed_0) (portRef I0 (instanceRef N_24_i)) )) - (net feedback_inc_data_0 (joined - (portRef feedback_inc_data_0) - (portRef I1 (instanceRef N_24_i)) - )) (net feedback_to_big_neg (joined (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_24_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) (portRef I2 (instanceRef N_24_i)) )) (net (rename n_186 "N_186") (joined @@ -11620,7 +11620,7 @@ ) (contents (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000FFFFF870FA50")) + (property INIT (string "64'h00FF00FFF8FA7050")) ) (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined (portRef (member un1_psum0_signed 40)) @@ -11634,12 +11634,12 @@ (portRef (member un1_psum0_signed 30)) (portRef I2 (instanceRef Z)) )) - (net feedback_inc_data_0 (joined - (portRef feedback_inc_data_0) - (portRef I3 (instanceRef Z)) - )) (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) (portRef I4 (instanceRef Z)) )) (net feedback_to_big (joined @@ -11839,7 +11839,7 @@ ) (contents (instance Z_i_0_o3_1_RNIF84V (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h20302233")) + (property INIT (string "32'h23002323")) ) (instance Z_i_0_o3_0_a0_1 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h0000000000000001")) @@ -11867,15 +11867,15 @@ (portRef feedback_to_big_neg) (portRef I1 (instanceRef Z_i_0_o3_1_RNIF84V)) )) - (net feedback_inc_data_0 (joined - (portRef feedback_inc_data_0) - (portRef I2 (instanceRef Z_i_0_o3_1_RNIF84V)) - )) (net (rename n_186 "N_186") (joined (portRef O (instanceRef Z_i_0_o3_1)) - (portRef I3 (instanceRef Z_i_0_o3_1_RNIF84V)) + (portRef I2 (instanceRef Z_i_0_o3_1_RNIF84V)) (portRef N_186) )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I3 (instanceRef Z_i_0_o3_1_RNIF84V)) + )) (net (rename n_218 "N_218") (joined (portRef O (instanceRef Z_i_0_a3_1)) (portRef I4 (instanceRef Z_i_0_o3_1_RNIF84V)) @@ -11898,23 +11898,23 @@ (portRef I1 (instanceRef Z_i_0_o3_0_a2)) (portRef I1 (instanceRef Z_i_0_o3_0_a0_1)) )) - (net (rename un1_psum0_signed_68 "un1_psum0_signed(68)") (joined - (portRef (member un1_psum0_signed 2)) + (net (rename un1_psum0_signed_67 "un1_psum0_signed(67)") (joined + (portRef (member un1_psum0_signed 3)) (portRef I1 (instanceRef Z_i_0_a3_1_RNO)) (portRef I1 (instanceRef Z_m2_0_a2_0)) (portRef I2 (instanceRef Z_i_0_o3_0_a2)) (portRef I2 (instanceRef Z_i_0_o3_0_a0_1)) )) - (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined - (portRef (member un1_psum0_signed 0)) - (portRef I1 (instanceRef Z_i_0_o3_1)) + (net (rename un1_psum0_signed_68 "un1_psum0_signed(68)") (joined + (portRef (member un1_psum0_signed 2)) (portRef I2 (instanceRef Z_i_0_a3_1_RNO)) (portRef I2 (instanceRef Z_m2_0_a2_0)) (portRef I3 (instanceRef Z_i_0_o3_0_a2)) (portRef I3 (instanceRef Z_i_0_o3_0_a0_1)) )) - (net (rename un1_psum0_signed_67 "un1_psum0_signed(67)") (joined - (portRef (member un1_psum0_signed 3)) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I1 (instanceRef Z_i_0_o3_1)) (portRef I3 (instanceRef Z_i_0_a3_1_RNO)) (portRef I3 (instanceRef Z_m2_0_a2_0)) (portRef I4 (instanceRef Z_i_0_o3_0_a2)) @@ -12268,19 +12268,19 @@ (portRef I0 (instanceRef Z_32_x)) (portRef I0 (instanceRef Z_32)) )) - (net (rename un1_psum0_signed_63 "un1_psum0_signed(63)") (joined - (portRef (member un1_psum0_signed 2)) - (portRef I1 (instanceRef Z_32_x)) - (portRef I1 (instanceRef Z_32)) - )) (net (rename un1_psum0_signed_64 "un1_psum0_signed(64)") (joined (portRef (member un1_psum0_signed 1)) - (portRef I2 (instanceRef Z_32_x)) - (portRef I2 (instanceRef Z_32)) + (portRef I1 (instanceRef Z_32_x)) + (portRef I1 (instanceRef Z_32)) )) (net (rename un1_psum0_signed_65 "un1_psum0_signed(65)") (joined (portRef (member un1_psum0_signed 0)) (portRef I3 (instanceRef Z_32_x)) + (portRef I2 (instanceRef Z_32)) + )) + (net (rename un1_psum0_signed_63 "un1_psum0_signed(63)") (joined + (portRef (member un1_psum0_signed 2)) + (portRef I2 (instanceRef Z_32_x)) (portRef I3 (instanceRef Z_32)) )) (net (rename Z_32Z0Z_1 "Z_32_1") (joined @@ -12290,31 +12290,31 @@ )) (net (rename Z_32Z0Z_0 "Z_32_0") (joined (portRef O (instanceRef Z_32_0)) - (portRef I3 (instanceRef Z_33_1_1_RNIM8723)) + (portRef I2 (instanceRef Z_33_1_1_RNIM8723)) (portRef I5 (instanceRef Z_32)) )) (net (rename ZZ0Z_32 "Z_32") (joined (portRef O (instanceRef Z_32)) (portRef Z_32) )) - (net (rename un1_psum0_signed_39 "un1_psum0_signed(39)") (joined - (portRef (member un1_psum0_signed 26)) - (portRef I0 (instanceRef Z_32_0)) - )) (net (rename un1_psum0_signed_40 "un1_psum0_signed(40)") (joined (portRef (member un1_psum0_signed 25)) - (portRef I1 (instanceRef Z_32_0)) - )) - (net (rename un1_psum0_signed_41 "un1_psum0_signed(41)") (joined - (portRef (member un1_psum0_signed 24)) - (portRef I2 (instanceRef Z_32_0)) + (portRef I0 (instanceRef Z_32_0)) )) (net (rename un1_psum0_signed_42 "un1_psum0_signed(42)") (joined (portRef (member un1_psum0_signed 23)) - (portRef I3 (instanceRef Z_32_0)) + (portRef I1 (instanceRef Z_32_0)) + )) + (net (rename un1_psum0_signed_39 "un1_psum0_signed(39)") (joined + (portRef (member un1_psum0_signed 26)) + (portRef I2 (instanceRef Z_32_0)) )) (net (rename un1_psum0_signed_43 "un1_psum0_signed(43)") (joined (portRef (member un1_psum0_signed 22)) + (portRef I3 (instanceRef Z_32_0)) + )) + (net (rename un1_psum0_signed_41 "un1_psum0_signed(41)") (joined + (portRef (member un1_psum0_signed 24)) (portRef I4 (instanceRef Z_32_0)) )) (net (rename un1_psum0_signed_44 "un1_psum0_signed(44)") (joined @@ -12353,7 +12353,7 @@ )) (net (rename Z_33Z0Z_2 "Z_33_2") (joined (portRef O (instanceRef Z_33_2)) - (portRef I2 (instanceRef Z_33_1_1_RNIM8723)) + (portRef I3 (instanceRef Z_33_1_1_RNIM8723)) )) (net (rename un1_psum0_signed_46 "un1_psum0_signed(46)") (joined (portRef (member un1_psum0_signed 19)) @@ -12437,13 +12437,13 @@ (portRef I2 (instanceRef Z_33_0_2_RNIHHV81)) (portRef I2 (instanceRef Z_33_0_2_RNIHHV81_0)) )) - (net (rename un1_psum0_signed_36 "un1_psum0_signed(36)") (joined - (portRef (member un1_psum0_signed 29)) + (net (rename un1_psum0_signed_33 "un1_psum0_signed(33)") (joined + (portRef (member un1_psum0_signed 32)) (portRef I3 (instanceRef Z_33_0_2_RNIHHV81)) (portRef I3 (instanceRef Z_33_0_2_RNIHHV81_0)) )) - (net (rename un1_psum0_signed_33 "un1_psum0_signed(33)") (joined - (portRef (member un1_psum0_signed 32)) + (net (rename un1_psum0_signed_36 "un1_psum0_signed(36)") (joined + (portRef (member un1_psum0_signed 29)) (portRef I4 (instanceRef Z_33_0_2_RNIHHV81)) (portRef I4 (instanceRef Z_33_0_2_RNIHHV81_0)) )) @@ -12519,28 +12519,28 @@ ) (contents (instance N_6_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h08000A0008080A0A")) + (property INIT (string "64'h080A0000080A080A")) ) (instance N_10_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h008000A0008800AA")) + (property INIT (string "64'h08000A0008080A0A")) ) (instance N_14_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h008000A0008800AA")) + (property INIT (string "64'h08000A0008080A0A")) ) (instance N_18_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h008000A0008800AA")) + (property INIT (string "64'h08000A0008080A0A")) ) (instance N_22_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h008000A0008800AA")) + (property INIT (string "64'h08000A0008080A0A")) ) (instance N_26_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h08000A0008080A0A")) ) (instance N_30_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h08000A0008080A0A")) + (property INIT (string "64'h008000A0008800AA")) ) (instance N_34_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h008000A0008800AA")) + (property INIT (string "64'h08000A0008080A0A")) ) (instance N_38_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h008000A0008800AA")) @@ -12663,19 +12663,15 @@ (portRef I3 (instanceRef N_46_i)) (portRef I3 (instanceRef N_42_i)) (portRef I3 (instanceRef N_38_i)) - (portRef I3 (instanceRef N_34_i)) - (portRef I2 (instanceRef N_30_i)) + (portRef I2 (instanceRef N_34_i)) + (portRef I3 (instanceRef N_30_i)) (portRef I2 (instanceRef N_26_i)) - (portRef I3 (instanceRef N_22_i)) - (portRef I3 (instanceRef N_18_i)) - (portRef I3 (instanceRef N_14_i)) - (portRef I3 (instanceRef N_10_i)) + (portRef I2 (instanceRef N_22_i)) + (portRef I2 (instanceRef N_18_i)) + (portRef I2 (instanceRef N_14_i)) + (portRef I2 (instanceRef N_10_i)) (portRef I2 (instanceRef N_6_i)) )) - (net (rename feedback_inc_data_34 "feedback_inc_data(34)") (joined - (portRef (member feedback_inc_data 1)) - (portRef I3 (instanceRef N_6_i)) - )) (net (rename n_186 "N_186") (joined (portRef N_186) (portRef I4 (instanceRef N_46_i)) @@ -12688,6 +12684,10 @@ (portRef I4 (instanceRef N_18_i)) (portRef I4 (instanceRef N_14_i)) (portRef I4 (instanceRef N_10_i)) + (portRef I3 (instanceRef N_6_i)) + )) + (net (rename feedback_inc_data_34 "feedback_inc_data(34)") (joined + (portRef (member feedback_inc_data 1)) (portRef I4 (instanceRef N_6_i)) )) (net (rename n_218 "N_218") (joined @@ -12714,7 +12714,7 @@ )) (net (rename feedback_inc_data_31 "feedback_inc_data(31)") (joined (portRef (member feedback_inc_data 4)) - (portRef I2 (instanceRef N_10_i)) + (portRef I3 (instanceRef N_10_i)) )) (net (rename N_10_iZ0 "N_10_i") (joined (portRef LO (instanceRef N_10_i)) @@ -12726,7 +12726,7 @@ )) (net (rename feedback_inc_data_29 "feedback_inc_data(29)") (joined (portRef (member feedback_inc_data 6)) - (portRef I2 (instanceRef N_14_i)) + (portRef I3 (instanceRef N_14_i)) )) (net (rename N_14_iZ0 "N_14_i") (joined (portRef LO (instanceRef N_14_i)) @@ -12738,7 +12738,7 @@ )) (net (rename feedback_inc_data_21 "feedback_inc_data(21)") (joined (portRef (member feedback_inc_data 14)) - (portRef I2 (instanceRef N_18_i)) + (portRef I3 (instanceRef N_18_i)) )) (net (rename N_18_iZ0 "N_18_i") (joined (portRef LO (instanceRef N_18_i)) @@ -12750,7 +12750,7 @@ )) (net (rename feedback_inc_data_20 "feedback_inc_data(20)") (joined (portRef (member feedback_inc_data 15)) - (portRef I2 (instanceRef N_22_i)) + (portRef I3 (instanceRef N_22_i)) )) (net (rename N_22_iZ0 "N_22_i") (joined (portRef LO (instanceRef N_22_i)) @@ -12774,7 +12774,7 @@ )) (net (rename feedback_inc_data_17 "feedback_inc_data(17)") (joined (portRef (member feedback_inc_data 18)) - (portRef I3 (instanceRef N_30_i)) + (portRef I2 (instanceRef N_30_i)) )) (net (rename N_30_iZ0 "N_30_i") (joined (portRef LO (instanceRef N_30_i)) @@ -12786,7 +12786,7 @@ )) (net (rename feedback_inc_data_16 "feedback_inc_data(16)") (joined (portRef (member feedback_inc_data 19)) - (portRef I2 (instanceRef N_34_i)) + (portRef I3 (instanceRef N_34_i)) )) (net (rename N_34_iZ0 "N_34_i") (joined (portRef LO (instanceRef N_34_i)) @@ -13002,12 +13002,12 @@ (portRef (member psum1_saved 0)) (portRef I0 (instanceRef Z_i_0_o3_28_3)) )) - (net (rename psum1_saved_0 "psum1_saved(0)") (joined - (portRef (member psum1_saved 20)) - (portRef I1 (instanceRef Z_i_0_o3_28_3)) - )) (net (rename psum1_saved_1 "psum1_saved(1)") (joined (portRef (member psum1_saved 19)) + (portRef I1 (instanceRef Z_i_0_o3_28_3)) + )) + (net (rename psum1_saved_0 "psum1_saved(0)") (joined + (portRef (member psum1_saved 20)) (portRef I2 (instanceRef Z_i_0_o3_28_3)) )) (net (rename Z_i_0_o3_28Z0Z_2 "Z_i_0_o3_28_2") (joined @@ -13205,22 +13205,22 @@ ) (contents (instance Z (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h4CCCCCCC")) + (property INIT (string "32'h7F00FF00")) ) - (net (rename un1_psum0_signed_68 "un1_psum0_signed(68)") (joined - (portRef (member un1_psum0_signed 2)) - (portRef I0 (instanceRef Z)) - )) - (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined - (portRef (member un1_psum0_signed 0)) - (portRef I1 (instanceRef Z)) - )) (net (rename un1_psum0_signed_66 "un1_psum0_signed(66)") (joined (portRef (member un1_psum0_signed 4)) - (portRef I2 (instanceRef Z)) + (portRef I0 (instanceRef Z)) )) (net (rename un1_psum0_signed_67 "un1_psum0_signed(67)") (joined (portRef (member un1_psum0_signed 3)) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_68 "un1_psum0_signed(68)") (joined + (portRef (member un1_psum0_signed 2)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) (portRef I3 (instanceRef Z)) )) (net (rename un1_psum0_signed_69 "un1_psum0_signed(69)") (joined @@ -13262,19 +13262,19 @@ ) (contents (instance N_66_i_i_0_3 (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h00FB000000000000")) + (property INIT (string "64'h00000000FB000000")) ) (instance N_66_i_i_3 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h27AFFFFF")) + (property INIT (string "32'h1BFFBBFF")) ) - (instance N_66_i_i_0_1 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hCF55CFFFFF55FFFF")) + (instance N_66_i_i_0_1 (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hF535F5F5FF3FFFFF")) ) (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_11 "gO_6.FINAL_OUT_REGS.data_out_final_3_i_o3[11]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h75F5F5F5F5F5F5F5")) + (property INIT (string "64'h7FFF5555FFFF5555")) ) (instance N_66_i_i_a6 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h33333332")) + (property INIT (string "32'h00FF00FE")) ) (instance N_41_i (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'h40FF")) @@ -13283,28 +13283,28 @@ (property INIT (string "64'h0808080C0A0A0A0F")) ) (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIFOLO3_11 "gO_6.FINAL_OUT_REGS.data_out_final_3_i_o3_RNIFOLO3[11]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h2020203022222233")) + (property INIT (string "64'h0808080C0A0A0A0F")) ) (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNINOLO3_1_11 "gO_6.FINAL_OUT_REGS.data_out_final_3_i_o3_RNINOLO3_1[11]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h2200230022222323")) + (property INIT (string "64'h0808080C0A0A0A0F")) ) (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNI9MLO3_11 "gO_6.FINAL_OUT_REGS.data_out_final_3_i_o3_RNI9MLO3[11]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h0088008C00AA00AF")) + (property INIT (string "64'h0808080C0A0A0A0F")) ) (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNINOLO3_0_11 "gO_6.FINAL_OUT_REGS.data_out_final_3_i_o3_RNINOLO3_0[11]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h0A000B000A0A0B0B")) + (property INIT (string "64'h2020203022222233")) ) (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIDQLO3_0_11 "gO_6.FINAL_OUT_REGS.data_out_final_3_i_o3_RNIDQLO3_0[11]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h2200230022222323")) ) (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIDQLO3_11 "gO_6.FINAL_OUT_REGS.data_out_final_3_i_o3_RNIDQLO3[11]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h2200230022222323")) + (property INIT (string "64'h2020203022222233")) ) (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIPKKM3_11 "gO_6.FINAL_OUT_REGS.data_out_final_3_i_o3_RNIPKKM3[11]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h2200230022222323")) ) (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNINOLO3_11 "gO_6.FINAL_OUT_REGS.data_out_final_3_i_o3_RNINOLO3[11]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h0A000B000A0A0B0B")) + (property INIT (string "64'h2020203022222233")) ) (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined (portRef (member un1_psum0_signed 40)) @@ -13315,28 +13315,28 @@ (net (rename un1_psum0_signed_42 "un1_psum0_signed(42)") (joined (portRef (member un1_psum0_signed 28)) (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNI9MLO3_11)) - (portRef I2 (instanceRef N_66_i_i_0_1)) - (portRef I2 (instanceRef N_66_i_i_3)) + (portRef I1 (instanceRef N_66_i_i_0_1)) + (portRef I1 (instanceRef N_66_i_i_3)) (portRef I1 (instanceRef N_66_i_i_0_3)) )) (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined (portRef (member un1_psum0_signed 0)) (portRef I0 (instanceRef N_41_i)) - (portRef I1 (instanceRef N_66_i_i_a6)) - (portRef I2 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_11)) - (portRef I3 (instanceRef N_66_i_i_0_1)) + (portRef I3 (instanceRef N_66_i_i_a6)) + (portRef I4 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_11)) + (portRef I2 (instanceRef N_66_i_i_0_1)) (portRef I2 (instanceRef N_66_i_i_0_3)) )) - (net (rename N_66_i_i_aZ0Z6 "N_66_i_i_a6") (joined - (portRef O (instanceRef N_66_i_i_a6)) - (portRef I3 (instanceRef N_66_i_i_0_3)) - )) (net (rename N_66_i_i_0Z0Z_1 "N_66_i_i_0_1") (joined - (portRef O (instanceRef N_66_i_i_0_1)) - (portRef I4 (instanceRef N_66_i_i_0_3)) + (portRef LO (instanceRef N_66_i_i_0_1)) + (portRef I3 (instanceRef N_66_i_i_0_3)) )) (net N_66_i_i_2 (joined (portRef O (instanceRef N_66_i_i_3)) + (portRef I4 (instanceRef N_66_i_i_0_3)) + )) + (net (rename N_66_i_i_aZ0Z6 "N_66_i_i_a6") (joined + (portRef O (instanceRef N_66_i_i_a6)) (portRef I5 (instanceRef N_66_i_i_0_3)) )) (net (rename N_66_i_i_0Z0Z_3 "N_66_i_i_0_3") (joined @@ -13345,17 +13345,17 @@ )) (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined (portRef Z_i_0_o3_28) - (portRef I1 (instanceRef N_66_i_i_0_1)) - (portRef I1 (instanceRef N_66_i_i_3)) + (portRef I3 (instanceRef N_66_i_i_0_1)) + (portRef I2 (instanceRef N_66_i_i_3)) + )) + (net psum0_ones_3_0 (joined + (portRef psum0_ones_3_0) + (portRef I4 (instanceRef N_66_i_i_0_1)) + (portRef I3 (instanceRef N_66_i_i_3)) )) (net (rename feedback_inc_data_11 "feedback_inc_data(11)") (joined (portRef (member feedback_inc_data 22)) (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNI9MLO3_11)) - (portRef I4 (instanceRef N_66_i_i_0_1)) - (portRef I3 (instanceRef N_66_i_i_3)) - )) - (net psum0_ones_3_0 (joined - (portRef psum0_ones_3_0) (portRef I5 (instanceRef N_66_i_i_0_1)) (portRef I4 (instanceRef N_66_i_i_3)) )) @@ -13363,20 +13363,20 @@ (portRef rstn) (portRef I0 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_11)) )) - (net (rename un1_psum0_signed_68 "un1_psum0_signed(68)") (joined - (portRef (member un1_psum0_signed 2)) + (net (rename un1_psum0_signed_66 "un1_psum0_signed(66)") (joined + (portRef (member un1_psum0_signed 4)) (portRef I0 (instanceRef N_66_i_i_a6)) (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_11)) )) - (net (rename un1_psum0_signed_66 "un1_psum0_signed(66)") (joined - (portRef (member un1_psum0_signed 4)) - (portRef I2 (instanceRef N_66_i_i_a6)) - (portRef I3 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_11)) - )) (net (rename un1_psum0_signed_67 "un1_psum0_signed(67)") (joined (portRef (member un1_psum0_signed 3)) - (portRef I3 (instanceRef N_66_i_i_a6)) - (portRef I4 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_11)) + (portRef I1 (instanceRef N_66_i_i_a6)) + (portRef I2 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_11)) + )) + (net (rename un1_psum0_signed_68 "un1_psum0_signed(68)") (joined + (portRef (member un1_psum0_signed 2)) + (portRef I2 (instanceRef N_66_i_i_a6)) + (portRef I3 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_11)) )) (net (rename un1_psum0_signed_69 "un1_psum0_signed(69)") (joined (portRef (member un1_psum0_signed 1)) @@ -13385,14 +13385,14 @@ )) (net (rename n_190 "N_190") (joined (portRef O (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_11)) - (portRef I2 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNINOLO3_11)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNINOLO3_11)) (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIPKKM3_11)) (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIDQLO3_11)) (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIDQLO3_0_11)) - (portRef I2 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNINOLO3_0_11)) - (portRef I3 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNI9MLO3_11)) - (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNINOLO3_1_11)) - (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIFOLO3_11)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNINOLO3_0_11)) + (portRef I2 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNI9MLO3_11)) + (portRef I2 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNINOLO3_1_11)) + (portRef I2 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIFOLO3_11)) (portRef I2 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIFOLO3_0_11)) (portRef N_190) )) @@ -13418,13 +13418,13 @@ )) (net (rename z_i_0_o3_0_a0_1 "Z_i_0_o3_0_a0_1") (joined (portRef Z_i_0_o3_0_a0_1) - (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNINOLO3_11)) + (portRef I3 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNINOLO3_11)) (portRef I2 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIPKKM3_11)) - (portRef I2 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIDQLO3_11)) + (portRef I3 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIDQLO3_11)) (portRef I2 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIDQLO3_0_11)) - (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNINOLO3_0_11)) - (portRef I2 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNI9MLO3_11)) - (portRef I2 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNINOLO3_1_11)) + (portRef I3 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNINOLO3_0_11)) + (portRef I3 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNI9MLO3_11)) + (portRef I3 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNINOLO3_1_11)) (portRef I3 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIFOLO3_11)) (portRef I3 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIFOLO3_0_11)) )) @@ -13462,7 +13462,7 @@ )) (net (rename feedback_inc_data_24 "feedback_inc_data(24)") (joined (portRef (member feedback_inc_data 9)) - (portRef I2 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIFOLO3_11)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIFOLO3_11)) )) (net (rename n_53_i "N_53_i") (joined (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIFOLO3_11)) @@ -13474,7 +13474,7 @@ )) (net (rename feedback_inc_data_25 "feedback_inc_data(25)") (joined (portRef (member feedback_inc_data 8)) - (portRef I3 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNINOLO3_1_11)) + (portRef I1 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNINOLO3_1_11)) )) (net (rename n_57_i "N_57_i") (joined (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNINOLO3_1_11)) @@ -13490,7 +13490,7 @@ )) (net (rename feedback_inc_data_26 "feedback_inc_data(26)") (joined (portRef (member feedback_inc_data 7)) - (portRef I3 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNINOLO3_0_11)) + (portRef I2 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNINOLO3_0_11)) )) (net (rename n_61_i "N_61_i") (joined (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNINOLO3_0_11)) @@ -13514,7 +13514,7 @@ )) (net (rename feedback_inc_data_32 "feedback_inc_data(32)") (joined (portRef (member feedback_inc_data 1)) - (portRef I3 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIDQLO3_11)) + (portRef I2 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIDQLO3_11)) )) (net (rename n_77_i "N_77_i") (joined (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNIDQLO3_11)) @@ -13538,7 +13538,7 @@ )) (net (rename feedback_inc_data_27 "feedback_inc_data(27)") (joined (portRef (member feedback_inc_data 6)) - (portRef I3 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNINOLO3_11)) + (portRef I2 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNINOLO3_11)) )) (net (rename n_65_i "N_65_i") (joined (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_i_o3_RNINOLO3_11)) @@ -13561,22 +13561,22 @@ ) (contents (instance N_28_i (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h2200230022222323")) + (property INIT (string "64'h0808080C0A0A0A0F")) ) (net un1_psum0_signed_0 (joined (portRef un1_psum0_signed_0) (portRef I0 (instanceRef N_28_i)) )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I1 (instanceRef N_28_i)) + )) (net (rename n_213 "N_213") (joined (portRef N_213) - (portRef I1 (instanceRef N_28_i)) + (portRef I2 (instanceRef N_28_i)) )) (net (rename z_i_0_o3_0_a0_1 "Z_i_0_o3_0_a0_1") (joined (portRef Z_i_0_o3_0_a0_1) - (portRef I2 (instanceRef N_28_i)) - )) - (net feedback_inc_data_0 (joined - (portRef feedback_inc_data_0) (portRef I3 (instanceRef N_28_i)) )) (net (rename z_i_0_o3_1_1 "Z_i_0_o3_1_1") (joined @@ -13600,30 +13600,30 @@ (interface (port un1_psum0_signed_0 (direction INPUT)) (port feedback_inc_data_0 (direction INPUT)) - (port N_213 (direction INPUT)) (port Z_i_0_o3_0_a0_1 (direction INPUT)) + (port N_213 (direction INPUT)) (port Z_i_0_o3_1_1 (direction INPUT)) (port N_218 (direction INPUT)) (port N_33_i (direction OUTPUT)) ) (contents (instance N_33_i (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h2200230022222323")) + (property INIT (string "64'h00A000B000AA00BB")) ) (net un1_psum0_signed_0 (joined (portRef un1_psum0_signed_0) (portRef I0 (instanceRef N_33_i)) )) - (net (rename n_213 "N_213") (joined - (portRef N_213) - (portRef I1 (instanceRef N_33_i)) - )) (net (rename z_i_0_o3_0_a0_1 "Z_i_0_o3_0_a0_1") (joined (portRef Z_i_0_o3_0_a0_1) - (portRef I2 (instanceRef N_33_i)) + (portRef I1 (instanceRef N_33_i)) )) (net feedback_inc_data_0 (joined (portRef feedback_inc_data_0) + (portRef I2 (instanceRef N_33_i)) + )) + (net (rename n_213 "N_213") (joined + (portRef N_213) (portRef I3 (instanceRef N_33_i)) )) (net (rename z_i_0_o3_1_1 "Z_i_0_o3_1_1") (joined @@ -13647,26 +13647,26 @@ (interface (port un1_psum0_signed_0 (direction INPUT)) (port feedback_inc_data_0 (direction INPUT)) - (port N_213 (direction INPUT)) (port Z_i_0_o3_0_a0_1 (direction INPUT)) + (port N_213 (direction INPUT)) (port Z_i_0_o3_1_1 (direction INPUT)) (port N_218 (direction INPUT)) (port N_12_i (direction OUTPUT)) ) (contents (instance N_12_i (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h2200230022222323")) + (property INIT (string "64'h0A000B000A0A0B0B")) ) (net un1_psum0_signed_0 (joined (portRef un1_psum0_signed_0) (portRef I0 (instanceRef N_12_i)) )) - (net (rename n_213 "N_213") (joined - (portRef N_213) - (portRef I1 (instanceRef N_12_i)) - )) (net (rename z_i_0_o3_0_a0_1 "Z_i_0_o3_0_a0_1") (joined (portRef Z_i_0_o3_0_a0_1) + (portRef I1 (instanceRef N_12_i)) + )) + (net (rename n_213 "N_213") (joined + (portRef N_213) (portRef I2 (instanceRef N_12_i)) )) (net feedback_inc_data_0 (joined @@ -13694,18 +13694,18 @@ (interface (port un1_psum0_signed_0 (direction INPUT)) (port feedback_inc_data_0 (direction INPUT)) - (port N_213 (direction INPUT)) (port d_N_5_mux_1 (direction INPUT)) + (port N_213 (direction INPUT)) (port Z_N_5_mux (direction INPUT)) (port N_186 (direction INPUT)) (port Z_i_0_a3_1_0_0 (direction OUTPUT)) (port N_44_i (direction OUTPUT)) - (port Z_32 (direction INPUT)) (port Z_33 (direction INPUT)) + (port Z_32 (direction INPUT)) ) (contents (instance Z_i_0_a3_1_0_RNIEB113 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0002000322223333")) + (property INIT (string "64'h000200030A0A0F0F")) ) (instance Z_i_0_a3_1_0 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'h1555")) @@ -13714,17 +13714,17 @@ (portRef un1_psum0_signed_0) (portRef I0 (instanceRef Z_i_0_a3_1_0_RNIEB113)) )) - (net (rename n_213 "N_213") (joined - (portRef N_213) - (portRef I1 (instanceRef Z_i_0_a3_1_0_RNIEB113)) - )) (net (rename d_n_5_mux_1 "d_N_5_mux_1") (joined (portRef d_N_5_mux_1) + (portRef I1 (instanceRef Z_i_0_a3_1_0_RNIEB113)) + )) + (net (rename n_213 "N_213") (joined + (portRef N_213) (portRef I2 (instanceRef Z_i_0_a3_1_0_RNIEB113)) )) (net (rename z_n_5_mux "Z_N_5_mux") (joined (portRef Z_N_5_mux) - (portRef I1 (instanceRef Z_i_0_a3_1_0)) + (portRef I2 (instanceRef Z_i_0_a3_1_0)) (portRef I3 (instanceRef Z_i_0_a3_1_0_RNIEB113)) )) (net (rename n_186 "N_186") (joined @@ -13744,12 +13744,12 @@ (portRef feedback_inc_data_0) (portRef I0 (instanceRef Z_i_0_a3_1_0)) )) - (net (rename z_32 "Z_32") (joined - (portRef Z_32) - (portRef I2 (instanceRef Z_i_0_a3_1_0)) - )) (net (rename z_33 "Z_33") (joined (portRef Z_33) + (portRef I1 (instanceRef Z_i_0_a3_1_0)) + )) + (net (rename z_32 "Z_32") (joined + (portRef Z_32) (portRef I3 (instanceRef Z_i_0_a3_1_0)) )) ) @@ -13762,9 +13762,9 @@ (port feedback_inc_data_0 (direction INPUT)) (port un1_psum0_signed_0 (direction INPUT)) (port d_N_5_mux_1 (direction INPUT)) - (port Z_N_5_mux (direction INPUT)) (port Z_32 (direction INPUT)) (port Z_33 (direction INPUT)) + (port Z_N_5_mux (direction INPUT)) (port N_235 (direction OUTPUT)) (port N_213 (direction INPUT)) (port Z_i_0_o3_0_a0_1 (direction INPUT)) @@ -13773,7 +13773,7 @@ ) (contents (instance Z_i_0_a3_1 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h04545454")) + (property INIT (string "32'h05554444")) ) (instance Z_i_0_a3_1_RNIU1GG1 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'h00002223")) @@ -13786,16 +13786,16 @@ (portRef d_N_5_mux_1) (portRef I1 (instanceRef Z_i_0_a3_1)) )) - (net (rename z_n_5_mux "Z_N_5_mux") (joined - (portRef Z_N_5_mux) - (portRef I2 (instanceRef Z_i_0_a3_1)) - )) (net (rename z_32 "Z_32") (joined (portRef Z_32) - (portRef I3 (instanceRef Z_i_0_a3_1)) + (portRef I2 (instanceRef Z_i_0_a3_1)) )) (net (rename z_33 "Z_33") (joined (portRef Z_33) + (portRef I3 (instanceRef Z_i_0_a3_1)) + )) + (net (rename z_n_5_mux "Z_N_5_mux") (joined + (portRef Z_N_5_mux) (portRef I4 (instanceRef Z_i_0_a3_1)) )) (net (rename n_235 "N_235") (joined @@ -13878,26 +13878,26 @@ ) (contents (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000FFFFF870FA50")) + (property INIT (string "64'h00FF00FFECEE4C44")) ) (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined (portRef (member un1_psum0_signed 40)) (portRef I0 (instanceRef Z)) )) - (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined - (portRef Z_i_0_o3_28) - (portRef I1 (instanceRef Z)) - )) (net (rename un1_psum0_signed_38 "un1_psum0_signed(38)") (joined (portRef (member un1_psum0_signed 32)) - (portRef I2 (instanceRef Z)) + (portRef I1 (instanceRef Z)) )) - (net feedback_inc_data_0 (joined - (portRef feedback_inc_data_0) - (portRef I3 (instanceRef Z)) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I2 (instanceRef Z)) )) (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) (portRef I4 (instanceRef Z)) )) (net feedback_to_big (joined @@ -13917,18 +13917,18 @@ (interface (port un1_psum0_signed_0 (direction INPUT)) (port feedback_inc_data_0 (direction INPUT)) - (port N_213 (direction INPUT)) (port d_N_5_mux_1 (direction INPUT)) + (port N_213 (direction INPUT)) (port Z_N_5_mux (direction INPUT)) (port N_186 (direction INPUT)) (port Z_i_0_a3_1_0 (direction OUTPUT)) (port N_48_i (direction OUTPUT)) - (port Z_32 (direction INPUT)) (port Z_33 (direction INPUT)) + (port Z_32 (direction INPUT)) ) (contents (instance Z_i_0_a3_1_0_RNI6VI73 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0002000322223333")) + (property INIT (string "64'h000200030A0A0F0F")) ) (instance Z_i_0_a3_1_0 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'h1555")) @@ -13937,12 +13937,12 @@ (portRef un1_psum0_signed_0) (portRef I0 (instanceRef Z_i_0_a3_1_0_RNI6VI73)) )) - (net (rename n_213 "N_213") (joined - (portRef N_213) - (portRef I1 (instanceRef Z_i_0_a3_1_0_RNI6VI73)) - )) (net (rename d_n_5_mux_1 "d_N_5_mux_1") (joined (portRef d_N_5_mux_1) + (portRef I1 (instanceRef Z_i_0_a3_1_0_RNI6VI73)) + )) + (net (rename n_213 "N_213") (joined + (portRef N_213) (portRef I2 (instanceRef Z_i_0_a3_1_0_RNI6VI73)) )) (net (rename z_n_5_mux "Z_N_5_mux") (joined @@ -13967,12 +13967,12 @@ (portRef feedback_inc_data_0) (portRef I0 (instanceRef Z_i_0_a3_1_0)) )) - (net (rename z_32 "Z_32") (joined - (portRef Z_32) - (portRef I1 (instanceRef Z_i_0_a3_1_0)) - )) (net (rename z_33 "Z_33") (joined (portRef Z_33) + (portRef I1 (instanceRef Z_i_0_a3_1_0)) + )) + (net (rename z_32 "Z_32") (joined + (portRef Z_32) (portRef I3 (instanceRef Z_i_0_a3_1_0)) )) ) @@ -13990,26 +13990,26 @@ ) (contents (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000FFFFF870FA50")) + (property INIT (string "64'h00FF00FFECEE4C44")) ) (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined (portRef (member un1_psum0_signed 40)) (portRef I0 (instanceRef Z)) )) - (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined - (portRef Z_i_0_o3_28) - (portRef I1 (instanceRef Z)) - )) (net (rename un1_psum0_signed_39 "un1_psum0_signed(39)") (joined (portRef (member un1_psum0_signed 31)) - (portRef I2 (instanceRef Z)) + (portRef I1 (instanceRef Z)) )) - (net feedback_inc_data_0 (joined - (portRef feedback_inc_data_0) - (portRef I3 (instanceRef Z)) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) + (portRef I2 (instanceRef Z)) )) (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) (portRef I4 (instanceRef Z)) )) (net feedback_to_big (joined @@ -14080,14 +14080,14 @@ ) (contents (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000FFFFE2AAE2E2")) + (property INIT (string "64'h0000FFFFE4CCE4E4")) ) - (net (rename un1_psum0_signed_34 "un1_psum0_signed(34)") (joined - (portRef (member un1_psum0_signed 36)) - (portRef I0 (instanceRef Z)) - )) (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_34 "un1_psum0_signed(34)") (joined + (portRef (member un1_psum0_signed 36)) (portRef I1 (instanceRef Z)) )) (net feedback_inc_data_0 (joined @@ -14125,14 +14125,14 @@ ) (contents (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000FFFFE2AAE2E2")) + (property INIT (string "64'h0000FFFFE4CCE4E4")) ) - (net (rename un1_psum0_signed_35 "un1_psum0_signed(35)") (joined - (portRef (member un1_psum0_signed 35)) - (portRef I0 (instanceRef Z)) - )) (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_35 "un1_psum0_signed(35)") (joined + (portRef (member un1_psum0_signed 35)) (portRef I1 (instanceRef Z)) )) (net feedback_inc_data_0 (joined @@ -14170,22 +14170,22 @@ ) (contents (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h00FF00FFF8FA7050")) + (property INIT (string "64'h0F0F0F0FEECE44C4")) ) (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined (portRef (member un1_psum0_signed 40)) (portRef I0 (instanceRef Z)) )) - (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined - (portRef Z_i_0_o3_28) - (portRef I1 (instanceRef Z)) - )) (net (rename un1_psum0_signed_41 "un1_psum0_signed(41)") (joined (portRef (member un1_psum0_signed 29)) - (portRef I2 (instanceRef Z)) + (portRef I1 (instanceRef Z)) )) (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined (portRef (member un1_psum0_signed 0)) + (portRef I2 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) (portRef I3 (instanceRef Z)) )) (net feedback_inc_data_0 (joined @@ -14216,18 +14216,18 @@ ) (contents (instance N_32_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h20302233")) + (property INIT (string "32'h080C0A0F")) ) (net un1_psum0_signed_0 (joined (portRef un1_psum0_signed_0) (portRef I0 (instanceRef N_32_i)) )) - (net (rename n_213 "N_213") (joined - (portRef N_213) - (portRef I1 (instanceRef N_32_i)) - )) (net feedback_inc_data_0 (joined (portRef feedback_inc_data_0) + (portRef I1 (instanceRef N_32_i)) + )) + (net (rename n_213 "N_213") (joined + (portRef N_213) (portRef I2 (instanceRef N_32_i)) )) (net (rename z_i_0_o3_1_1 "Z_i_0_o3_1_1") (joined @@ -14296,26 +14296,26 @@ (interface (port un1_psum0_signed_0 (direction INPUT)) (port feedback_inc_data_0 (direction INPUT)) - (port N_213 (direction INPUT)) (port Z_i_0_o3_0_a0_1 (direction INPUT)) + (port N_213 (direction INPUT)) (port Z_i_0_o3_1_1 (direction INPUT)) (port N_218 (direction INPUT)) (port N_16_i (direction OUTPUT)) ) (contents (instance N_16_i (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h2200230022222323")) + (property INIT (string "64'h0A000B000A0A0B0B")) ) (net un1_psum0_signed_0 (joined (portRef un1_psum0_signed_0) (portRef I0 (instanceRef N_16_i)) )) - (net (rename n_213 "N_213") (joined - (portRef N_213) - (portRef I1 (instanceRef N_16_i)) - )) (net (rename z_i_0_o3_0_a0_1 "Z_i_0_o3_0_a0_1") (joined (portRef Z_i_0_o3_0_a0_1) + (portRef I1 (instanceRef N_16_i)) + )) + (net (rename n_213 "N_213") (joined + (portRef N_213) (portRef I2 (instanceRef N_16_i)) )) (net feedback_inc_data_0 (joined @@ -14349,18 +14349,18 @@ ) (contents (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h00FF00FFF8FA7050")) + (property INIT (string "64'h00FF00FFECEE4C44")) ) (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined (portRef (member un1_psum0_signed 40)) (portRef I0 (instanceRef Z)) )) - (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined - (portRef Z_i_0_o3_28) - (portRef I1 (instanceRef Z)) - )) (net (rename un1_psum0_signed_43 "un1_psum0_signed(43)") (joined (portRef (member un1_psum0_signed 27)) + (portRef I1 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) (portRef I2 (instanceRef Z)) )) (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined @@ -14439,18 +14439,18 @@ ) (contents (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h00FF00FFF8FA7050")) + (property INIT (string "64'h00FF00FFECEE4C44")) ) (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined (portRef (member un1_psum0_signed 40)) (portRef I0 (instanceRef Z)) )) - (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined - (portRef Z_i_0_o3_28) - (portRef I1 (instanceRef Z)) - )) (net (rename un1_psum0_signed_44 "un1_psum0_signed(44)") (joined (portRef (member un1_psum0_signed 26)) + (portRef I1 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) (portRef I2 (instanceRef Z)) )) (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined @@ -14486,22 +14486,22 @@ ) (contents (instance N_24_i (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h2200230022222323")) + (property INIT (string "64'h0808080C0A0A0A0F")) ) (net un1_psum0_signed_0 (joined (portRef un1_psum0_signed_0) (portRef I0 (instanceRef N_24_i)) )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) + (portRef I1 (instanceRef N_24_i)) + )) (net (rename n_213 "N_213") (joined (portRef N_213) - (portRef I1 (instanceRef N_24_i)) + (portRef I2 (instanceRef N_24_i)) )) (net (rename z_i_0_o3_0_a0_1 "Z_i_0_o3_0_a0_1") (joined (portRef Z_i_0_o3_0_a0_1) - (portRef I2 (instanceRef N_24_i)) - )) - (net feedback_inc_data_0 (joined - (portRef feedback_inc_data_0) (portRef I3 (instanceRef N_24_i)) )) (net (rename z_i_0_o3_1_1 "Z_i_0_o3_1_1") (joined @@ -14531,18 +14531,18 @@ ) (contents (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h00FF00FFF8FA7050")) + (property INIT (string "64'h00FF00FFECEE4C44")) ) (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined (portRef (member un1_psum0_signed 40)) (portRef I0 (instanceRef Z)) )) - (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined - (portRef Z_i_0_o3_28) - (portRef I1 (instanceRef Z)) - )) (net (rename un1_psum0_signed_45 "un1_psum0_signed(45)") (joined (portRef (member un1_psum0_signed 25)) + (portRef I1 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) (portRef I2 (instanceRef Z)) )) (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined @@ -14570,30 +14570,30 @@ (interface (port un1_psum0_signed_0 (direction INPUT)) (port feedback_inc_data_0 (direction INPUT)) - (port N_213 (direction INPUT)) (port Z_i_0_o3_0_a0_1 (direction INPUT)) + (port N_213 (direction INPUT)) (port Z_i_0_o3_1_1 (direction INPUT)) (port N_218 (direction INPUT)) (port N_20_i (direction OUTPUT)) ) (contents (instance N_20_i (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h2200230022222323")) + (property INIT (string "64'h00A000B000AA00BB")) ) (net un1_psum0_signed_0 (joined (portRef un1_psum0_signed_0) (portRef I0 (instanceRef N_20_i)) )) - (net (rename n_213 "N_213") (joined - (portRef N_213) - (portRef I1 (instanceRef N_20_i)) - )) (net (rename z_i_0_o3_0_a0_1 "Z_i_0_o3_0_a0_1") (joined (portRef Z_i_0_o3_0_a0_1) - (portRef I2 (instanceRef N_20_i)) + (portRef I1 (instanceRef N_20_i)) )) (net feedback_inc_data_0 (joined (portRef feedback_inc_data_0) + (portRef I2 (instanceRef N_20_i)) + )) + (net (rename n_213 "N_213") (joined + (portRef N_213) (portRef I3 (instanceRef N_20_i)) )) (net (rename z_i_0_o3_1_1 "Z_i_0_o3_1_1") (joined @@ -14623,18 +14623,18 @@ ) (contents (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h00FF00FFF8FA7050")) + (property INIT (string "64'h00FF00FFECEE4C44")) ) (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined (portRef (member un1_psum0_signed 40)) (portRef I0 (instanceRef Z)) )) - (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined - (portRef Z_i_0_o3_28) - (portRef I1 (instanceRef Z)) - )) (net (rename un1_psum0_signed_46 "un1_psum0_signed(46)") (joined (portRef (member un1_psum0_signed 24)) + (portRef I1 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) (portRef I2 (instanceRef Z)) )) (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined @@ -14668,22 +14668,22 @@ ) (contents (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h00FF00FFF8FA7050")) + (property INIT (string "64'h0F0F0F0FEECE44C4")) ) (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined (portRef (member un1_psum0_signed 40)) (portRef I0 (instanceRef Z)) )) - (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined - (portRef Z_i_0_o3_28) - (portRef I1 (instanceRef Z)) - )) (net (rename un1_psum0_signed_40 "un1_psum0_signed(40)") (joined (portRef (member un1_psum0_signed 30)) - (portRef I2 (instanceRef Z)) + (portRef I1 (instanceRef Z)) )) (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined (portRef (member un1_psum0_signed 0)) + (portRef I2 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) (portRef I3 (instanceRef Z)) )) (net feedback_inc_data_0 (joined @@ -14707,51 +14707,51 @@ (interface (port feedback_inc_data_0 (direction INPUT)) (port un1_psum0_signed_0 (direction INPUT)) - (port Z_N_5_mux (direction INPUT)) - (port N_213 (direction INPUT)) (port d_N_5_mux_1 (direction INPUT)) - (port Z_32 (direction INPUT)) (port Z_33 (direction INPUT)) + (port N_213 (direction INPUT)) + (port Z_N_5_mux (direction INPUT)) + (port Z_32 (direction INPUT)) (port N_233 (direction OUTPUT)) (port Z_i_0_o3_1_1 (direction INPUT)) (port N_36_i (direction OUTPUT)) ) (contents (instance Z_i_2 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hCCDCCCFECCFECCFE")) + (property INIT (string "64'hFF05FF44FF55FF44")) ) (instance Z_i_0_a3 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h040E0E0E")) + (property INIT (string "32'h05445544")) ) (instance Z_i_2_RNI62BL (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h0B")) ) - (net (rename z_n_5_mux "Z_N_5_mux") (joined - (portRef Z_N_5_mux) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) (portRef I0 (instanceRef Z_i_0_a3)) (portRef I0 (instanceRef Z_i_2)) )) - (net (rename n_213 "N_213") (joined - (portRef N_213) - (portRef I1 (instanceRef Z_i_2)) - )) (net (rename d_n_5_mux_1 "d_N_5_mux_1") (joined (portRef d_N_5_mux_1) (portRef I1 (instanceRef Z_i_0_a3)) - (portRef I2 (instanceRef Z_i_2)) - )) - (net feedback_inc_data_0 (joined - (portRef feedback_inc_data_0) - (portRef I2 (instanceRef Z_i_0_a3)) - (portRef I3 (instanceRef Z_i_2)) - )) - (net (rename z_32 "Z_32") (joined - (portRef Z_32) - (portRef I3 (instanceRef Z_i_0_a3)) - (portRef I4 (instanceRef Z_i_2)) + (portRef I1 (instanceRef Z_i_2)) )) (net (rename z_33 "Z_33") (joined (portRef Z_33) + (portRef I2 (instanceRef Z_i_0_a3)) + (portRef I2 (instanceRef Z_i_2)) + )) + (net (rename n_213 "N_213") (joined + (portRef N_213) + (portRef I3 (instanceRef Z_i_2)) + )) + (net (rename z_n_5_mux "Z_N_5_mux") (joined + (portRef Z_N_5_mux) + (portRef I3 (instanceRef Z_i_0_a3)) + (portRef I4 (instanceRef Z_i_2)) + )) + (net (rename z_32 "Z_32") (joined + (portRef Z_32) (portRef I4 (instanceRef Z_i_0_a3)) (portRef I5 (instanceRef Z_i_2)) )) @@ -14835,7 +14835,7 @@ ) (contents (instance Z (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hF0F0FA70")) + (property INIT (string "32'hF0FAF070")) ) (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined (portRef (member un1_psum0_signed 40)) @@ -14849,12 +14849,12 @@ (portRef (member un1_psum0_signed 0)) (portRef I2 (instanceRef Z)) )) - (net feedback_inc_data_0 (joined - (portRef feedback_inc_data_0) - (portRef I3 (instanceRef Z)) - )) (net feedback_to_big (joined (portRef feedback_to_big) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) (portRef I4 (instanceRef Z)) )) (net feedback_data_0 (joined @@ -14919,8 +14919,8 @@ (port psum0_ones_3_0 (direction INPUT)) (port feedback_inc_data_0 (direction INPUT)) (port Z_i_0_o3_28 (direction INPUT)) - (port Z_i_0_o3_0_a0_1 (direction OUTPUT)) (port Z_32 (direction INPUT)) + (port Z_i_0_o3_0_a0_1 (direction OUTPUT)) (port Z_i_0_o3_1_1 (direction OUTPUT)) (port N_213 (direction INPUT)) (port N_218 (direction OUTPUT)) @@ -14933,7 +14933,7 @@ ) (contents (instance Z_i_0_o3_1_1 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h70307030FFFF7030")) + (property INIT (string "64'h7030FFFF70307030")) ) (instance Z_i_0_o3_0_a0_1 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h0000000000000001")) @@ -14948,7 +14948,7 @@ (property INIT (string "64'h0000000000000002")) ) (instance Z_m2_0_a2_RNICKMD2 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0080FF80FF80FF80")) + (property INIT (string "64'h00FFFFFF80808080")) ) (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined (portRef (member un1_psum0_signed 40)) @@ -14975,17 +14975,17 @@ (portRef I3 (instanceRef Z_i_0_o3_1)) (portRef I3 (instanceRef Z_i_0_o3_1_1)) )) + (net (rename z_32 "Z_32") (joined + (portRef Z_32) + (portRef I4 (instanceRef Z_m2_0_a2_RNICKMD2)) + (portRef I4 (instanceRef Z_i_0_o3_1_1)) + )) (net (rename Z_i_0_o3_0_a0Z0Z_1 "Z_i_0_o3_0_a0_1") (joined (portRef O (instanceRef Z_i_0_o3_0_a0_1)) (portRef I4 (instanceRef Z_i_0_o3_1)) (portRef I2 (instanceRef Z_i_0_o3_0_a0_1_RNITB9S3)) - (portRef I4 (instanceRef Z_i_0_o3_1_1)) - (portRef Z_i_0_o3_0_a0_1) - )) - (net (rename z_32 "Z_32") (joined - (portRef Z_32) - (portRef I4 (instanceRef Z_m2_0_a2_RNICKMD2)) (portRef I5 (instanceRef Z_i_0_o3_1_1)) + (portRef Z_i_0_o3_0_a0_1) )) (net (rename Z_i_0_o3_1Z0Z_1 "Z_i_0_o3_1_1") (joined (portRef O (instanceRef Z_i_0_o3_1_1)) @@ -15039,7 +15039,7 @@ )) (net (rename z_n_5_mux "Z_N_5_mux") (joined (portRef O (instanceRef Z_m2_0_a2)) - (portRef I3 (instanceRef Z_m2_0_a2_RNICKMD2)) + (portRef I5 (instanceRef Z_m2_0_a2_RNICKMD2)) (portRef Z_N_5_mux) )) (net g0_0 (joined @@ -15052,7 +15052,7 @@ )) (net (rename z_33 "Z_33") (joined (portRef Z_33) - (portRef I5 (instanceRef Z_m2_0_a2_RNICKMD2)) + (portRef I3 (instanceRef Z_m2_0_a2_RNICKMD2)) )) ) (property orig_inst_of (string "GTECH_MUX2")) @@ -15110,26 +15110,26 @@ (interface (port un1_psum0_signed_0 (direction INPUT)) (port feedback_inc_data_0 (direction INPUT)) - (port Z_i_0_o3_0_a0_1 (direction INPUT)) (port N_213 (direction INPUT)) + (port Z_i_0_o3_0_a0_1 (direction INPUT)) (port Z_i_0_o3_1_1 (direction INPUT)) (port N_218 (direction INPUT)) (port N_9_i (direction OUTPUT)) ) (contents (instance N_9_i (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0A000B000A0A0B0B")) + (property INIT (string "64'h2200230022222323")) ) (net un1_psum0_signed_0 (joined (portRef un1_psum0_signed_0) (portRef I0 (instanceRef N_9_i)) )) - (net (rename z_i_0_o3_0_a0_1 "Z_i_0_o3_0_a0_1") (joined - (portRef Z_i_0_o3_0_a0_1) - (portRef I1 (instanceRef N_9_i)) - )) (net (rename n_213 "N_213") (joined (portRef N_213) + (portRef I1 (instanceRef N_9_i)) + )) + (net (rename z_i_0_o3_0_a0_1 "Z_i_0_o3_0_a0_1") (joined + (portRef Z_i_0_o3_0_a0_1) (portRef I2 (instanceRef N_9_i)) )) (net feedback_inc_data_0 (joined @@ -15157,26 +15157,26 @@ (interface (port un1_psum0_signed_0 (direction INPUT)) (port feedback_inc_data_0 (direction INPUT)) - (port N_213 (direction INPUT)) (port Z_i_0_o3_0_a0_1 (direction INPUT)) + (port N_213 (direction INPUT)) (port Z_i_0_o3_1_1 (direction INPUT)) (port N_218 (direction INPUT)) (port N_29_i (direction OUTPUT)) ) (contents (instance N_29_i (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h2200230022222323")) + (property INIT (string "64'h0A000B000A0A0B0B")) ) (net un1_psum0_signed_0 (joined (portRef un1_psum0_signed_0) (portRef I0 (instanceRef N_29_i)) )) - (net (rename n_213 "N_213") (joined - (portRef N_213) - (portRef I1 (instanceRef N_29_i)) - )) (net (rename z_i_0_o3_0_a0_1 "Z_i_0_o3_0_a0_1") (joined (portRef Z_i_0_o3_0_a0_1) + (portRef I1 (instanceRef N_29_i)) + )) + (net (rename n_213 "N_213") (joined + (portRef N_213) (portRef I2 (instanceRef N_29_i)) )) (net feedback_inc_data_0 (joined @@ -15204,26 +15204,26 @@ (interface (port un1_psum0_signed_0 (direction INPUT)) (port feedback_inc_data_0 (direction INPUT)) - (port N_213 (direction INPUT)) (port Z_i_0_o3_0_a0_1 (direction INPUT)) + (port N_213 (direction INPUT)) (port Z_i_0_o3_1_1 (direction INPUT)) (port N_218 (direction INPUT)) (port N_13_i (direction OUTPUT)) ) (contents (instance N_13_i (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h2200230022222323")) + (property INIT (string "64'h0A000B000A0A0B0B")) ) (net un1_psum0_signed_0 (joined (portRef un1_psum0_signed_0) (portRef I0 (instanceRef N_13_i)) )) - (net (rename n_213 "N_213") (joined - (portRef N_213) - (portRef I1 (instanceRef N_13_i)) - )) (net (rename z_i_0_o3_0_a0_1 "Z_i_0_o3_0_a0_1") (joined (portRef Z_i_0_o3_0_a0_1) + (portRef I1 (instanceRef N_13_i)) + )) + (net (rename n_213 "N_213") (joined + (portRef N_213) (portRef I2 (instanceRef N_13_i)) )) (net feedback_inc_data_0 (joined @@ -15251,30 +15251,30 @@ (interface (port un1_psum0_signed_0 (direction INPUT)) (port feedback_inc_data_0 (direction INPUT)) - (port N_213 (direction INPUT)) (port Z_i_0_o3_0_a0_1 (direction INPUT)) + (port N_213 (direction INPUT)) (port Z_i_0_o3_1_1 (direction INPUT)) (port N_218 (direction INPUT)) (port N_37_i (direction OUTPUT)) ) (contents (instance N_37_i (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h2200230022222323")) + (property INIT (string "64'h00A000B000AA00BB")) ) (net un1_psum0_signed_0 (joined (portRef un1_psum0_signed_0) (portRef I0 (instanceRef N_37_i)) )) - (net (rename n_213 "N_213") (joined - (portRef N_213) - (portRef I1 (instanceRef N_37_i)) - )) (net (rename z_i_0_o3_0_a0_1 "Z_i_0_o3_0_a0_1") (joined (portRef Z_i_0_o3_0_a0_1) - (portRef I2 (instanceRef N_37_i)) + (portRef I1 (instanceRef N_37_i)) )) (net feedback_inc_data_0 (joined (portRef feedback_inc_data_0) + (portRef I2 (instanceRef N_37_i)) + )) + (net (rename n_213 "N_213") (joined + (portRef N_213) (portRef I3 (instanceRef N_37_i)) )) (net (rename z_i_0_o3_1_1 "Z_i_0_o3_1_1") (joined @@ -15298,34 +15298,34 @@ (interface (port un1_psum0_signed_0 (direction INPUT)) (port feedback_inc_data_0 (direction INPUT)) - (port N_213 (direction INPUT)) (port Z_i_0_o3_0_a0_1 (direction INPUT)) + (port N_213 (direction INPUT)) (port Z_i_0_o3_1_1 (direction INPUT)) (port N_218 (direction INPUT)) (port N_17_i (direction OUTPUT)) ) (contents (instance N_17_i (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h2200230022222323")) + (property INIT (string "64'h0A0B00000A0B0A0B")) ) (net un1_psum0_signed_0 (joined (portRef un1_psum0_signed_0) (portRef I0 (instanceRef N_17_i)) )) - (net (rename n_213 "N_213") (joined - (portRef N_213) - (portRef I1 (instanceRef N_17_i)) - )) (net (rename z_i_0_o3_0_a0_1 "Z_i_0_o3_0_a0_1") (joined (portRef Z_i_0_o3_0_a0_1) - (portRef I2 (instanceRef N_17_i)) + (portRef I1 (instanceRef N_17_i)) )) - (net feedback_inc_data_0 (joined - (portRef feedback_inc_data_0) - (portRef I3 (instanceRef N_17_i)) + (net (rename n_213 "N_213") (joined + (portRef N_213) + (portRef I2 (instanceRef N_17_i)) )) (net (rename z_i_0_o3_1_1 "Z_i_0_o3_1_1") (joined (portRef Z_i_0_o3_1_1) + (portRef I3 (instanceRef N_17_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) (portRef I4 (instanceRef N_17_i)) )) (net (rename n_218 "N_218") (joined @@ -15388,12 +15388,12 @@ (portRef O (instanceRef Z_33_1)) (portRef I3 (instanceRef Z_33)) )) - (net (rename Z_33_1Z0Z_4 "Z_33_1_4") (joined - (portRef LO (instanceRef Z_33_1_4)) - (portRef I4 (instanceRef Z_33)) - )) (net (rename Z_33Z0Z_2 "Z_33_2") (joined (portRef LO (instanceRef Z_33_2)) + (portRef I4 (instanceRef Z_33)) + )) + (net (rename Z_33_1Z0Z_4 "Z_33_1_4") (joined + (portRef LO (instanceRef Z_33_1_4)) (portRef I5 (instanceRef Z_33)) )) (net (rename ZZ0Z_33 "Z_33") (joined @@ -15460,16 +15460,16 @@ (portRef (member un1_psum0_signed 25)) (portRef I1 (instanceRef Z_32_0)) )) - (net (rename un1_psum0_signed_41 "un1_psum0_signed(41)") (joined - (portRef (member un1_psum0_signed 24)) - (portRef I2 (instanceRef Z_32_0)) - )) (net (rename un1_psum0_signed_43 "un1_psum0_signed(43)") (joined (portRef (member un1_psum0_signed 22)) - (portRef I3 (instanceRef Z_32_0)) + (portRef I2 (instanceRef Z_32_0)) )) (net (rename un1_psum0_signed_44 "un1_psum0_signed(44)") (joined (portRef (member un1_psum0_signed 21)) + (portRef I3 (instanceRef Z_32_0)) + )) + (net (rename un1_psum0_signed_41 "un1_psum0_signed(41)") (joined + (portRef (member un1_psum0_signed 24)) (portRef I4 (instanceRef Z_32_0)) )) (net (rename un1_psum0_signed_45 "un1_psum0_signed(45)") (joined @@ -15480,16 +15480,16 @@ (portRef (member un1_psum0_signed 35)) (portRef I0 (instanceRef Z_33_0)) )) + (net (rename un1_psum0_signed_31 "un1_psum0_signed(31)") (joined + (portRef (member un1_psum0_signed 34)) + (portRef I1 (instanceRef Z_33_0)) + )) (net (rename un1_psum0_signed_32 "un1_psum0_signed(32)") (joined (portRef (member un1_psum0_signed 33)) - (portRef I1 (instanceRef Z_33_0)) + (portRef I2 (instanceRef Z_33_0)) )) (net (rename un1_psum0_signed_34 "un1_psum0_signed(34)") (joined (portRef (member un1_psum0_signed 31)) - (portRef I2 (instanceRef Z_33_0)) - )) - (net (rename un1_psum0_signed_31 "un1_psum0_signed(31)") (joined - (portRef (member un1_psum0_signed 34)) (portRef I3 (instanceRef Z_33_0)) )) (net (rename un1_psum0_signed_35 "un1_psum0_signed(35)") (joined @@ -15500,40 +15500,40 @@ (portRef (member un1_psum0_signed 32)) (portRef I5 (instanceRef Z_33_0)) )) - (net (rename un1_psum0_signed_51 "un1_psum0_signed(51)") (joined - (portRef (member un1_psum0_signed 14)) - (portRef I0 (instanceRef Z_33_2)) - )) - (net (rename un1_psum0_signed_56 "un1_psum0_signed(56)") (joined - (portRef (member un1_psum0_signed 9)) - (portRef I1 (instanceRef Z_33_2)) - )) (net (rename un1_psum0_signed_52 "un1_psum0_signed(52)") (joined (portRef (member un1_psum0_signed 13)) - (portRef I2 (instanceRef Z_33_2)) + (portRef I0 (instanceRef Z_33_2)) )) (net (rename un1_psum0_signed_54 "un1_psum0_signed(54)") (joined (portRef (member un1_psum0_signed 11)) - (portRef I3 (instanceRef Z_33_2)) + (portRef I1 (instanceRef Z_33_2)) )) (net (rename un1_psum0_signed_55 "un1_psum0_signed(55)") (joined (portRef (member un1_psum0_signed 10)) + (portRef I2 (instanceRef Z_33_2)) + )) + (net (rename un1_psum0_signed_56 "un1_psum0_signed(56)") (joined + (portRef (member un1_psum0_signed 9)) + (portRef I3 (instanceRef Z_33_2)) + )) + (net (rename un1_psum0_signed_51 "un1_psum0_signed(51)") (joined + (portRef (member un1_psum0_signed 14)) (portRef I4 (instanceRef Z_33_2)) )) (net (rename un1_psum0_signed_53 "un1_psum0_signed(53)") (joined (portRef (member un1_psum0_signed 12)) (portRef I5 (instanceRef Z_33_2)) )) + (net (rename un1_psum0_signed_48 "un1_psum0_signed(48)") (joined + (portRef (member un1_psum0_signed 17)) + (portRef I0 (instanceRef Z_32_1)) + )) (net (rename un1_psum0_signed_42 "un1_psum0_signed(42)") (joined (portRef (member un1_psum0_signed 23)) - (portRef I0 (instanceRef Z_32_1)) + (portRef I1 (instanceRef Z_32_1)) )) (net (rename un1_psum0_signed_46 "un1_psum0_signed(46)") (joined (portRef (member un1_psum0_signed 19)) - (portRef I1 (instanceRef Z_32_1)) - )) - (net (rename un1_psum0_signed_48 "un1_psum0_signed(48)") (joined - (portRef (member un1_psum0_signed 17)) (portRef I2 (instanceRef Z_32_1)) )) (net (rename un1_psum0_signed_60 "un1_psum0_signed(60)") (joined @@ -15574,8 +15574,8 @@ (port Z_i_0_o3_28 (direction OUTPUT)) (port feedback_to_big (direction INPUT)) (port Z_N_3_mux (direction INPUT)) - (port Z_32 (direction INPUT)) (port Z_33 (direction INPUT)) + (port Z_32 (direction INPUT)) (port N_68_i (direction OUTPUT)) (port Z_i_0_o3_1_1 (direction INPUT)) (port N_233 (direction INPUT)) @@ -15644,10 +15644,10 @@ (property INIT (string "4'h8")) ) (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_0 "gO_6.FINAL_OUT_REGS.data_out_final_3[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h0000AAAA28A02828")) + (property INIT (string "64'h00AA00AA2828A028")) ) (instance N_68_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hA2AA020A020A020A")) + (property INIT (string "64'hAA2A002A002A002A")) ) (instance Z_i_0_o3_28 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'hFFFFFFFFFFFFFFFE")) @@ -15671,16 +15671,16 @@ (property INIT (string "16'h0023")) ) (instance (rename gO_6_FINAL_OUT_REGS_data_out_final_3_35 "gO_6.FINAL_OUT_REGS.data_out_final_3[35]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAA00AA00AA882A00")) + (property INIT (string "64'hA0A0A8A8A0A020A0")) ) (instance N_38_i (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h00000A0B")) + (property INIT (string "32'h000A000B")) ) (instance N_26_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h0A000B000A0A0B0B")) ) (instance N_30_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h0A000B000A0A0B0B")) + (property INIT (string "64'h00A000B000AA00BB")) ) (instance N_6_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h2200230022222323")) @@ -15689,10 +15689,10 @@ (property INIT (string "64'h2200230022222323")) ) (instance N_14_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h2200230022222323")) + (property INIT (string "64'h2020203022222233")) ) (instance N_22_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h00A000B000AA00BB")) + (property INIT (string "64'h2020203022222233")) ) (instance N_18_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h0808080C0A0A0A0F")) @@ -15717,11 +15717,11 @@ (net (rename n_190 "N_190") (joined (portRef N_190) (portRef I2 (instanceRef N_18_i)) - (portRef I3 (instanceRef N_22_i)) + (portRef I1 (instanceRef N_22_i)) (portRef I1 (instanceRef N_14_i)) (portRef I1 (instanceRef N_10_i)) (portRef I1 (instanceRef N_6_i)) - (portRef I2 (instanceRef N_30_i)) + (portRef I3 (instanceRef N_30_i)) (portRef I2 (instanceRef N_26_i)) (portRef I2 (instanceRef N_38_i)) (portRef I1 (instanceRef N_34_i)) @@ -15895,62 +15895,62 @@ (portRef (member un1_psum0_signed 39)) (portRef I2 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_0)) )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I2 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_35)) + (portRef I1 (instanceRef N_68_i)) + (portRef I3 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_0)) + )) (net (rename Z_i_0_o3Z0Z_28 "Z_i_0_o3_28") (joined (portRef O (instanceRef Z_i_0_o3_28)) (portRef I1 (instanceRef Z_i_0_o3_28_RNIECD8)) - (portRef I2 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_35)) - (portRef I3 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_0)) - (portRef Z_i_0_o3_28) - )) - (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined - (portRef (member un1_psum0_signed 0)) (portRef I3 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_35)) - (portRef I1 (instanceRef N_68_i)) (portRef I4 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_0)) + (portRef Z_i_0_o3_28) )) (net feedback_to_big (joined (portRef feedback_to_big) - (portRef I5 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_35)) + (portRef I4 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_35)) (portRef I5 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_0)) )) (net (rename data_out_final_3_0 "data_out_final_3(0)") (joined (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_0)) (portRef (member data_out_final_3 22)) )) - (net (rename z_n_3_mux "Z_N_3_mux") (joined - (portRef Z_N_3_mux) - (portRef I2 (instanceRef N_68_i)) - )) (net psum0_ones_3_0 (joined (portRef psum0_ones_3_0) - (portRef I3 (instanceRef N_68_i)) + (portRef I2 (instanceRef N_68_i)) )) - (net (rename z_32 "Z_32") (joined - (portRef Z_32) - (portRef I4 (instanceRef N_68_i)) + (net (rename z_n_3_mux "Z_N_3_mux") (joined + (portRef Z_N_3_mux) + (portRef I3 (instanceRef N_68_i)) )) (net (rename z_33 "Z_33") (joined (portRef Z_33) + (portRef I4 (instanceRef N_68_i)) + )) + (net (rename z_32 "Z_32") (joined + (portRef Z_32) (portRef I5 (instanceRef N_68_i)) )) (net (rename N_68_iZ0 "N_68_i") (joined (portRef LO (instanceRef N_68_i)) (portRef N_68_i) )) + (net (rename Z_i_0_o3_28Z0Z_3 "Z_i_0_o3_28_3") (joined + (portRef O (instanceRef Z_i_0_o3_28_3)) + (portRef I0 (instanceRef Z_i_0_o3_28)) + )) (net (rename un1_psum0_signed_22 "un1_psum0_signed(22)") (joined (portRef (member un1_psum0_signed 48)) - (portRef I0 (instanceRef Z_i_0_o3_28)) + (portRef I1 (instanceRef Z_i_0_o3_28)) )) (net (rename un1_psum0_signed_23 "un1_psum0_signed(23)") (joined (portRef (member un1_psum0_signed 47)) - (portRef I1 (instanceRef Z_i_0_o3_28)) + (portRef I2 (instanceRef Z_i_0_o3_28)) )) (net (rename un1_psum0_signed_21 "un1_psum0_signed(21)") (joined (portRef (member un1_psum0_signed 49)) - (portRef I2 (instanceRef Z_i_0_o3_28)) - )) - (net (rename Z_i_0_o3_28Z0Z_3 "Z_i_0_o3_28_3") (joined - (portRef O (instanceRef Z_i_0_o3_28_3)) (portRef I3 (instanceRef Z_i_0_o3_28)) )) (net (rename un1_psum0_signed_24 "un1_psum0_signed(24)") (joined @@ -15985,76 +15985,76 @@ (portRef (member un1_psum0_signed 50)) (portRef I5 (instanceRef Z_i_0_o3_28_3)) )) + (net (rename psum1_saved_0 "psum1_saved(0)") (joined + (portRef (member psum1_saved 19)) + (portRef I0 (instanceRef Z_i_0_o3_28_0)) + )) (net (rename psum1_saved_7 "psum1_saved(7)") (joined (portRef (member psum1_saved 12)) - (portRef I0 (instanceRef Z_i_0_o3_28_0)) + (portRef I1 (instanceRef Z_i_0_o3_28_0)) )) (net (rename psum1_saved_8 "psum1_saved(8)") (joined (portRef (member psum1_saved 11)) - (portRef I1 (instanceRef Z_i_0_o3_28_0)) + (portRef I2 (instanceRef Z_i_0_o3_28_0)) )) (net (rename psum1_saved_9 "psum1_saved(9)") (joined (portRef (member psum1_saved 10)) - (portRef I2 (instanceRef Z_i_0_o3_28_0)) + (portRef I3 (instanceRef Z_i_0_o3_28_0)) )) (net (rename psum1_saved_10 "psum1_saved(10)") (joined (portRef (member psum1_saved 9)) - (portRef I3 (instanceRef Z_i_0_o3_28_0)) + (portRef I4 (instanceRef Z_i_0_o3_28_0)) )) (net (rename psum1_saved_11 "psum1_saved(11)") (joined (portRef (member psum1_saved 8)) - (portRef I4 (instanceRef Z_i_0_o3_28_0)) - )) - (net (rename psum1_saved_0 "psum1_saved(0)") (joined - (portRef (member psum1_saved 19)) (portRef I5 (instanceRef Z_i_0_o3_28_0)) )) - (net (rename psum1_saved_17 "psum1_saved(17)") (joined - (portRef (member psum1_saved 2)) + (net (rename psum1_saved_12 "psum1_saved(12)") (joined + (portRef (member psum1_saved 7)) (portRef I0 (instanceRef Z_i_0_o3_28_1)) )) (net (rename psum1_saved_13 "psum1_saved(13)") (joined (portRef (member psum1_saved 6)) (portRef I1 (instanceRef Z_i_0_o3_28_1)) )) - (net (rename psum1_saved_15 "psum1_saved(15)") (joined - (portRef (member psum1_saved 4)) - (portRef I2 (instanceRef Z_i_0_o3_28_1)) - )) - (net (rename psum1_saved_12 "psum1_saved(12)") (joined - (portRef (member psum1_saved 7)) - (portRef I3 (instanceRef Z_i_0_o3_28_1)) - )) (net (rename psum1_saved_14 "psum1_saved(14)") (joined (portRef (member psum1_saved 5)) - (portRef I4 (instanceRef Z_i_0_o3_28_1)) + (portRef I2 (instanceRef Z_i_0_o3_28_1)) + )) + (net (rename psum1_saved_15 "psum1_saved(15)") (joined + (portRef (member psum1_saved 4)) + (portRef I3 (instanceRef Z_i_0_o3_28_1)) )) (net (rename psum1_saved_16 "psum1_saved(16)") (joined (portRef (member psum1_saved 3)) + (portRef I4 (instanceRef Z_i_0_o3_28_1)) + )) + (net (rename psum1_saved_17 "psum1_saved(17)") (joined + (portRef (member psum1_saved 2)) (portRef I5 (instanceRef Z_i_0_o3_28_1)) )) - (net (rename psum1_saved_4 "psum1_saved(4)") (joined - (portRef (member psum1_saved 15)) - (portRef I0 (instanceRef Z_i_0_o3_28_2)) - )) - (net (rename psum1_saved_18 "psum1_saved(18)") (joined - (portRef (member psum1_saved 1)) - (portRef I1 (instanceRef Z_i_0_o3_28_2)) - )) - (net (rename psum1_saved_19 "psum1_saved(19)") (joined - (portRef (member psum1_saved 0)) - (portRef I2 (instanceRef Z_i_0_o3_28_2)) - )) (net (rename psum1_saved_1 "psum1_saved(1)") (joined (portRef (member psum1_saved 18)) - (portRef I3 (instanceRef Z_i_0_o3_28_2)) + (portRef I0 (instanceRef Z_i_0_o3_28_2)) )) (net (rename psum1_saved_2 "psum1_saved(2)") (joined (portRef (member psum1_saved 17)) - (portRef I4 (instanceRef Z_i_0_o3_28_2)) + (portRef I1 (instanceRef Z_i_0_o3_28_2)) )) (net (rename psum1_saved_3 "psum1_saved(3)") (joined (portRef (member psum1_saved 16)) + (portRef I2 (instanceRef Z_i_0_o3_28_2)) + )) + (net (rename psum1_saved_4 "psum1_saved(4)") (joined + (portRef (member psum1_saved 15)) + (portRef I3 (instanceRef Z_i_0_o3_28_2)) + )) + (net (rename psum1_saved_19 "psum1_saved(19)") (joined + (portRef (member psum1_saved 0)) + (portRef I4 (instanceRef Z_i_0_o3_28_2)) + )) + (net (rename psum1_saved_18 "psum1_saved(18)") (joined + (portRef (member psum1_saved 1)) (portRef I5 (instanceRef Z_i_0_o3_28_2)) )) (net (rename un1_psum0_signed_26 "un1_psum0_signed(26)") (joined @@ -16090,7 +16090,7 @@ (portRef I4 (instanceRef N_6_i)) (portRef I4 (instanceRef N_30_i)) (portRef I4 (instanceRef N_26_i)) - (portRef I3 (instanceRef N_38_i)) + (portRef I4 (instanceRef N_38_i)) (portRef I2 (instanceRef N_34_i)) )) (net (rename n_233 "N_233") (joined @@ -16103,7 +16103,7 @@ )) (net (rename feedback_inc_data_35 "feedback_inc_data(35)") (joined (portRef (member feedback_inc_data 0)) - (portRef I4 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_35)) + (portRef I5 (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_35)) )) (net data_out_final_3_36 (joined (portRef LO (instanceRef gO_6_FINAL_OUT_REGS_data_out_final_3_35)) @@ -16116,8 +16116,8 @@ (net (rename z_i_0_o3_0_a0_1 "Z_i_0_o3_0_a0_1") (joined (portRef Z_i_0_o3_0_a0_1) (portRef I3 (instanceRef N_18_i)) - (portRef I1 (instanceRef N_22_i)) - (portRef I2 (instanceRef N_14_i)) + (portRef I3 (instanceRef N_22_i)) + (portRef I3 (instanceRef N_14_i)) (portRef I2 (instanceRef N_10_i)) (portRef I2 (instanceRef N_6_i)) (portRef I1 (instanceRef N_30_i)) @@ -16126,7 +16126,7 @@ )) (net (rename n_235 "N_235") (joined (portRef N_235) - (portRef I4 (instanceRef N_38_i)) + (portRef I3 (instanceRef N_38_i)) )) (net (rename N_38_iZ0 "N_38_i") (joined (portRef LO (instanceRef N_38_i)) @@ -16160,7 +16160,7 @@ )) (net (rename feedback_inc_data_17 "feedback_inc_data(17)") (joined (portRef (member feedback_inc_data 18)) - (portRef I3 (instanceRef N_30_i)) + (portRef I2 (instanceRef N_30_i)) )) (net (rename N_30_iZ0 "N_30_i") (joined (portRef LO (instanceRef N_30_i)) @@ -16196,7 +16196,7 @@ )) (net (rename feedback_inc_data_29 "feedback_inc_data(29)") (joined (portRef (member feedback_inc_data 6)) - (portRef I3 (instanceRef N_14_i)) + (portRef I2 (instanceRef N_14_i)) )) (net (rename N_14_iZ0 "N_14_i") (joined (portRef LO (instanceRef N_14_i)) @@ -16315,23 +16315,23 @@ (portRef O (instanceRef g0)) (portRef feedback_to_big) )) - (net (rename un1_psum0_signed_67 "un1_psum0_signed(67)") (joined - (portRef (member un1_psum0_signed 3)) + (net (rename un1_psum0_signed_68 "un1_psum0_signed(68)") (joined + (portRef (member un1_psum0_signed 2)) (portRef I0 (instanceRef g1_2)) (portRef I0 (instanceRef g5)) )) - (net (rename un1_psum0_signed_68 "un1_psum0_signed(68)") (joined - (portRef (member un1_psum0_signed 2)) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) (portRef I1 (instanceRef g1_2)) (portRef I1 (instanceRef g5)) )) - (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined - (portRef (member un1_psum0_signed 0)) + (net (rename un1_psum0_signed_69 "un1_psum0_signed(69)") (joined + (portRef (member un1_psum0_signed 1)) (portRef I2 (instanceRef g1_2)) (portRef I2 (instanceRef g5)) )) - (net (rename un1_psum0_signed_69 "un1_psum0_signed(69)") (joined - (portRef (member un1_psum0_signed 1)) + (net (rename un1_psum0_signed_67 "un1_psum0_signed(67)") (joined + (portRef (member un1_psum0_signed 3)) (portRef I3 (instanceRef g1_2)) (portRef I3 (instanceRef g5)) )) @@ -16347,26 +16347,26 @@ ) (contents (instance Z (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h7F00FF00")) + (property INIT (string "32'h4CCCCCCC")) ) - (net (rename un1_psum0_signed_66 "un1_psum0_signed(66)") (joined - (portRef (member un1_psum0_signed 4)) - (portRef I0 (instanceRef Z)) - )) - (net (rename un1_psum0_signed_67 "un1_psum0_signed(67)") (joined - (portRef (member un1_psum0_signed 3)) - (portRef I1 (instanceRef Z)) - )) (net (rename un1_psum0_signed_68 "un1_psum0_signed(68)") (joined (portRef (member un1_psum0_signed 2)) - (portRef I2 (instanceRef Z)) + (portRef I0 (instanceRef Z)) )) (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined (portRef (member un1_psum0_signed 0)) - (portRef I3 (instanceRef Z)) + (portRef I1 (instanceRef Z)) )) (net (rename un1_psum0_signed_69 "un1_psum0_signed(69)") (joined (portRef (member un1_psum0_signed 1)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_66 "un1_psum0_signed(66)") (joined + (portRef (member un1_psum0_signed 4)) + (portRef I3 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_67 "un1_psum0_signed(67)") (joined + (portRef (member un1_psum0_signed 3)) (portRef I4 (instanceRef Z)) )) (net feedback_to_big_neg (joined @@ -16399,13 +16399,13 @@ ) (contents (instance N_153_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h080A0000080A080A")) + (property INIT (string "64'h08000A0008080A0A")) ) (instance N_77_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h08000A0008080A0A")) ) (instance N_75_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h080A0000080A080A")) + (property INIT (string "64'h08000A0008080A0A")) ) (instance N_65_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h08000A0008080A0A")) @@ -16420,7 +16420,7 @@ (property INIT (string "64'h08000A0008080A0A")) ) (instance N_49_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h08000A0008080A0A")) + (property INIT (string "64'h008000A0008800AA")) ) (instance N_45_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h008000A0008800AA")) @@ -16448,7 +16448,7 @@ (portRef feedback_to_big_neg) (portRef I2 (instanceRef N_41_i)) (portRef I3 (instanceRef N_45_i)) - (portRef I2 (instanceRef N_49_i)) + (portRef I3 (instanceRef N_49_i)) (portRef I2 (instanceRef N_53_i)) (portRef I2 (instanceRef N_57_i)) (portRef I2 (instanceRef N_61_i)) @@ -16457,6 +16457,10 @@ (portRef I2 (instanceRef N_77_i)) (portRef I2 (instanceRef N_153_i)) )) + (net (rename feedback_inc_data_33 "feedback_inc_data(33)") (joined + (portRef (member feedback_inc_data 0)) + (portRef I3 (instanceRef N_153_i)) + )) (net (rename n_186 "N_186") (joined (portRef N_186) (portRef I3 (instanceRef N_41_i)) @@ -16466,12 +16470,8 @@ (portRef I4 (instanceRef N_57_i)) (portRef I4 (instanceRef N_61_i)) (portRef I4 (instanceRef N_65_i)) - (portRef I3 (instanceRef N_75_i)) + (portRef I4 (instanceRef N_75_i)) (portRef I4 (instanceRef N_77_i)) - (portRef I3 (instanceRef N_153_i)) - )) - (net (rename feedback_inc_data_33 "feedback_inc_data(33)") (joined - (portRef (member feedback_inc_data 0)) (portRef I4 (instanceRef N_153_i)) )) (net (rename n_218 "N_218") (joined @@ -16509,7 +16509,7 @@ )) (net (rename feedback_inc_data_30 "feedback_inc_data(30)") (joined (portRef (member feedback_inc_data 3)) - (portRef I4 (instanceRef N_75_i)) + (portRef I3 (instanceRef N_75_i)) )) (net (rename N_75_iZ0 "N_75_i") (joined (portRef LO (instanceRef N_75_i)) @@ -16569,7 +16569,7 @@ )) (net (rename feedback_inc_data_23 "feedback_inc_data(23)") (joined (portRef (member feedback_inc_data 10)) - (portRef I3 (instanceRef N_49_i)) + (portRef I2 (instanceRef N_49_i)) )) (net (rename N_49_iZ0 "N_49_i") (joined (portRef LO (instanceRef N_49_i)) @@ -16651,18 +16651,18 @@ ) (contents (instance N_33_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h080C0A0F")) + (property INIT (string "32'h20302233")) ) (net un1_psum0_signed_0 (joined (portRef un1_psum0_signed_0) (portRef I0 (instanceRef N_33_i)) )) - (net feedback_inc_data_0 (joined - (portRef feedback_inc_data_0) - (portRef I1 (instanceRef N_33_i)) - )) (net feedback_to_big_neg (joined (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_33_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) (portRef I2 (instanceRef N_33_i)) )) (net (rename n_186 "N_186") (joined @@ -16945,18 +16945,18 @@ ) (contents (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000FFFFF870FA50")) + (property INIT (string "64'h0000FFFFEC4CEE44")) ) (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined (portRef (member un1_psum0_signed 40)) (portRef I0 (instanceRef Z)) )) - (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined - (portRef Z_i_0_o3_28) - (portRef I1 (instanceRef Z)) - )) (net (rename un1_psum0_signed_39 "un1_psum0_signed(39)") (joined (portRef (member un1_psum0_signed 31)) + (portRef I1 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) (portRef I2 (instanceRef Z)) )) (net feedback_inc_data_0 (joined @@ -16990,22 +16990,22 @@ ) (contents (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000FFFFEC4CEE44")) + (property INIT (string "64'h0000FFFFE2AAE2E2")) ) - (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined - (portRef (member un1_psum0_signed 40)) - (portRef I0 (instanceRef Z)) - )) (net (rename un1_psum0_signed_33 "un1_psum0_signed(33)") (joined (portRef (member un1_psum0_signed 37)) - (portRef I1 (instanceRef Z)) + (portRef I0 (instanceRef Z)) )) - (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined - (portRef Z_i_0_o3_28) - (portRef I2 (instanceRef Z)) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) + (portRef I1 (instanceRef Z)) )) (net feedback_inc_data_0 (joined (portRef feedback_inc_data_0) + (portRef I2 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) (portRef I3 (instanceRef Z)) )) (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined @@ -17035,14 +17035,14 @@ ) (contents (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000FFFFEC4CEE44")) + (property INIT (string "64'h0000FFFFEA2AEE22")) ) - (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined - (portRef (member un1_psum0_signed 40)) - (portRef I0 (instanceRef Z)) - )) (net (rename un1_psum0_signed_34 "un1_psum0_signed(34)") (joined (portRef (member un1_psum0_signed 36)) + (portRef I0 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined + (portRef (member un1_psum0_signed 40)) (portRef I1 (instanceRef Z)) )) (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined @@ -17080,7 +17080,7 @@ ) (contents (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000FFFFEC4CEE44")) + (property INIT (string "64'h0000FFFFE4CCE4E4")) ) (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined (portRef (member un1_psum0_signed 40)) @@ -17090,12 +17090,12 @@ (portRef (member un1_psum0_signed 35)) (portRef I1 (instanceRef Z)) )) - (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined - (portRef Z_i_0_o3_28) - (portRef I2 (instanceRef Z)) - )) (net feedback_inc_data_0 (joined (portRef feedback_inc_data_0) + (portRef I2 (instanceRef Z)) + )) + (net (rename z_i_0_o3_28 "Z_i_0_o3_28") (joined + (portRef Z_i_0_o3_28) (portRef I3 (instanceRef Z)) )) (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined @@ -17125,7 +17125,7 @@ ) (contents (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000FFFFF870FA50")) + (property INIT (string "64'h00FF00FFF8FA7050")) ) (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined (portRef (member un1_psum0_signed 40)) @@ -17139,12 +17139,12 @@ (portRef (member un1_psum0_signed 29)) (portRef I2 (instanceRef Z)) )) - (net feedback_inc_data_0 (joined - (portRef feedback_inc_data_0) - (portRef I3 (instanceRef Z)) - )) (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) (portRef I4 (instanceRef Z)) )) (net feedback_to_big (joined @@ -17522,18 +17522,18 @@ ) (contents (instance N_20_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h080C0A0F")) + (property INIT (string "32'h20302233")) ) (net un1_psum0_signed_0 (joined (portRef un1_psum0_signed_0) (portRef I0 (instanceRef N_20_i)) )) - (net feedback_inc_data_0 (joined - (portRef feedback_inc_data_0) - (portRef I1 (instanceRef N_20_i)) - )) (net feedback_to_big_neg (joined (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_20_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) (portRef I2 (instanceRef N_20_i)) )) (net (rename n_186 "N_186") (joined @@ -17695,7 +17695,7 @@ ) (contents (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0F0F0F0FFF8A7500")) + (property INIT (string "64'h00FF00FFF8FA7050")) ) (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined (portRef (member un1_psum0_signed 40)) @@ -17705,12 +17705,12 @@ (portRef Z_i_0_o3_28) (portRef I1 (instanceRef Z)) )) - (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined - (portRef (member un1_psum0_signed 0)) - (portRef I2 (instanceRef Z)) - )) (net (rename un1_psum0_signed_59 "un1_psum0_signed(59)") (joined (portRef (member un1_psum0_signed 11)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) (portRef I3 (instanceRef Z)) )) (net feedback_inc_data_0 (joined @@ -17822,8 +17822,8 @@ (port N_218 (direction OUTPUT)) (port N_8_i (direction OUTPUT)) (port Z_i_0_o3_28 (direction INPUT)) - (port Z_33 (direction INPUT)) (port Z_32 (direction INPUT)) + (port Z_33 (direction INPUT)) ) (contents (instance Z_i_0_o3_1_RNIP4P22 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) @@ -17879,30 +17879,30 @@ (portRef I0 (instanceRef Z_i_0_o3_0_a2)) (portRef I0 (instanceRef Z_i_0_o3_0_a0_1)) )) - (net (rename un1_psum0_signed_68 "un1_psum0_signed(68)") (joined - (portRef (member un1_psum0_signed 2)) + (net (rename un1_psum0_signed_66 "un1_psum0_signed(66)") (joined + (portRef (member un1_psum0_signed 4)) (portRef I0 (instanceRef Z_m2_0_a2_0)) (portRef I0 (instanceRef Z_i_0_a3_1_RNO)) (portRef I1 (instanceRef Z_i_0_o3_0_a2)) (portRef I1 (instanceRef Z_i_0_o3_0_a0_1)) )) - (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined - (portRef (member un1_psum0_signed 0)) - (portRef I1 (instanceRef Z_i_0_o3_1)) + (net (rename un1_psum0_signed_67 "un1_psum0_signed(67)") (joined + (portRef (member un1_psum0_signed 3)) (portRef I1 (instanceRef Z_m2_0_a2_0)) (portRef I1 (instanceRef Z_i_0_a3_1_RNO)) (portRef I2 (instanceRef Z_i_0_o3_0_a2)) (portRef I2 (instanceRef Z_i_0_o3_0_a0_1)) )) - (net (rename un1_psum0_signed_66 "un1_psum0_signed(66)") (joined - (portRef (member un1_psum0_signed 4)) + (net (rename un1_psum0_signed_68 "un1_psum0_signed(68)") (joined + (portRef (member un1_psum0_signed 2)) (portRef I2 (instanceRef Z_m2_0_a2_0)) (portRef I2 (instanceRef Z_i_0_a3_1_RNO)) (portRef I3 (instanceRef Z_i_0_o3_0_a2)) (portRef I3 (instanceRef Z_i_0_o3_0_a0_1)) )) - (net (rename un1_psum0_signed_67 "un1_psum0_signed(67)") (joined - (portRef (member un1_psum0_signed 3)) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I1 (instanceRef Z_i_0_o3_1)) (portRef I3 (instanceRef Z_m2_0_a2_0)) (portRef I3 (instanceRef Z_i_0_a3_1_RNO)) (portRef I4 (instanceRef Z_i_0_o3_0_a2)) @@ -17936,12 +17936,12 @@ (portRef I1 (instanceRef Z_i_0_a3_1)) (portRef I0 (instanceRef Z_i_0_o3_1)) )) - (net (rename z_33 "Z_33") (joined - (portRef Z_33) - (portRef I4 (instanceRef Z_i_0_a3_1)) - )) (net (rename z_32 "Z_32") (joined (portRef Z_32) + (portRef I4 (instanceRef Z_i_0_a3_1)) + )) + (net (rename z_33 "Z_33") (joined + (portRef Z_33) (portRef I5 (instanceRef Z_i_0_a3_1)) )) ) @@ -18128,18 +18128,18 @@ ) (contents (instance N_37_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h080C0A0F")) + (property INIT (string "32'h20302233")) ) (net un1_psum0_signed_0 (joined (portRef un1_psum0_signed_0) (portRef I0 (instanceRef N_37_i)) )) - (net feedback_inc_data_0 (joined - (portRef feedback_inc_data_0) - (portRef I1 (instanceRef N_37_i)) - )) (net feedback_to_big_neg (joined (portRef feedback_to_big_neg) + (portRef I1 (instanceRef N_37_i)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) (portRef I2 (instanceRef N_37_i)) )) (net (rename n_186 "N_186") (joined @@ -18170,7 +18170,7 @@ ) (contents (instance N_17_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h23002323")) + (property INIT (string "32'h20302233")) ) (net un1_psum0_signed_0 (joined (portRef un1_psum0_signed_0) @@ -18180,12 +18180,12 @@ (portRef feedback_to_big_neg) (portRef I1 (instanceRef N_17_i)) )) - (net (rename n_186 "N_186") (joined - (portRef N_186) - (portRef I2 (instanceRef N_17_i)) - )) (net feedback_inc_data_0 (joined (portRef feedback_inc_data_0) + (portRef I2 (instanceRef N_17_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) (portRef I3 (instanceRef N_17_i)) )) (net (rename n_218 "N_218") (joined @@ -18256,24 +18256,24 @@ (portRef I0 (instanceRef Z_32_x)) (portRef I0 (instanceRef Z_32)) )) - (net (rename un1_psum0_signed_63 "un1_psum0_signed(63)") (joined - (portRef (member un1_psum0_signed 2)) + (net (rename un1_psum0_signed_64 "un1_psum0_signed(64)") (joined + (portRef (member un1_psum0_signed 1)) (portRef I1 (instanceRef Z_32_x)) (portRef I1 (instanceRef Z_32)) )) - (net (rename un1_psum0_signed_64 "un1_psum0_signed(64)") (joined - (portRef (member un1_psum0_signed 1)) + (net (rename un1_psum0_signed_63 "un1_psum0_signed(63)") (joined + (portRef (member un1_psum0_signed 2)) (portRef I2 (instanceRef Z_32_x)) (portRef I2 (instanceRef Z_32)) )) - (net (rename un1_psum0_signed_65 "un1_psum0_signed(65)") (joined - (portRef (member un1_psum0_signed 0)) - (portRef I3 (instanceRef Z_32_x)) - (portRef I3 (instanceRef Z_32)) - )) (net (rename Z_32Z0Z_1 "Z_32_1") (joined (portRef O (instanceRef Z_32_1)) (portRef I4 (instanceRef Z_32_x)) + (portRef I3 (instanceRef Z_32)) + )) + (net (rename un1_psum0_signed_65 "un1_psum0_signed(65)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z_32_x)) (portRef I4 (instanceRef Z_32)) )) (net (rename Z_32Z0Z_0 "Z_32_0") (joined @@ -18285,24 +18285,24 @@ (portRef O (instanceRef Z_32)) (portRef Z_32) )) - (net (rename un1_psum0_signed_39 "un1_psum0_signed(39)") (joined - (portRef (member un1_psum0_signed 26)) - (portRef I0 (instanceRef Z_32_0)) - )) (net (rename un1_psum0_signed_40 "un1_psum0_signed(40)") (joined (portRef (member un1_psum0_signed 25)) - (portRef I1 (instanceRef Z_32_0)) - )) - (net (rename un1_psum0_signed_41 "un1_psum0_signed(41)") (joined - (portRef (member un1_psum0_signed 24)) - (portRef I2 (instanceRef Z_32_0)) + (portRef I0 (instanceRef Z_32_0)) )) (net (rename un1_psum0_signed_42 "un1_psum0_signed(42)") (joined (portRef (member un1_psum0_signed 23)) - (portRef I3 (instanceRef Z_32_0)) + (portRef I1 (instanceRef Z_32_0)) )) (net (rename un1_psum0_signed_43 "un1_psum0_signed(43)") (joined (portRef (member un1_psum0_signed 22)) + (portRef I2 (instanceRef Z_32_0)) + )) + (net (rename un1_psum0_signed_39 "un1_psum0_signed(39)") (joined + (portRef (member un1_psum0_signed 26)) + (portRef I3 (instanceRef Z_32_0)) + )) + (net (rename un1_psum0_signed_41 "un1_psum0_signed(41)") (joined + (portRef (member un1_psum0_signed 24)) (portRef I4 (instanceRef Z_32_0)) )) (net (rename un1_psum0_signed_44 "un1_psum0_signed(44)") (joined @@ -18410,23 +18410,23 @@ (portRef LO (instanceRef Z_33_0_2_RNIILU25)) (portRef Z_33) )) - (net (rename un1_psum0_signed_32 "un1_psum0_signed(32)") (joined - (portRef (member un1_psum0_signed 33)) + (net (rename un1_psum0_signed_36 "un1_psum0_signed(36)") (joined + (portRef (member un1_psum0_signed 29)) (portRef I0 (instanceRef Z_33_0_2_RNIT5JI1)) (portRef I0 (instanceRef Z_33_0_2_RNIT5JI1_0)) )) - (net (rename un1_psum0_signed_34 "un1_psum0_signed(34)") (joined - (portRef (member un1_psum0_signed 31)) + (net (rename un1_psum0_signed_32 "un1_psum0_signed(32)") (joined + (portRef (member un1_psum0_signed 33)) (portRef I1 (instanceRef Z_33_0_2_RNIT5JI1)) (portRef I1 (instanceRef Z_33_0_2_RNIT5JI1_0)) )) - (net (rename un1_psum0_signed_35 "un1_psum0_signed(35)") (joined - (portRef (member un1_psum0_signed 30)) + (net (rename un1_psum0_signed_34 "un1_psum0_signed(34)") (joined + (portRef (member un1_psum0_signed 31)) (portRef I2 (instanceRef Z_33_0_2_RNIT5JI1)) (portRef I2 (instanceRef Z_33_0_2_RNIT5JI1_0)) )) - (net (rename un1_psum0_signed_36 "un1_psum0_signed(36)") (joined - (portRef (member un1_psum0_signed 29)) + (net (rename un1_psum0_signed_35 "un1_psum0_signed(35)") (joined + (portRef (member un1_psum0_signed 30)) (portRef I3 (instanceRef Z_33_0_2_RNIT5JI1)) (portRef I3 (instanceRef Z_33_0_2_RNIT5JI1_0)) )) @@ -18439,16 +18439,16 @@ (portRef O (instanceRef Z_33_0_2_RNIT5JI1_0)) (portRef I0 (instanceRef Z_32_x_RNIA15C3)) )) - (net (rename un1_psum0_signed_58 "un1_psum0_signed(58)") (joined - (portRef (member un1_psum0_signed 7)) - (portRef I0 (instanceRef g0_11)) - )) (net (rename un1_psum0_signed_60 "un1_psum0_signed(60)") (joined (portRef (member un1_psum0_signed 5)) - (portRef I1 (instanceRef g0_11)) + (portRef I0 (instanceRef g0_11)) )) (net (rename un1_psum0_signed_62 "un1_psum0_signed(62)") (joined (portRef (member un1_psum0_signed 3)) + (portRef I1 (instanceRef g0_11)) + )) + (net (rename un1_psum0_signed_58 "un1_psum0_signed(58)") (joined + (portRef (member un1_psum0_signed 7)) (portRef I2 (instanceRef g0_11)) )) (net (rename un1_psum0_signed_61 "un1_psum0_signed(61)") (joined @@ -18516,13 +18516,13 @@ (property INIT (string "64'h08000A0008080A0A")) ) (instance N_18_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h08000A0008080A0A")) + (property INIT (string "64'h008000A0008800AA")) ) (instance N_22_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h08000A0008080A0A")) + (property INIT (string "64'h008000A0008800AA")) ) (instance N_26_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h08000A0008080A0A")) + (property INIT (string "64'h008000A0008800AA")) ) (instance N_30_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h008000A0008800AA")) @@ -18653,9 +18653,9 @@ (portRef I3 (instanceRef N_38_i)) (portRef I3 (instanceRef N_34_i)) (portRef I3 (instanceRef N_30_i)) - (portRef I2 (instanceRef N_26_i)) - (portRef I2 (instanceRef N_22_i)) - (portRef I2 (instanceRef N_18_i)) + (portRef I3 (instanceRef N_26_i)) + (portRef I3 (instanceRef N_22_i)) + (portRef I3 (instanceRef N_18_i)) (portRef I2 (instanceRef N_14_i)) (portRef I2 (instanceRef N_10_i)) (portRef I2 (instanceRef N_6_i)) @@ -18726,7 +18726,7 @@ )) (net (rename feedback_inc_data_21 "feedback_inc_data(21)") (joined (portRef (member feedback_inc_data 14)) - (portRef I3 (instanceRef N_18_i)) + (portRef I2 (instanceRef N_18_i)) )) (net (rename N_18_iZ0 "N_18_i") (joined (portRef LO (instanceRef N_18_i)) @@ -18738,7 +18738,7 @@ )) (net (rename feedback_inc_data_20 "feedback_inc_data(20)") (joined (portRef (member feedback_inc_data 15)) - (portRef I3 (instanceRef N_22_i)) + (portRef I2 (instanceRef N_22_i)) )) (net (rename N_22_iZ0 "N_22_i") (joined (portRef LO (instanceRef N_22_i)) @@ -18750,7 +18750,7 @@ )) (net (rename feedback_inc_data_18 "feedback_inc_data(18)") (joined (portRef (member feedback_inc_data 17)) - (portRef I3 (instanceRef N_26_i)) + (portRef I2 (instanceRef N_26_i)) )) (net (rename N_26_iZ0 "N_26_i") (joined (portRef LO (instanceRef N_26_i)) @@ -18970,12 +18970,12 @@ (portRef (member un1_psum0_signed 48)) (portRef I1 (instanceRef Z_i_0_o3_28)) )) - (net (rename un1_psum0_signed_23 "un1_psum0_signed(23)") (joined - (portRef (member un1_psum0_signed 47)) - (portRef I2 (instanceRef Z_i_0_o3_28)) - )) (net (rename un1_psum0_signed_21 "un1_psum0_signed(21)") (joined (portRef (member un1_psum0_signed 49)) + (portRef I2 (instanceRef Z_i_0_o3_28)) + )) + (net (rename un1_psum0_signed_23 "un1_psum0_signed(23)") (joined + (portRef (member un1_psum0_signed 47)) (portRef I3 (instanceRef Z_i_0_o3_28)) )) (net (rename un1_psum0_signed_24 "un1_psum0_signed(24)") (joined @@ -18990,12 +18990,12 @@ (portRef (member psum1_saved 0)) (portRef I0 (instanceRef Z_i_0_o3_28_3)) )) - (net (rename psum1_saved_0 "psum1_saved(0)") (joined - (portRef (member psum1_saved 20)) - (portRef I1 (instanceRef Z_i_0_o3_28_3)) - )) (net (rename psum1_saved_1 "psum1_saved(1)") (joined (portRef (member psum1_saved 19)) + (portRef I1 (instanceRef Z_i_0_o3_28_3)) + )) + (net (rename psum1_saved_0 "psum1_saved(0)") (joined + (portRef (member psum1_saved 20)) (portRef I2 (instanceRef Z_i_0_o3_28_3)) )) (net (rename Z_i_0_o3_28Z0Z_2 "Z_i_0_o3_28_2") (joined @@ -19010,20 +19010,20 @@ (portRef B0_product_signed_0) (portRef I5 (instanceRef Z_i_0_o3_28_3)) )) + (net (rename psum1_saved_8 "psum1_saved(8)") (joined + (portRef (member psum1_saved 12)) + (portRef I0 (instanceRef Z_i_0_o3_28_3_1)) + )) (net (rename psum1_saved_9 "psum1_saved(9)") (joined (portRef (member psum1_saved 11)) - (portRef I0 (instanceRef Z_i_0_o3_28_3_1)) + (portRef I1 (instanceRef Z_i_0_o3_28_3_1)) )) (net (rename psum1_saved_10 "psum1_saved(10)") (joined (portRef (member psum1_saved 10)) - (portRef I1 (instanceRef Z_i_0_o3_28_3_1)) + (portRef I2 (instanceRef Z_i_0_o3_28_3_1)) )) (net (rename psum1_saved_11 "psum1_saved(11)") (joined (portRef (member psum1_saved 9)) - (portRef I2 (instanceRef Z_i_0_o3_28_3_1)) - )) - (net (rename psum1_saved_8 "psum1_saved(8)") (joined - (portRef (member psum1_saved 12)) (portRef I3 (instanceRef Z_i_0_o3_28_3_1)) )) (net (rename Z_i_0_o3_28_1Z0Z_2 "Z_i_0_o3_28_1_2") (joined @@ -19034,28 +19034,28 @@ (portRef LO (instanceRef Z_i_0_o3_28_0)) (portRef I5 (instanceRef Z_i_0_o3_28_3_1)) )) + (net (rename psum1_saved_14 "psum1_saved(14)") (joined + (portRef (member psum1_saved 6)) + (portRef I0 (instanceRef Z_i_0_o3_28_0)) + )) (net (rename psum1_saved_15 "psum1_saved(15)") (joined (portRef (member psum1_saved 5)) - (portRef I0 (instanceRef Z_i_0_o3_28_0)) + (portRef I1 (instanceRef Z_i_0_o3_28_0)) )) (net (rename psum1_saved_16 "psum1_saved(16)") (joined (portRef (member psum1_saved 4)) - (portRef I1 (instanceRef Z_i_0_o3_28_0)) + (portRef I2 (instanceRef Z_i_0_o3_28_0)) )) (net (rename psum1_saved_17 "psum1_saved(17)") (joined (portRef (member psum1_saved 3)) - (portRef I2 (instanceRef Z_i_0_o3_28_0)) + (portRef I3 (instanceRef Z_i_0_o3_28_0)) )) (net (rename psum1_saved_18 "psum1_saved(18)") (joined (portRef (member psum1_saved 2)) - (portRef I3 (instanceRef Z_i_0_o3_28_0)) + (portRef I4 (instanceRef Z_i_0_o3_28_0)) )) (net (rename psum1_saved_19 "psum1_saved(19)") (joined (portRef (member psum1_saved 1)) - (portRef I4 (instanceRef Z_i_0_o3_28_0)) - )) - (net (rename psum1_saved_14 "psum1_saved(14)") (joined - (portRef (member psum1_saved 6)) (portRef I5 (instanceRef Z_i_0_o3_28_0)) )) (net (rename psum1_saved_2 "psum1_saved(2)") (joined @@ -19082,24 +19082,24 @@ (portRef (member psum1_saved 13)) (portRef I5 (instanceRef Z_i_0_o3_28_2)) )) - (net (rename un1_psum0_signed_28 "un1_psum0_signed(28)") (joined - (portRef (member un1_psum0_signed 42)) - (portRef I0 (instanceRef Z_i_0_o3_28_3_4)) - )) (net (rename un1_psum0_signed_26 "un1_psum0_signed(26)") (joined (portRef (member un1_psum0_signed 44)) - (portRef I1 (instanceRef Z_i_0_o3_28_3_4)) + (portRef I0 (instanceRef Z_i_0_o3_28_3_4)) )) (net (rename un1_psum0_signed_27 "un1_psum0_signed(27)") (joined (portRef (member un1_psum0_signed 43)) - (portRef I2 (instanceRef Z_i_0_o3_28_3_4)) - )) - (net (rename un1_psum0_signed_29 "un1_psum0_signed(29)") (joined - (portRef (member un1_psum0_signed 41)) - (portRef I3 (instanceRef Z_i_0_o3_28_3_4)) + (portRef I1 (instanceRef Z_i_0_o3_28_3_4)) )) (net (rename un1_psum0_signed_25 "un1_psum0_signed(25)") (joined (portRef (member un1_psum0_signed 45)) + (portRef I2 (instanceRef Z_i_0_o3_28_3_4)) + )) + (net (rename un1_psum0_signed_28 "un1_psum0_signed(28)") (joined + (portRef (member un1_psum0_signed 42)) + (portRef I3 (instanceRef Z_i_0_o3_28_3_4)) + )) + (net (rename un1_psum0_signed_29 "un1_psum0_signed(29)") (joined + (portRef (member un1_psum0_signed 41)) (portRef I4 (instanceRef Z_i_0_o3_28_3_4)) )) (net (rename psum1_saved_12 "psum1_saved(12)") (joined @@ -19169,18 +19169,18 @@ (portRef O (instanceRef g0)) (portRef feedback_to_big) )) - (net (rename un1_psum0_signed_67 "un1_psum0_signed(67)") (joined - (portRef (member un1_psum0_signed 3)) + (net (rename un1_psum0_signed_68 "un1_psum0_signed(68)") (joined + (portRef (member un1_psum0_signed 2)) (portRef I0 (instanceRef g1_2)) (portRef I0 (instanceRef g5)) )) - (net (rename un1_psum0_signed_68 "un1_psum0_signed(68)") (joined - (portRef (member un1_psum0_signed 2)) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) (portRef I1 (instanceRef g1_2)) (portRef I1 (instanceRef g5)) )) - (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined - (portRef (member un1_psum0_signed 0)) + (net (rename un1_psum0_signed_67 "un1_psum0_signed(67)") (joined + (portRef (member un1_psum0_signed 3)) (portRef I2 (instanceRef g1_2)) (portRef I2 (instanceRef g5)) )) @@ -19201,22 +19201,22 @@ ) (contents (instance Z (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h4CCCCCCC")) + (property INIT (string "32'h7F00FF00")) ) - (net (rename un1_psum0_signed_68 "un1_psum0_signed(68)") (joined - (portRef (member un1_psum0_signed 2)) - (portRef I0 (instanceRef Z)) - )) - (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined - (portRef (member un1_psum0_signed 0)) - (portRef I1 (instanceRef Z)) - )) (net (rename un1_psum0_signed_66 "un1_psum0_signed(66)") (joined (portRef (member un1_psum0_signed 4)) - (portRef I2 (instanceRef Z)) + (portRef I0 (instanceRef Z)) )) (net (rename un1_psum0_signed_67 "un1_psum0_signed(67)") (joined (portRef (member un1_psum0_signed 3)) + (portRef I1 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_68 "un1_psum0_signed(68)") (joined + (portRef (member un1_psum0_signed 2)) + (portRef I2 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) (portRef I3 (instanceRef Z)) )) (net (rename un1_psum0_signed_69 "un1_psum0_signed(69)") (joined @@ -19253,7 +19253,7 @@ ) (contents (instance N_153_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h08000A0008080A0A")) + (property INIT (string "64'h080A0000080A080A")) ) (instance N_77_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h08000A0008080A0A")) @@ -19262,13 +19262,13 @@ (property INIT (string "64'h08000A0008080A0A")) ) (instance N_65_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h08000A0008080A0A")) + (property INIT (string "64'h008000A0008800AA")) ) (instance N_61_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h08000A0008080A0A")) ) (instance N_57_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h08000A0008080A0A")) + (property INIT (string "64'h008000A0008800AA")) ) (instance N_53_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h008000A0008800AA")) @@ -19304,17 +19304,13 @@ (portRef I3 (instanceRef N_45_i)) (portRef I3 (instanceRef N_49_i)) (portRef I3 (instanceRef N_53_i)) - (portRef I2 (instanceRef N_57_i)) + (portRef I3 (instanceRef N_57_i)) (portRef I2 (instanceRef N_61_i)) - (portRef I2 (instanceRef N_65_i)) + (portRef I3 (instanceRef N_65_i)) (portRef I2 (instanceRef N_75_i)) (portRef I2 (instanceRef N_77_i)) (portRef I2 (instanceRef N_153_i)) )) - (net (rename feedback_inc_data_33 "feedback_inc_data(33)") (joined - (portRef (member feedback_inc_data 0)) - (portRef I3 (instanceRef N_153_i)) - )) (net (rename n_186 "N_186") (joined (portRef N_186) (portRef I3 (instanceRef N_41_i)) @@ -19326,6 +19322,10 @@ (portRef I4 (instanceRef N_65_i)) (portRef I4 (instanceRef N_75_i)) (portRef I4 (instanceRef N_77_i)) + (portRef I3 (instanceRef N_153_i)) + )) + (net (rename feedback_inc_data_33 "feedback_inc_data(33)") (joined + (portRef (member feedback_inc_data 0)) (portRef I4 (instanceRef N_153_i)) )) (net (rename n_218 "N_218") (joined @@ -19375,7 +19375,7 @@ )) (net (rename feedback_inc_data_27 "feedback_inc_data(27)") (joined (portRef (member feedback_inc_data 6)) - (portRef I3 (instanceRef N_65_i)) + (portRef I2 (instanceRef N_65_i)) )) (net (rename N_65_iZ0 "N_65_i") (joined (portRef LO (instanceRef N_65_i)) @@ -19399,7 +19399,7 @@ )) (net (rename feedback_inc_data_25 "feedback_inc_data(25)") (joined (portRef (member feedback_inc_data 8)) - (portRef I3 (instanceRef N_57_i)) + (portRef I2 (instanceRef N_57_i)) )) (net (rename N_57_iZ0 "N_57_i") (joined (portRef LO (instanceRef N_57_i)) @@ -19844,14 +19844,14 @@ ) (contents (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000FFFFE2AAE2E2")) + (property INIT (string "64'h0000FFFFE4CCE4E4")) ) - (net (rename un1_psum0_signed_33 "un1_psum0_signed(33)") (joined - (portRef (member un1_psum0_signed 37)) - (portRef I0 (instanceRef Z)) - )) (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_33 "un1_psum0_signed(33)") (joined + (portRef (member un1_psum0_signed 37)) (portRef I1 (instanceRef Z)) )) (net feedback_inc_data_0 (joined @@ -19889,14 +19889,14 @@ ) (contents (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000FFFFE2AAE2E2")) + (property INIT (string "64'h0000FFFFE4CCE4E4")) ) - (net (rename un1_psum0_signed_34 "un1_psum0_signed(34)") (joined - (portRef (member un1_psum0_signed 36)) - (portRef I0 (instanceRef Z)) - )) (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_34 "un1_psum0_signed(34)") (joined + (portRef (member un1_psum0_signed 36)) (portRef I1 (instanceRef Z)) )) (net feedback_inc_data_0 (joined @@ -19934,14 +19934,14 @@ ) (contents (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000FFFFE2AAE2E2")) + (property INIT (string "64'h0000FFFFE4CCE4E4")) ) - (net (rename un1_psum0_signed_35 "un1_psum0_signed(35)") (joined - (portRef (member un1_psum0_signed 35)) - (portRef I0 (instanceRef Z)) - )) (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined (portRef (member un1_psum0_signed 40)) + (portRef I0 (instanceRef Z)) + )) + (net (rename un1_psum0_signed_35 "un1_psum0_signed(35)") (joined + (portRef (member un1_psum0_signed 35)) (portRef I1 (instanceRef Z)) )) (net feedback_inc_data_0 (joined @@ -20112,7 +20112,7 @@ ) (contents (instance N_16_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h23002323")) + (property INIT (string "32'h20302233")) ) (net un1_psum0_signed_0 (joined (portRef un1_psum0_signed_0) @@ -20122,12 +20122,12 @@ (portRef N_213) (portRef I1 (instanceRef N_16_i)) )) - (net (rename n_186 "N_186") (joined - (portRef N_186) - (portRef I2 (instanceRef N_16_i)) - )) (net feedback_inc_data_0 (joined (portRef feedback_inc_data_0) + (portRef I2 (instanceRef N_16_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) (portRef I3 (instanceRef N_16_i)) )) (net (rename n_218 "N_218") (joined @@ -20153,7 +20153,7 @@ ) (contents (instance Z (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000FFFFF870FA50")) + (property INIT (string "64'h00FF00FFF8FA7050")) ) (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined (portRef (member un1_psum0_signed 40)) @@ -20167,12 +20167,12 @@ (portRef (member un1_psum0_signed 27)) (portRef I2 (instanceRef Z)) )) - (net feedback_inc_data_0 (joined - (portRef feedback_inc_data_0) - (portRef I3 (instanceRef Z)) - )) (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined (portRef (member un1_psum0_signed 0)) + (portRef I3 (instanceRef Z)) + )) + (net feedback_inc_data_0 (joined + (portRef feedback_inc_data_0) (portRef I4 (instanceRef Z)) )) (net feedback_to_big (joined @@ -20289,18 +20289,18 @@ ) (contents (instance N_24_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h20302233")) + (property INIT (string "32'h080C0A0F")) ) (net un1_psum0_signed_0 (joined (portRef un1_psum0_signed_0) (portRef I0 (instanceRef N_24_i)) )) - (net (rename n_213 "N_213") (joined - (portRef N_213) - (portRef I1 (instanceRef N_24_i)) - )) (net feedback_inc_data_0 (joined (portRef feedback_inc_data_0) + (portRef I1 (instanceRef N_24_i)) + )) + (net (rename n_213 "N_213") (joined + (portRef N_213) (portRef I2 (instanceRef N_24_i)) )) (net (rename n_186 "N_186") (joined @@ -20376,18 +20376,18 @@ ) (contents (instance N_20_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h20302233")) + (property INIT (string "32'h080C0A0F")) ) (net un1_psum0_signed_0 (joined (portRef un1_psum0_signed_0) (portRef I0 (instanceRef N_20_i)) )) - (net (rename n_213 "N_213") (joined - (portRef N_213) - (portRef I1 (instanceRef N_20_i)) - )) (net feedback_inc_data_0 (joined (portRef feedback_inc_data_0) + (portRef I1 (instanceRef N_20_i)) + )) + (net (rename n_213 "N_213") (joined + (portRef N_213) (portRef I2 (instanceRef N_20_i)) )) (net (rename n_186 "N_186") (joined @@ -20636,7 +20636,7 @@ ) (contents (instance N_21_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h23002323")) + (property INIT (string "32'h20302233")) ) (net un1_psum0_signed_0 (joined (portRef un1_psum0_signed_0) @@ -20646,12 +20646,12 @@ (portRef N_213) (portRef I1 (instanceRef N_21_i)) )) - (net (rename n_186 "N_186") (joined - (portRef N_186) - (portRef I2 (instanceRef N_21_i)) - )) (net feedback_inc_data_0 (joined (portRef feedback_inc_data_0) + (portRef I2 (instanceRef N_21_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) (portRef I3 (instanceRef N_21_i)) )) (net (rename n_218 "N_218") (joined @@ -20681,7 +20681,7 @@ ) (contents (instance Z_i_0_o3_1_RNIUIJK (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h23002323")) + (property INIT (string "32'h20302233")) ) (instance Z_i_0_o3_0_a0_1 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h0000000000000001")) @@ -20709,14 +20709,14 @@ (portRef N_213) (portRef I1 (instanceRef Z_i_0_o3_1_RNIUIJK)) )) - (net (rename n_186 "N_186") (joined - (portRef O (instanceRef Z_i_0_o3_1)) - (portRef I2 (instanceRef Z_i_0_o3_1_RNIUIJK)) - (portRef N_186) - )) (net feedback_inc_data_0 (joined (portRef feedback_inc_data_0) + (portRef I2 (instanceRef Z_i_0_o3_1_RNIUIJK)) + )) + (net (rename n_186 "N_186") (joined + (portRef O (instanceRef Z_i_0_o3_1)) (portRef I3 (instanceRef Z_i_0_o3_1_RNIUIJK)) + (portRef N_186) )) (net (rename n_218 "N_218") (joined (portRef O (instanceRef Z_i_0_a3_1)) @@ -20733,30 +20733,30 @@ (portRef I0 (instanceRef Z_i_0_o3_0_a2)) (portRef I0 (instanceRef Z_i_0_o3_0_a0_1)) )) - (net (rename un1_psum0_signed_68 "un1_psum0_signed(68)") (joined - (portRef (member un1_psum0_signed 2)) + (net (rename un1_psum0_signed_66 "un1_psum0_signed(66)") (joined + (portRef (member un1_psum0_signed 4)) (portRef I0 (instanceRef Z_m2_0_a2_0)) (portRef I0 (instanceRef Z_i_0_a3_1_RNO)) (portRef I1 (instanceRef Z_i_0_o3_0_a2)) (portRef I1 (instanceRef Z_i_0_o3_0_a0_1)) )) - (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined - (portRef (member un1_psum0_signed 0)) - (portRef I1 (instanceRef Z_i_0_o3_1)) + (net (rename un1_psum0_signed_67 "un1_psum0_signed(67)") (joined + (portRef (member un1_psum0_signed 3)) (portRef I1 (instanceRef Z_m2_0_a2_0)) (portRef I1 (instanceRef Z_i_0_a3_1_RNO)) (portRef I2 (instanceRef Z_i_0_o3_0_a2)) (portRef I2 (instanceRef Z_i_0_o3_0_a0_1)) )) - (net (rename un1_psum0_signed_66 "un1_psum0_signed(66)") (joined - (portRef (member un1_psum0_signed 4)) + (net (rename un1_psum0_signed_68 "un1_psum0_signed(68)") (joined + (portRef (member un1_psum0_signed 2)) (portRef I2 (instanceRef Z_m2_0_a2_0)) (portRef I2 (instanceRef Z_i_0_a3_1_RNO)) (portRef I3 (instanceRef Z_i_0_o3_0_a2)) (portRef I3 (instanceRef Z_i_0_o3_0_a0_1)) )) - (net (rename un1_psum0_signed_67 "un1_psum0_signed(67)") (joined - (portRef (member un1_psum0_signed 3)) + (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined + (portRef (member un1_psum0_signed 0)) + (portRef I1 (instanceRef Z_i_0_o3_1)) (portRef I3 (instanceRef Z_m2_0_a2_0)) (portRef I3 (instanceRef Z_i_0_a3_1_RNO)) (portRef I4 (instanceRef Z_i_0_o3_0_a2)) @@ -20856,7 +20856,7 @@ ) (contents (instance N_9_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h23002323")) + (property INIT (string "32'h20302233")) ) (net un1_psum0_signed_0 (joined (portRef un1_psum0_signed_0) @@ -20866,12 +20866,12 @@ (portRef N_213) (portRef I1 (instanceRef N_9_i)) )) - (net (rename n_186 "N_186") (joined - (portRef N_186) - (portRef I2 (instanceRef N_9_i)) - )) (net feedback_inc_data_0 (joined (portRef feedback_inc_data_0) + (portRef I2 (instanceRef N_9_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) (portRef I3 (instanceRef N_9_i)) )) (net (rename n_218 "N_218") (joined @@ -20898,7 +20898,7 @@ ) (contents (instance N_29_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h23002323")) + (property INIT (string "32'h20302233")) ) (net un1_psum0_signed_0 (joined (portRef un1_psum0_signed_0) @@ -20908,12 +20908,12 @@ (portRef N_213) (portRef I1 (instanceRef N_29_i)) )) - (net (rename n_186 "N_186") (joined - (portRef N_186) - (portRef I2 (instanceRef N_29_i)) - )) (net feedback_inc_data_0 (joined (portRef feedback_inc_data_0) + (portRef I2 (instanceRef N_29_i)) + )) + (net (rename n_186 "N_186") (joined + (portRef N_186) (portRef I3 (instanceRef N_29_i)) )) (net (rename n_218 "N_218") (joined @@ -20982,18 +20982,18 @@ ) (contents (instance N_37_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h20302233")) + (property INIT (string "32'h080C0A0F")) ) (net un1_psum0_signed_0 (joined (portRef un1_psum0_signed_0) (portRef I0 (instanceRef N_37_i)) )) - (net (rename n_213 "N_213") (joined - (portRef N_213) - (portRef I1 (instanceRef N_37_i)) - )) (net feedback_inc_data_0 (joined (portRef feedback_inc_data_0) + (portRef I1 (instanceRef N_37_i)) + )) + (net (rename n_213 "N_213") (joined + (portRef N_213) (portRef I2 (instanceRef N_37_i)) )) (net (rename n_186 "N_186") (joined @@ -21135,12 +21135,12 @@ (portRef (member un1_psum0_signed 25)) (portRef I1 (instanceRef Z_32_0)) )) - (net (rename un1_psum0_signed_42 "un1_psum0_signed(42)") (joined - (portRef (member un1_psum0_signed 23)) - (portRef I2 (instanceRef Z_32_0)) - )) (net (rename un1_psum0_signed_41 "un1_psum0_signed(41)") (joined (portRef (member un1_psum0_signed 24)) + (portRef I2 (instanceRef Z_32_0)) + )) + (net (rename un1_psum0_signed_42 "un1_psum0_signed(42)") (joined + (portRef (member un1_psum0_signed 23)) (portRef I3 (instanceRef Z_32_0)) )) (net (rename un1_psum0_signed_43 "un1_psum0_signed(43)") (joined @@ -21151,34 +21151,34 @@ (portRef (member un1_psum0_signed 21)) (portRef I5 (instanceRef Z_32_0)) )) + (net (rename un1_psum0_signed_51 "un1_psum0_signed(51)") (joined + (portRef (member un1_psum0_signed 14)) + (portRef I3 (instanceRef g0_12)) + (portRef I0 (instanceRef Z_33_2)) + )) (net (rename un1_psum0_signed_52 "un1_psum0_signed(52)") (joined (portRef (member un1_psum0_signed 13)) - (portRef I1 (instanceRef g0_12_N_6L11)) - (portRef I0 (instanceRef Z_33_2)) + (portRef I0 (instanceRef g0_12_N_6L11)) + (portRef I1 (instanceRef Z_33_2)) )) (net (rename un1_psum0_signed_54 "un1_psum0_signed(54)") (joined (portRef (member un1_psum0_signed 11)) - (portRef I2 (instanceRef g0_12_N_6L11)) - (portRef I1 (instanceRef Z_33_2)) + (portRef I1 (instanceRef g0_12_N_6L11)) + (portRef I2 (instanceRef Z_33_2)) )) (net (rename un1_psum0_signed_55 "un1_psum0_signed(55)") (joined (portRef (member un1_psum0_signed 10)) - (portRef I3 (instanceRef g0_12_N_6L11)) - (portRef I2 (instanceRef Z_33_2)) - )) - (net (rename un1_psum0_signed_53 "un1_psum0_signed(53)") (joined - (portRef (member un1_psum0_signed 12)) - (portRef I3 (instanceRef g0_12_N_4L7)) + (portRef I2 (instanceRef g0_12_N_6L11)) (portRef I3 (instanceRef Z_33_2)) )) (net (rename un1_psum0_signed_56 "un1_psum0_signed(56)") (joined (portRef (member un1_psum0_signed 9)) - (portRef I0 (instanceRef g0_12_N_6L11)) + (portRef I3 (instanceRef g0_12_N_6L11)) (portRef I4 (instanceRef Z_33_2)) )) - (net (rename un1_psum0_signed_51 "un1_psum0_signed(51)") (joined - (portRef (member un1_psum0_signed 14)) - (portRef I3 (instanceRef g0_12)) + (net (rename un1_psum0_signed_53 "un1_psum0_signed(53)") (joined + (portRef (member un1_psum0_signed 12)) + (portRef I3 (instanceRef g0_12_N_4L7)) (portRef I5 (instanceRef Z_33_2)) )) (net (rename Z_33Z0Z_2 "Z_33_2") (joined @@ -21251,14 +21251,14 @@ (portRef LO (instanceRef Z_33_0_2_RNI8G425)) (portRef Z_33) )) - (net (rename un1_psum0_signed_32 "un1_psum0_signed(32)") (joined - (portRef (member un1_psum0_signed 33)) - (portRef I1 (instanceRef g0_12_N_4L7)) - (portRef I0 (instanceRef Z_33_1_1_RNIHMAD1)) - )) (net (rename un1_psum0_signed_36 "un1_psum0_signed(36)") (joined (portRef (member un1_psum0_signed 29)) (portRef I0 (instanceRef g0_12_N_4L7)) + (portRef I0 (instanceRef Z_33_1_1_RNIHMAD1)) + )) + (net (rename un1_psum0_signed_32 "un1_psum0_signed(32)") (joined + (portRef (member un1_psum0_signed 33)) + (portRef I1 (instanceRef g0_12_N_4L7)) (portRef I1 (instanceRef Z_33_1_1_RNIHMAD1)) )) (net (rename un1_psum0_signed_33 "un1_psum0_signed(33)") (joined @@ -21369,7 +21369,7 @@ (property INIT (string "64'h08000A0008080A0A")) ) (instance N_30_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h008000A0008800AA")) + (property INIT (string "64'h08000A0008080A0A")) ) (instance N_34_i (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h008000A0008800AA")) @@ -21493,7 +21493,7 @@ (portRef I3 (instanceRef N_42_i)) (portRef I3 (instanceRef N_38_i)) (portRef I3 (instanceRef N_34_i)) - (portRef I3 (instanceRef N_30_i)) + (portRef I2 (instanceRef N_30_i)) (portRef I2 (instanceRef N_26_i)) (portRef I2 (instanceRef N_22_i)) (portRef I3 (instanceRef N_18_i)) @@ -21603,7 +21603,7 @@ )) (net (rename feedback_inc_data_17 "feedback_inc_data(17)") (joined (portRef (member feedback_inc_data 18)) - (portRef I2 (instanceRef N_30_i)) + (portRef I3 (instanceRef N_30_i)) )) (net (rename N_30_iZ0 "N_30_i") (joined (portRef LO (instanceRef N_30_i)) @@ -21807,12 +21807,12 @@ (portRef (member un1_psum0_signed 48)) (portRef I0 (instanceRef Z_i_0_o3_28)) )) - (net (rename un1_psum0_signed_21 "un1_psum0_signed(21)") (joined - (portRef (member un1_psum0_signed 49)) - (portRef I1 (instanceRef Z_i_0_o3_28)) - )) (net (rename un1_psum0_signed_23 "un1_psum0_signed(23)") (joined (portRef (member un1_psum0_signed 47)) + (portRef I1 (instanceRef Z_i_0_o3_28)) + )) + (net (rename un1_psum0_signed_21 "un1_psum0_signed(21)") (joined + (portRef (member un1_psum0_signed 49)) (portRef I2 (instanceRef Z_i_0_o3_28)) )) (net (rename Z_i_0_o3_28Z0Z_3 "Z_i_0_o3_28_3") (joined @@ -21835,16 +21835,16 @@ (portRef (member psum1_saved 13)) (portRef I1 (instanceRef Z_i_0_o3_28_3)) )) - (net (rename Z_i_0_o3_28Z0Z_2 "Z_i_0_o3_28_2") (joined - (portRef O (instanceRef Z_i_0_o3_28_2)) + (net (rename Z_i_0_o3_28Z0Z_0 "Z_i_0_o3_28_0") (joined + (portRef O (instanceRef Z_i_0_o3_28_0)) (portRef I2 (instanceRef Z_i_0_o3_28_3)) )) (net (rename Z_i_0_o3_28Z0Z_1 "Z_i_0_o3_28_1") (joined (portRef O (instanceRef Z_i_0_o3_28_1)) (portRef I3 (instanceRef Z_i_0_o3_28_3)) )) - (net (rename Z_i_0_o3_28Z0Z_0 "Z_i_0_o3_28_0") (joined - (portRef O (instanceRef Z_i_0_o3_28_0)) + (net (rename Z_i_0_o3_28Z0Z_2 "Z_i_0_o3_28_2") (joined + (portRef O (instanceRef Z_i_0_o3_28_2)) (portRef I4 (instanceRef Z_i_0_o3_28_3)) )) (net (rename un1_psum0_signed_20 "un1_psum0_signed(20)") (joined @@ -21879,24 +21879,24 @@ (portRef (member psum1_saved 7)) (portRef I0 (instanceRef Z_i_0_o3_28_1)) )) - (net (rename psum1_saved_15 "psum1_saved(15)") (joined - (portRef (member psum1_saved 4)) - (portRef I1 (instanceRef Z_i_0_o3_28_1)) - )) - (net (rename psum1_saved_16 "psum1_saved(16)") (joined - (portRef (member psum1_saved 3)) - (portRef I2 (instanceRef Z_i_0_o3_28_1)) - )) - (net (rename psum1_saved_17 "psum1_saved(17)") (joined - (portRef (member psum1_saved 2)) - (portRef I3 (instanceRef Z_i_0_o3_28_1)) - )) (net (rename psum1_saved_13 "psum1_saved(13)") (joined (portRef (member psum1_saved 6)) - (portRef I4 (instanceRef Z_i_0_o3_28_1)) + (portRef I1 (instanceRef Z_i_0_o3_28_1)) )) (net (rename psum1_saved_14 "psum1_saved(14)") (joined (portRef (member psum1_saved 5)) + (portRef I2 (instanceRef Z_i_0_o3_28_1)) + )) + (net (rename psum1_saved_15 "psum1_saved(15)") (joined + (portRef (member psum1_saved 4)) + (portRef I3 (instanceRef Z_i_0_o3_28_1)) + )) + (net (rename psum1_saved_16 "psum1_saved(16)") (joined + (portRef (member psum1_saved 3)) + (portRef I4 (instanceRef Z_i_0_o3_28_1)) + )) + (net (rename psum1_saved_17 "psum1_saved(17)") (joined + (portRef (member psum1_saved 2)) (portRef I5 (instanceRef Z_i_0_o3_28_1)) )) (net (rename psum1_saved_1 "psum1_saved(1)") (joined @@ -21931,12 +21931,12 @@ (portRef (member un1_psum0_signed 43)) (portRef I1 (instanceRef Z_i_0_o3_28_3_4)) )) - (net (rename un1_psum0_signed_25 "un1_psum0_signed(25)") (joined - (portRef (member un1_psum0_signed 45)) - (portRef I2 (instanceRef Z_i_0_o3_28_3_4)) - )) (net (rename un1_psum0_signed_28 "un1_psum0_signed(28)") (joined (portRef (member un1_psum0_signed 42)) + (portRef I2 (instanceRef Z_i_0_o3_28_3_4)) + )) + (net (rename un1_psum0_signed_25 "un1_psum0_signed(25)") (joined + (portRef (member un1_psum0_signed 45)) (portRef I3 (instanceRef Z_i_0_o3_28_3_4)) )) (net (rename un1_psum0_signed_29 "un1_psum0_signed(29)") (joined @@ -21968,82 +21968,73 @@ (port (array (rename dout_0 "dout_0(35:0)") 36) (direction OUTPUT)) (port (array (rename IIRin_re "IIRin_re(15:0)") 16) (direction INPUT)) (port rstn (direction INPUT)) - (port en_rep_1 (direction INPUT)) + (port en_rep_3 (direction INPUT)) (port clk (direction INPUT)) (port rstn_i (direction INPUT)) (port saturation_0 (direction OUTPUT)) ) (contents - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_52 "PSUM2AND1_REGS.psum1_saved_3_lut[52]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h60")) - ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_48 "PSUM2AND1_REGS.psum1_saved_3_lut[48]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h60")) - ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_46 "PSUM2AND1_REGS.psum1_saved_3_lut[46]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h60")) - ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_45 "PSUM2AND1_REGS.psum1_saved_3_lut[45]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h60")) - ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_44 "PSUM2AND1_REGS.psum1_saved_3_lut[44]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_42 "PSUM2AND1_REGS.psum1_saved_3_lut[42]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_43 "PSUM2AND1_REGS.psum1_saved_3_lut[43]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_42 "PSUM2AND1_REGS.psum1_saved_3_lut[42]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h60")) - ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_41 "PSUM2AND1_REGS.psum1_saved_3_lut[41]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_40 "PSUM2AND1_REGS.psum1_saved_3_lut[40]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h60")) - ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_39 "PSUM2AND1_REGS.psum1_saved_3_lut[39]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h60")) - ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_37 "PSUM2AND1_REGS.psum1_saved_3_lut[37]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_38 "PSUM2AND1_REGS.psum1_saved_3_lut[38]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_36 "PSUM2AND1_REGS.psum1_saved_3_lut[36]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_40 "PSUM2AND1_REGS.psum1_saved_3_lut[40]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_35 "PSUM2AND1_REGS.psum1_saved_3_lut[35]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h60")) - ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_33 "PSUM2AND1_REGS.psum1_saved_3_lut[33]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_39 "PSUM2AND1_REGS.psum1_saved_3_lut[39]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_34 "PSUM2AND1_REGS.psum1_saved_3_lut[34]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_29 "PSUM2AND1_REGS.psum1_saved_3_lut[29]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_35 "PSUM2AND1_REGS.psum1_saved_3_lut[35]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_30 "PSUM2AND1_REGS.psum1_saved_3_lut[30]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_36 "PSUM2AND1_REGS.psum1_saved_3_lut[36]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_31 "PSUM2AND1_REGS.psum1_saved_3_lut[31]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_33 "PSUM2AND1_REGS.psum1_saved_3_lut[33]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_32 "PSUM2AND1_REGS.psum1_saved_3_lut[32]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_30 "PSUM2AND1_REGS.psum1_saved_3_lut[30]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_29 "PSUM2AND1_REGS.psum1_saved_3_lut[29]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_31 "PSUM2AND1_REGS.psum1_saved_3_lut[31]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_25 "PSUM2AND1_REGS.psum1_saved_3_lut[25]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_28 "PSUM2AND1_REGS.psum1_saved_3_lut[28]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_26 "PSUM2AND1_REGS.psum1_saved_3_lut[26]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_27 "PSUM2AND1_REGS.psum1_saved_3_lut[27]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_28 "PSUM2AND1_REGS.psum1_saved_3_lut[28]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_21 "PSUM2AND1_REGS.psum1_saved_3_lut[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_22 "PSUM2AND1_REGS.psum1_saved_3_lut[22]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_23 "PSUM2AND1_REGS.psum1_saved_3_lut[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) @@ -22052,25 +22043,16 @@ (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_24 "PSUM2AND1_REGS.psum1_saved_3_lut[24]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_22 "PSUM2AND1_REGS.psum1_saved_3_lut[22]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h60")) - ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_21 "PSUM2AND1_REGS.psum1_saved_3_lut[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h60")) - ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_20 "PSUM2AND1_REGS.psum1_saved_3_lut[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h60")) - ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_18 "PSUM2AND1_REGS.psum1_saved_3_lut[18]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_19 "PSUM2AND1_REGS.psum1_saved_3_lut[19]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_17 "PSUM2AND1_REGS.psum1_saved_3_lut[17]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_19 "PSUM2AND1_REGS.psum1_saved_3_lut[19]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_18 "PSUM2AND1_REGS.psum1_saved_3_lut[18]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_13 "PSUM2AND1_REGS.psum1_saved_3_lut[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_20 "PSUM2AND1_REGS.psum1_saved_3_lut[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_16 "PSUM2AND1_REGS.psum1_saved_3_lut[16]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) @@ -22082,7 +22064,10 @@ (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_15 "PSUM2AND1_REGS.psum1_saved_3_lut[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_10 "PSUM2AND1_REGS.psum1_saved_3_lut[10]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_13 "PSUM2AND1_REGS.psum1_saved_3_lut[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_9 "PSUM2AND1_REGS.psum1_saved_3_lut[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_11 "PSUM2AND1_REGS.psum1_saved_3_lut[11]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) @@ -22091,33 +22076,33 @@ (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_12 "PSUM2AND1_REGS.psum1_saved_3_lut[12]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_9 "PSUM2AND1_REGS.psum1_saved_3_lut[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h60")) - ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_8 "PSUM2AND1_REGS.psum1_saved_3_lut[8]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h60")) - ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_6 "PSUM2AND1_REGS.psum1_saved_3_lut[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_10 "PSUM2AND1_REGS.psum1_saved_3_lut[10]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_5 "PSUM2AND1_REGS.psum1_saved_3_lut[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_6 "PSUM2AND1_REGS.psum1_saved_3_lut[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_7 "PSUM2AND1_REGS.psum1_saved_3_lut[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_8 "PSUM2AND1_REGS.psum1_saved_3_lut[8]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_2 "PSUM2AND1_REGS.psum1_saved_3_lut[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_1 "PSUM2AND1_REGS.psum1_saved_3_lut[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_3 "PSUM2AND1_REGS.psum1_saved_3_lut[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_4 "PSUM2AND1_REGS.psum1_saved_3_lut[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_1 "PSUM2AND1_REGS.psum1_saved_3_lut[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h60")) - ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_2 "PSUM2AND1_REGS.psum1_saved_3_lut[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h60")) - ) (instance (rename A1_product_signedAdd_0_42_0 "A1_product_signedAdd_0[42:0]") (viewRef PRIM (cellRef DSP48E2 (libraryRef VIRTEXR))) (property ADREG (integer 0)) (property BMULTSEL (string "B")) @@ -22313,6 +22298,9 @@ (instance (rename PSUM2AND1_REGS_psum1_saved_3_53 "PSUM2AND1_REGS.psum1_saved_3[53]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) (property INIT (string "4'h8")) ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_52 "PSUM2AND1_REGS.psum1_saved_3[52]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_51 "PSUM2AND1_REGS.psum1_saved_3[51]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) (property INIT (string "4'h8")) ) @@ -22322,9 +22310,21 @@ (instance (rename PSUM2AND1_REGS_psum1_saved_3_49 "PSUM2AND1_REGS.psum1_saved_3[49]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) (property INIT (string "4'h8")) ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_48 "PSUM2AND1_REGS.psum1_saved_3[48]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_47 "PSUM2AND1_REGS.psum1_saved_3[47]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) (property INIT (string "4'h8")) ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_46 "PSUM2AND1_REGS.psum1_saved_3[46]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_45 "PSUM2AND1_REGS.psum1_saved_3[45]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_44 "PSUM2AND1_REGS.psum1_saved_3[44]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_0 "PSUM2AND1_REGS.psum1_saved_3[0]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) (property INIT (string "4'h8")) ) @@ -22346,9 +22346,21 @@ (instance un1_psum0_signed_cry_35_RNI0B4M (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'h80000000")) ) + (instance psum1_signed_s_44_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_45_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_46_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) (instance psum1_signed_s_47_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) (property INIT (string "2'h2")) ) + (instance psum1_signed_s_48_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) (instance psum1_signed_s_49_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) (property INIT (string "2'h2")) ) @@ -22358,6 +22370,9 @@ (instance psum1_signed_s_51_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) (property INIT (string "2'h2")) ) + (instance psum1_signed_s_52_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) (instance psum1_signed_s_53_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) (property INIT (string "2'h2")) ) @@ -22659,6 +22674,9 @@ (instance psum1_signed_s_53 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) (property INIT (string "4'h6")) ) + (instance psum1_signed_s_52 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) (instance psum1_signed_s_51 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) (property INIT (string "4'h6")) ) @@ -22668,9 +22686,21 @@ (instance psum1_signed_s_49 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) (property INIT (string "4'h6")) ) + (instance psum1_signed_s_48 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) (instance psum1_signed_s_47 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) (property INIT (string "4'h6")) ) + (instance psum1_signed_s_46 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_45 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_44 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) (instance un1_psum0_signed_axb_69 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) ) @@ -23205,16 +23235,15 @@ ) (instance GND (viewRef PRIM (cellRef GND (libraryRef UNILIB))) ) (instance VCC (viewRef PRIM (cellRef VCC (libraryRef UNILIB))) ) - (net (rename A1_product_signed_52 "A1_product_signed(52)") (joined - (portRef (member P 38) (instanceRef A1_product_signedAdd_2_24_0)) - (portRef (member DI 3) (instanceRef psum1_signed_cry_55)) - (portRef I0 (instanceRef psum1_signed_cry_52_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_52)) + (net (rename A1_product_signed_42 "A1_product_signed(42)") (joined + (portRef (member P 31) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_43)) + (portRef I0 (instanceRef psum1_signed_cry_42_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_42)) )) - (net (rename psum1_signed_cryZ0Z_51 "psum1_signed_cry_51") (joined - (portRef (member CO 0) (instanceRef psum1_signed_cry_51)) - (portRef CI (instanceRef psum1_signed_cry_55)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_52)) + (net psum1_signed_cry_41 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_43)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_42)) )) (net rstn (joined (portRef rstn) @@ -23238,10 +23267,15 @@ (portRef rstn (instanceRef g1_13_AN1)) (portRef I0 (instanceRef PSUM2AND1_REGS_un1_enable)) (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_0)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_44)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_45)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_46)) (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_47)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_48)) (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_49)) (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_50)) (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_51)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_52)) (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_53)) (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_54)) (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_55)) @@ -23259,116 +23293,53 @@ (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_67)) (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_68)) (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_69)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_2)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_1)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_3)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_1)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_2)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_8)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_10)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_12)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_11)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_10)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_13)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_15)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_14)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_16)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_13)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_19)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_17)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_18)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_20)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_18)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_17)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_19)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_24)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_23)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_28)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_27)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_26)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_28)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_25)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_32)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_31)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_30)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_29)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_34)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_30)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_32)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_33)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_35)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_36)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_38)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_37)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_35)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_34)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_39)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_40)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_38)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_37)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_41)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_42)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_43)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_44)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_45)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_46)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_48)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_52)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_42)) )) - (net (rename psum1_saved_3_52 "psum1_saved_3(52)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_52)) - (portRef D (instanceRef psum1_saved_52)) - )) - (net (rename A1_product_signed_48 "A1_product_signed(48)") (joined - (portRef (member P 42) (instanceRef A1_product_signedAdd_2_24_0)) - (portRef (member DI 3) (instanceRef psum1_signed_cry_51)) - (portRef I0 (instanceRef psum1_signed_cry_48_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_48)) - )) - (net (rename psum1_signed_cryZ0Z_47 "psum1_signed_cry_47") (joined - (portRef (member CO 0) (instanceRef psum1_signed_cry_47)) - (portRef CI (instanceRef psum1_signed_cry_51)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_48)) - )) - (net (rename psum1_saved_3_48 "psum1_saved_3(48)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_48)) - (portRef D (instanceRef psum1_saved_48)) - )) - (net (rename A1_product_signed_46 "A1_product_signed(46)") (joined - (portRef (member P 44) (instanceRef A1_product_signedAdd_2_24_0)) - (portRef (member DI 1) (instanceRef psum1_signed_cry_47)) - (portRef I0 (instanceRef psum1_signed_cry_46_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_46)) - )) - (net psum1_signed_cry_45 (joined - (portRef (member CO 2) (instanceRef psum1_signed_cry_47)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_46)) - )) - (net (rename psum1_saved_3_46 "psum1_saved_3(46)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_46)) - (portRef D (instanceRef psum1_saved_46)) - )) - (net (rename A1_product_signed_45 "A1_product_signed(45)") (joined - (portRef (member P 45) (instanceRef A1_product_signedAdd_2_24_0)) - (portRef (member DI 2) (instanceRef psum1_signed_cry_47)) - (portRef I0 (instanceRef psum1_signed_cry_45_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_45)) - )) - (net psum1_signed_cry_44 (joined - (portRef (member CO 3) (instanceRef psum1_signed_cry_47)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_45)) - )) - (net (rename psum1_saved_3_45 "psum1_saved_3(45)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_45)) - (portRef D (instanceRef psum1_saved_45)) - )) - (net (rename A1_product_signed_44 "A1_product_signed(44)") (joined - (portRef (member P 46) (instanceRef A1_product_signedAdd_2_24_0)) - (portRef (member DI 3) (instanceRef psum1_signed_cry_47)) - (portRef I0 (instanceRef psum1_signed_cry_44_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_44)) - )) - (net (rename psum1_signed_cryZ0Z_43 "psum1_signed_cry_43") (joined - (portRef (member CO 0) (instanceRef psum1_signed_cry_43)) - (portRef CI (instanceRef psum1_signed_cry_47)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_44)) - )) - (net (rename psum1_saved_3_44 "psum1_saved_3(44)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_44)) - (portRef D (instanceRef psum1_saved_44)) + (net (rename psum1_saved_3_42 "psum1_saved_3(42)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_42)) + (portRef D (instanceRef psum1_saved_42)) )) (net (rename A1_product_signed_43 "A1_product_signed(43)") (joined (portRef (member P 47) (instanceRef A1_product_signedAdd_2_24_0)) @@ -23384,20 +23355,6 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_43)) (portRef D (instanceRef psum1_saved_43)) )) - (net (rename A1_product_signed_42 "A1_product_signed(42)") (joined - (portRef (member P 31) (instanceRef A1_product_signedAdd_1_34_0)) - (portRef (member DI 1) (instanceRef psum1_signed_cry_43)) - (portRef I0 (instanceRef psum1_signed_cry_42_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_42)) - )) - (net psum1_signed_cry_41 (joined - (portRef (member CO 2) (instanceRef psum1_signed_cry_43)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_42)) - )) - (net (rename psum1_saved_3_42 "psum1_saved_3(42)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_42)) - (portRef D (instanceRef psum1_saved_42)) - )) (net (rename A1_product_signed_41 "A1_product_signed(41)") (joined (portRef (member P 32) (instanceRef A1_product_signedAdd_1_34_0)) (portRef (member DI 2) (instanceRef psum1_signed_cry_43)) @@ -23412,6 +23369,34 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_41)) (portRef D (instanceRef psum1_saved_41)) )) + (net (rename A1_product_signed_37 "A1_product_signed(37)") (joined + (portRef (member P 36) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_39)) + (portRef I0 (instanceRef psum1_signed_cry_37_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_37)) + )) + (net psum1_signed_cry_36 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_39)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_37)) + )) + (net (rename psum1_saved_3_37 "psum1_saved_3(37)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_37)) + (portRef D (instanceRef psum1_saved_37)) + )) + (net (rename A1_product_signed_38 "A1_product_signed(38)") (joined + (portRef (member P 35) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_39)) + (portRef I0 (instanceRef psum1_signed_cry_38_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_38)) + )) + (net psum1_signed_cry_37 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_39)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_38)) + )) + (net (rename psum1_saved_3_38 "psum1_saved_3(38)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_38)) + (portRef D (instanceRef psum1_saved_38)) + )) (net (rename A1_product_signed_40 "A1_product_signed(40)") (joined (portRef (member P 33) (instanceRef A1_product_signedAdd_1_34_0)) (portRef (member DI 3) (instanceRef psum1_signed_cry_43)) @@ -23441,33 +23426,33 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_39)) (portRef D (instanceRef psum1_saved_39)) )) - (net (rename A1_product_signed_37 "A1_product_signed(37)") (joined - (portRef (member P 36) (instanceRef A1_product_signedAdd_1_34_0)) - (portRef (member DI 2) (instanceRef psum1_signed_cry_39)) - (portRef I0 (instanceRef psum1_signed_cry_37_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_37)) + (net (rename A1_product_signed_34 "A1_product_signed(34)") (joined + (portRef (member P 39) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_35)) + (portRef I0 (instanceRef psum1_signed_cry_34_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_34)) )) - (net psum1_signed_cry_36 (joined - (portRef (member CO 3) (instanceRef psum1_signed_cry_39)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_37)) + (net psum1_signed_cry_33 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_35)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_34)) )) - (net (rename psum1_saved_3_37 "psum1_saved_3(37)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_37)) - (portRef D (instanceRef psum1_saved_37)) + (net (rename psum1_saved_3_34 "psum1_saved_3(34)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_34)) + (portRef D (instanceRef psum1_saved_34)) )) - (net (rename A1_product_signed_38 "A1_product_signed(38)") (joined - (portRef (member P 35) (instanceRef A1_product_signedAdd_1_34_0)) - (portRef (member DI 1) (instanceRef psum1_signed_cry_39)) - (portRef I0 (instanceRef psum1_signed_cry_38_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_38)) + (net (rename A1_product_signed_35 "A1_product_signed(35)") (joined + (portRef (member P 38) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_35)) + (portRef I0 (instanceRef psum1_signed_cry_35_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_35)) )) - (net psum1_signed_cry_37 (joined - (portRef (member CO 2) (instanceRef psum1_signed_cry_39)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_38)) + (net psum1_signed_cry_34 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_35)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_35)) )) - (net (rename psum1_saved_3_38 "psum1_saved_3(38)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_38)) - (portRef D (instanceRef psum1_saved_38)) + (net (rename psum1_saved_3_35 "psum1_saved_3(35)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_35)) + (portRef D (instanceRef psum1_saved_35)) )) (net (rename A1_product_signed_36 "A1_product_signed(36)") (joined (portRef (member P 37) (instanceRef A1_product_signedAdd_1_34_0)) @@ -23484,20 +23469,6 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_36)) (portRef D (instanceRef psum1_saved_36)) )) - (net (rename A1_product_signed_35 "A1_product_signed(35)") (joined - (portRef (member P 38) (instanceRef A1_product_signedAdd_1_34_0)) - (portRef (member DI 0) (instanceRef psum1_signed_cry_35)) - (portRef I0 (instanceRef psum1_signed_cry_35_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_35)) - )) - (net psum1_signed_cry_34 (joined - (portRef (member CO 1) (instanceRef psum1_signed_cry_35)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_35)) - )) - (net (rename psum1_saved_3_35 "psum1_saved_3(35)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_35)) - (portRef D (instanceRef psum1_saved_35)) - )) (net (rename A1_product_signed_33 "A1_product_signed(33)") (joined (portRef (member P 40) (instanceRef A1_product_signedAdd_1_34_0)) (portRef (member DI 2) (instanceRef psum1_signed_cry_35)) @@ -23512,62 +23483,6 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_33)) (portRef D (instanceRef psum1_saved_33)) )) - (net (rename A1_product_signed_34 "A1_product_signed(34)") (joined - (portRef (member P 39) (instanceRef A1_product_signedAdd_1_34_0)) - (portRef (member DI 1) (instanceRef psum1_signed_cry_35)) - (portRef I0 (instanceRef psum1_signed_cry_34_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_34)) - )) - (net psum1_signed_cry_33 (joined - (portRef (member CO 2) (instanceRef psum1_signed_cry_35)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_34)) - )) - (net (rename psum1_saved_3_34 "psum1_saved_3(34)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_34)) - (portRef D (instanceRef psum1_saved_34)) - )) - (net (rename A1_product_signed_29 "A1_product_signed(29)") (joined - (portRef (member P 44) (instanceRef A1_product_signedAdd_1_34_0)) - (portRef (member DI 2) (instanceRef psum1_signed_cry_31)) - (portRef I0 (instanceRef psum1_signed_cry_29_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_29)) - )) - (net psum1_signed_cry_28 (joined - (portRef (member CO 3) (instanceRef psum1_signed_cry_31)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_29)) - )) - (net (rename psum1_saved_3_29 "psum1_saved_3(29)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_29)) - (portRef D (instanceRef psum1_saved_29)) - )) - (net (rename A1_product_signed_30 "A1_product_signed(30)") (joined - (portRef (member P 43) (instanceRef A1_product_signedAdd_1_34_0)) - (portRef (member DI 1) (instanceRef psum1_signed_cry_31)) - (portRef I0 (instanceRef psum1_signed_cry_30_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_30)) - )) - (net psum1_signed_cry_29 (joined - (portRef (member CO 2) (instanceRef psum1_signed_cry_31)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_30)) - )) - (net (rename psum1_saved_3_30 "psum1_saved_3(30)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_30)) - (portRef D (instanceRef psum1_saved_30)) - )) - (net (rename A1_product_signed_31 "A1_product_signed(31)") (joined - (portRef (member P 42) (instanceRef A1_product_signedAdd_1_34_0)) - (portRef (member DI 0) (instanceRef psum1_signed_cry_31)) - (portRef I0 (instanceRef psum1_signed_cry_31_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_31)) - )) - (net psum1_signed_cry_30 (joined - (portRef (member CO 1) (instanceRef psum1_signed_cry_31)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_31)) - )) - (net (rename psum1_saved_3_31 "psum1_saved_3(31)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_31)) - (portRef D (instanceRef psum1_saved_31)) - )) (net (rename A1_product_signed_32 "A1_product_signed(32)") (joined (portRef (member P 41) (instanceRef A1_product_signedAdd_1_34_0)) (portRef (member DI 3) (instanceRef psum1_signed_cry_35)) @@ -23583,6 +23498,48 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_32)) (portRef D (instanceRef psum1_saved_32)) )) + (net (rename A1_product_signed_30 "A1_product_signed(30)") (joined + (portRef (member P 43) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_31)) + (portRef I0 (instanceRef psum1_signed_cry_30_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_30)) + )) + (net psum1_signed_cry_29 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_31)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_30)) + )) + (net (rename psum1_saved_3_30 "psum1_saved_3(30)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_30)) + (portRef D (instanceRef psum1_saved_30)) + )) + (net (rename A1_product_signed_29 "A1_product_signed(29)") (joined + (portRef (member P 44) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_31)) + (portRef I0 (instanceRef psum1_signed_cry_29_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_29)) + )) + (net psum1_signed_cry_28 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_31)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_29)) + )) + (net (rename psum1_saved_3_29 "psum1_saved_3(29)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_29)) + (portRef D (instanceRef psum1_saved_29)) + )) + (net (rename A1_product_signed_31 "A1_product_signed(31)") (joined + (portRef (member P 42) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_31)) + (portRef I0 (instanceRef psum1_signed_cry_31_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_31)) + )) + (net psum1_signed_cry_30 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_31)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_31)) + )) + (net (rename psum1_saved_3_31 "psum1_saved_3(31)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_31)) + (portRef D (instanceRef psum1_saved_31)) + )) (net (rename A1_product_signed_25 "A1_product_signed(25)") (joined (portRef (member P 39) (instanceRef A1_product_signedAdd_0_42_0)) (portRef (member DI 2) (instanceRef psum1_signed_cry_27)) @@ -23597,6 +23554,21 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_25)) (portRef D (instanceRef psum1_saved_25)) )) + (net (rename A1_product_signed_28 "A1_product_signed(28)") (joined + (portRef (member P 45) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_31)) + (portRef I0 (instanceRef psum1_signed_cry_28_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_28)) + )) + (net (rename psum1_signed_cryZ0Z_27 "psum1_signed_cry_27") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_27)) + (portRef CI (instanceRef psum1_signed_cry_31)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_28)) + )) + (net (rename psum1_saved_3_28 "psum1_saved_3(28)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_28)) + (portRef D (instanceRef psum1_saved_28)) + )) (net (rename A1_product_signed_26 "A1_product_signed(26)") (joined (portRef (member P 47) (instanceRef A1_product_signedAdd_1_34_0)) (portRef (member DI 1) (instanceRef psum1_signed_cry_27)) @@ -23625,20 +23597,33 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_27)) (portRef D (instanceRef psum1_saved_27)) )) - (net (rename A1_product_signed_28 "A1_product_signed(28)") (joined - (portRef (member P 45) (instanceRef A1_product_signedAdd_1_34_0)) - (portRef (member DI 3) (instanceRef psum1_signed_cry_31)) - (portRef I0 (instanceRef psum1_signed_cry_28_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_28)) + (net (rename A1_product_signed_21 "A1_product_signed(21)") (joined + (portRef (member P 43) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_23)) + (portRef I0 (instanceRef psum1_signed_cry_21_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) )) - (net (rename psum1_signed_cryZ0Z_27 "psum1_signed_cry_27") (joined - (portRef (member CO 0) (instanceRef psum1_signed_cry_27)) - (portRef CI (instanceRef psum1_signed_cry_31)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_28)) + (net psum1_signed_cry_20 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_23)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) )) - (net (rename psum1_saved_3_28 "psum1_saved_3(28)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_28)) - (portRef D (instanceRef psum1_saved_28)) + (net (rename psum1_saved_3_21 "psum1_saved_3(21)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) + (portRef D (instanceRef psum1_saved_21)) + )) + (net (rename A1_product_signed_22 "A1_product_signed(22)") (joined + (portRef (member P 42) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_23)) + (portRef I0 (instanceRef psum1_signed_cry_22_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) + )) + (net psum1_signed_cry_21 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_23)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) + )) + (net (rename psum1_saved_3_22 "psum1_saved_3(22)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) + (portRef D (instanceRef psum1_saved_22)) )) (net (rename A1_product_signed_23 "A1_product_signed(23)") (joined (portRef (member P 41) (instanceRef A1_product_signedAdd_0_42_0)) @@ -23669,62 +23654,19 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_24)) (portRef D (instanceRef psum1_saved_24)) )) - (net (rename A1_product_signed_22 "A1_product_signed(22)") (joined - (portRef (member P 42) (instanceRef A1_product_signedAdd_0_42_0)) - (portRef (member DI 1) (instanceRef psum1_signed_cry_23)) - (portRef I0 (instanceRef psum1_signed_cry_22_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) + (net (rename A1_product_signed_19 "A1_product_signed(19)") (joined + (portRef (member P 45) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_19)) + (portRef I0 (instanceRef psum1_signed_cry_19_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_19)) )) - (net psum1_signed_cry_21 (joined - (portRef (member CO 2) (instanceRef psum1_signed_cry_23)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) + (net psum1_signed_cry_18 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_19)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_19)) )) - (net (rename psum1_saved_3_22 "psum1_saved_3(22)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) - (portRef D (instanceRef psum1_saved_22)) - )) - (net (rename A1_product_signed_21 "A1_product_signed(21)") (joined - (portRef (member P 43) (instanceRef A1_product_signedAdd_0_42_0)) - (portRef (member DI 2) (instanceRef psum1_signed_cry_23)) - (portRef I0 (instanceRef psum1_signed_cry_21_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) - )) - (net psum1_signed_cry_20 (joined - (portRef (member CO 3) (instanceRef psum1_signed_cry_23)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) - )) - (net (rename psum1_saved_3_21 "psum1_saved_3(21)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) - (portRef D (instanceRef psum1_saved_21)) - )) - (net (rename A1_product_signed_20 "A1_product_signed(20)") (joined - (portRef (member P 44) (instanceRef A1_product_signedAdd_0_42_0)) - (portRef (member DI 3) (instanceRef psum1_signed_cry_23)) - (portRef I0 (instanceRef psum1_signed_cry_20_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_20)) - )) - (net (rename psum1_signed_cryZ0Z_19 "psum1_signed_cry_19") (joined - (portRef (member CO 0) (instanceRef psum1_signed_cry_19)) - (portRef CI (instanceRef psum1_signed_cry_23)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_20)) - )) - (net (rename psum1_saved_3_20 "psum1_saved_3(20)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_20)) - (portRef D (instanceRef psum1_saved_20)) - )) - (net (rename A1_product_signed_18 "A1_product_signed(18)") (joined - (portRef (member P 46) (instanceRef A1_product_signedAdd_0_42_0)) - (portRef (member DI 1) (instanceRef psum1_signed_cry_19)) - (portRef I0 (instanceRef psum1_signed_cry_18_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_18)) - )) - (net psum1_signed_cry_17 (joined - (portRef (member CO 2) (instanceRef psum1_signed_cry_19)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_18)) - )) - (net (rename psum1_saved_3_18 "psum1_saved_3(18)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_18)) - (portRef D (instanceRef psum1_saved_18)) + (net (rename psum1_saved_3_19 "psum1_saved_3(19)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_19)) + (portRef D (instanceRef psum1_saved_19)) )) (net (rename A1_product_signed_17 "A1_product_signed(17)") (joined (portRef (member P 47) (instanceRef A1_product_signedAdd_0_42_0)) @@ -23740,33 +23682,34 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_17)) (portRef D (instanceRef psum1_saved_17)) )) - (net (rename A1_product_signed_19 "A1_product_signed(19)") (joined - (portRef (member P 45) (instanceRef A1_product_signedAdd_0_42_0)) - (portRef (member DI 0) (instanceRef psum1_signed_cry_19)) - (portRef I0 (instanceRef psum1_signed_cry_19_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_19)) + (net (rename A1_product_signed_18 "A1_product_signed(18)") (joined + (portRef (member P 46) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_19)) + (portRef I0 (instanceRef psum1_signed_cry_18_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_18)) )) - (net psum1_signed_cry_18 (joined - (portRef (member CO 1) (instanceRef psum1_signed_cry_19)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_19)) + (net psum1_signed_cry_17 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_19)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_18)) )) - (net (rename psum1_saved_3_19 "psum1_saved_3(19)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_19)) - (portRef D (instanceRef psum1_saved_19)) + (net (rename psum1_saved_3_18 "psum1_saved_3(18)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_18)) + (portRef D (instanceRef psum1_saved_18)) )) - (net (rename A1_product_signed_13 "A1_product_signed(13)") (joined - (portRef (member P 34) (instanceRef A1_product_signed_0_44_0)) - (portRef (member DI 2) (instanceRef psum1_signed_cry_15)) - (portRef I0 (instanceRef psum1_signed_cry_13_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_13)) + (net (rename A1_product_signed_20 "A1_product_signed(20)") (joined + (portRef (member P 44) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_23)) + (portRef I0 (instanceRef psum1_signed_cry_20_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_20)) )) - (net psum1_signed_cry_12 (joined - (portRef (member CO 3) (instanceRef psum1_signed_cry_15)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_13)) + (net (rename psum1_signed_cryZ0Z_19 "psum1_signed_cry_19") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_19)) + (portRef CI (instanceRef psum1_signed_cry_23)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_20)) )) - (net (rename psum1_saved_3_13 "psum1_saved_3(13)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_13)) - (portRef D (instanceRef psum1_saved_13)) + (net (rename psum1_saved_3_20 "psum1_saved_3(20)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_20)) + (portRef D (instanceRef psum1_saved_20)) )) (net (rename A1_product_signed_16 "A1_product_signed(16)") (joined (portRef (member P 31) (instanceRef A1_product_signed_0_44_0)) @@ -23811,19 +23754,33 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_15)) (portRef D (instanceRef psum1_saved_15)) )) - (net (rename A1_product_signed_10 "A1_product_signed(10)") (joined - (portRef (member P 37) (instanceRef A1_product_signed_0_44_0)) - (portRef (member DI 1) (instanceRef psum1_signed_cry_11)) - (portRef I0 (instanceRef psum1_signed_cry_10_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_10)) + (net (rename A1_product_signed_13 "A1_product_signed(13)") (joined + (portRef (member P 34) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_15)) + (portRef I0 (instanceRef psum1_signed_cry_13_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_13)) )) - (net psum1_signed_cry_9 (joined - (portRef (member CO 2) (instanceRef psum1_signed_cry_11)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_10)) + (net psum1_signed_cry_12 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_15)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_13)) )) - (net (rename psum1_saved_3_10 "psum1_saved_3(10)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_10)) - (portRef D (instanceRef psum1_saved_10)) + (net (rename psum1_saved_3_13 "psum1_saved_3(13)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_13)) + (portRef D (instanceRef psum1_saved_13)) + )) + (net (rename A1_product_signed_9 "A1_product_signed(9)") (joined + (portRef (member P 38) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_11)) + (portRef I0 (instanceRef psum1_signed_cry_9_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) + )) + (net psum1_signed_cry_8 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_11)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) + )) + (net (rename psum1_saved_3_9 "psum1_saved_3(9)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) + (portRef D (instanceRef psum1_saved_9)) )) (net (rename A1_product_signed_11 "A1_product_signed(11)") (joined (portRef (member P 36) (instanceRef A1_product_signed_0_44_0)) @@ -23854,19 +23811,61 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_12)) (portRef D (instanceRef psum1_saved_12)) )) - (net (rename A1_product_signed_9 "A1_product_signed(9)") (joined - (portRef (member P 38) (instanceRef A1_product_signed_0_44_0)) - (portRef (member DI 2) (instanceRef psum1_signed_cry_11)) - (portRef I0 (instanceRef psum1_signed_cry_9_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) + (net (rename A1_product_signed_10 "A1_product_signed(10)") (joined + (portRef (member P 37) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_11)) + (portRef I0 (instanceRef psum1_signed_cry_10_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_10)) )) - (net psum1_signed_cry_8 (joined - (portRef (member CO 3) (instanceRef psum1_signed_cry_11)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) + (net psum1_signed_cry_9 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_11)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_10)) )) - (net (rename psum1_saved_3_9 "psum1_saved_3(9)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) - (portRef D (instanceRef psum1_saved_9)) + (net (rename psum1_saved_3_10 "psum1_saved_3(10)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_10)) + (portRef D (instanceRef psum1_saved_10)) + )) + (net (rename A1_product_signed_5 "A1_product_signed(5)") (joined + (portRef (member P 42) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_7)) + (portRef I0 (instanceRef psum1_signed_cry_5_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) + )) + (net psum1_signed_cry_4 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_7)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) + )) + (net (rename psum1_saved_3_5 "psum1_saved_3(5)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) + (portRef D (instanceRef psum1_saved_5)) + )) + (net (rename A1_product_signed_6 "A1_product_signed(6)") (joined + (portRef (member P 41) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_7)) + (portRef I0 (instanceRef psum1_signed_cry_6_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) + )) + (net psum1_signed_cry_5 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_7)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) + )) + (net (rename psum1_saved_3_6 "psum1_saved_3(6)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) + (portRef D (instanceRef psum1_saved_6)) + )) + (net (rename A1_product_signed_7 "A1_product_signed(7)") (joined + (portRef (member P 40) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_7)) + (portRef I0 (instanceRef psum1_signed_cry_7_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) + )) + (net psum1_signed_cry_6 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_7)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) + )) + (net (rename psum1_saved_3_7 "psum1_saved_3(7)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) + (portRef D (instanceRef psum1_saved_7)) )) (net (rename A1_product_signed_8 "A1_product_signed(8)") (joined (portRef (member P 39) (instanceRef A1_product_signed_0_44_0)) @@ -23883,47 +23882,33 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_8)) (portRef D (instanceRef psum1_saved_8)) )) - (net (rename A1_product_signed_6 "A1_product_signed(6)") (joined - (portRef (member P 41) (instanceRef A1_product_signed_0_44_0)) - (portRef (member DI 1) (instanceRef psum1_signed_cry_7)) - (portRef I0 (instanceRef psum1_signed_cry_6_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) + (net (rename A1_product_signed_2 "A1_product_signed(2)") (joined + (portRef (member P 45) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_3)) + (portRef I0 (instanceRef psum1_signed_cry_2_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_2)) )) - (net psum1_signed_cry_5 (joined - (portRef (member CO 2) (instanceRef psum1_signed_cry_7)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) + (net psum1_signed_cry_1 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_3)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_2)) )) - (net (rename psum1_saved_3_6 "psum1_saved_3(6)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) - (portRef D (instanceRef psum1_saved_6)) + (net (rename psum1_saved_3_2 "psum1_saved_3(2)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_2)) + (portRef D (instanceRef psum1_saved_2)) )) - (net (rename A1_product_signed_5 "A1_product_signed(5)") (joined - (portRef (member P 42) (instanceRef A1_product_signed_0_44_0)) - (portRef (member DI 2) (instanceRef psum1_signed_cry_7)) - (portRef I0 (instanceRef psum1_signed_cry_5_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) + (net (rename A1_product_signed_1 "A1_product_signed(1)") (joined + (portRef (member P 46) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_3)) + (portRef I0 (instanceRef psum1_signed_cry_1_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_1)) )) - (net psum1_signed_cry_4 (joined - (portRef (member CO 3) (instanceRef psum1_signed_cry_7)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) + (net psum1_signed_cry_0 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_3)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_1)) )) - (net (rename psum1_saved_3_5 "psum1_saved_3(5)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) - (portRef D (instanceRef psum1_saved_5)) - )) - (net (rename A1_product_signed_7 "A1_product_signed(7)") (joined - (portRef (member P 40) (instanceRef A1_product_signed_0_44_0)) - (portRef (member DI 0) (instanceRef psum1_signed_cry_7)) - (portRef I0 (instanceRef psum1_signed_cry_7_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) - )) - (net psum1_signed_cry_6 (joined - (portRef (member CO 1) (instanceRef psum1_signed_cry_7)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) - )) - (net (rename psum1_saved_3_7 "psum1_saved_3(7)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) - (portRef D (instanceRef psum1_saved_7)) + (net (rename psum1_saved_3_1 "psum1_saved_3(1)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_1)) + (portRef D (instanceRef psum1_saved_1)) )) (net (rename A1_product_signed_3 "A1_product_signed(3)") (joined (portRef (member P 44) (instanceRef A1_product_signed_0_44_0)) @@ -23954,34 +23939,6 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) (portRef D (instanceRef psum1_saved_4)) )) - (net (rename A1_product_signed_1 "A1_product_signed(1)") (joined - (portRef (member P 46) (instanceRef A1_product_signed_0_44_0)) - (portRef (member DI 2) (instanceRef psum1_signed_cry_3)) - (portRef I0 (instanceRef psum1_signed_cry_1_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_1)) - )) - (net psum1_signed_cry_0 (joined - (portRef (member CO 3) (instanceRef psum1_signed_cry_3)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_1)) - )) - (net (rename psum1_saved_3_1 "psum1_saved_3(1)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_1)) - (portRef D (instanceRef psum1_saved_1)) - )) - (net (rename A1_product_signed_2 "A1_product_signed(2)") (joined - (portRef (member P 45) (instanceRef A1_product_signed_0_44_0)) - (portRef (member DI 1) (instanceRef psum1_signed_cry_3)) - (portRef I0 (instanceRef psum1_signed_cry_2_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_2)) - )) - (net psum1_signed_cry_1 (joined - (portRef (member CO 2) (instanceRef psum1_signed_cry_3)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_2)) - )) - (net (rename psum1_saved_3_2 "psum1_saved_3(2)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_2)) - (portRef D (instanceRef psum1_saved_2)) - )) (net (rename A1_product_signedAdd_0_9 "A1_product_signedAdd_0(9)") (joined (portRef (member P 38) (instanceRef A1_product_signedAdd_0_42_0)) (portRef (member C 47) (instanceRef A1_product_signedAdd_1_34_0)) @@ -26577,12 +26534,36 @@ (portRef (member PCOUT 0) (instanceRef B0_product_signed_0_33_0)) (portRef (member PCIN 0) (instanceRef B0_product_signedAdd_0_30_0)) )) + (net (rename A1_product_signed_44 "A1_product_signed(44)") (joined + (portRef (member P 46) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_47)) + (portRef I0 (instanceRef psum1_signed_cry_44_thru)) + (portRef I0 (instanceRef psum1_signed_s_44_thru)) + )) + (net (rename A1_product_signed_45 "A1_product_signed(45)") (joined + (portRef (member P 45) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_47)) + (portRef I0 (instanceRef psum1_signed_cry_45_thru)) + (portRef I0 (instanceRef psum1_signed_s_45_thru)) + )) + (net (rename A1_product_signed_46 "A1_product_signed(46)") (joined + (portRef (member P 44) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_47)) + (portRef I0 (instanceRef psum1_signed_cry_46_thru)) + (portRef I0 (instanceRef psum1_signed_s_46_thru)) + )) (net (rename A1_product_signed_47 "A1_product_signed(47)") (joined (portRef (member P 43) (instanceRef A1_product_signedAdd_2_24_0)) (portRef (member DI 0) (instanceRef psum1_signed_cry_47)) (portRef I0 (instanceRef psum1_signed_cry_47_thru)) (portRef I0 (instanceRef psum1_signed_s_47_thru)) )) + (net (rename A1_product_signed_48 "A1_product_signed(48)") (joined + (portRef (member P 42) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_51)) + (portRef I0 (instanceRef psum1_signed_cry_48_thru)) + (portRef I0 (instanceRef psum1_signed_s_48_thru)) + )) (net (rename A1_product_signed_49 "A1_product_signed(49)") (joined (portRef (member P 41) (instanceRef A1_product_signedAdd_2_24_0)) (portRef (member DI 2) (instanceRef psum1_signed_cry_51)) @@ -26601,6 +26582,12 @@ (portRef I0 (instanceRef psum1_signed_cry_51_thru)) (portRef I0 (instanceRef psum1_signed_s_51_thru)) )) + (net (rename A1_product_signed_52 "A1_product_signed(52)") (joined + (portRef (member P 38) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_55)) + (portRef I0 (instanceRef psum1_signed_cry_52_thru)) + (portRef I0 (instanceRef psum1_signed_s_52_thru)) + )) (net (rename A1_product_signed_53 "A1_product_signed(53)") (joined (portRef (member P 37) (instanceRef A1_product_signedAdd_2_24_0)) (portRef (member DI 2) (instanceRef psum1_signed_cry_55)) @@ -27086,6 +27073,14 @@ (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_53)) (portRef D (instanceRef psum1_saved_53)) )) + (net (rename psum1_signed_52 "psum1_signed(52)") (joined + (portRef LO (instanceRef psum1_signed_s_52)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_52)) + )) + (net (rename psum1_saved_3_52 "psum1_saved_3(52)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_52)) + (portRef D (instanceRef psum1_saved_52)) + )) (net (rename psum1_signed_51 "psum1_signed(51)") (joined (portRef LO (instanceRef psum1_signed_s_51)) (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_51)) @@ -27110,6 +27105,14 @@ (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_49)) (portRef D (instanceRef psum1_saved_49)) )) + (net (rename psum1_signed_48 "psum1_signed(48)") (joined + (portRef LO (instanceRef psum1_signed_s_48)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_48)) + )) + (net (rename psum1_saved_3_48 "psum1_saved_3(48)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_48)) + (portRef D (instanceRef psum1_saved_48)) + )) (net (rename psum1_signed_47 "psum1_signed(47)") (joined (portRef LO (instanceRef psum1_signed_s_47)) (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_47)) @@ -27118,12 +27121,36 @@ (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_47)) (portRef D (instanceRef psum1_saved_47)) )) + (net (rename psum1_signed_46 "psum1_signed(46)") (joined + (portRef LO (instanceRef psum1_signed_s_46)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_46)) + )) + (net (rename psum1_saved_3_46 "psum1_saved_3(46)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_46)) + (portRef D (instanceRef psum1_saved_46)) + )) + (net (rename psum1_signed_45 "psum1_signed(45)") (joined + (portRef LO (instanceRef psum1_signed_s_45)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_45)) + )) + (net (rename psum1_saved_3_45 "psum1_saved_3(45)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_45)) + (portRef D (instanceRef psum1_saved_45)) + )) + (net (rename psum1_signed_44 "psum1_signed(44)") (joined + (portRef LO (instanceRef psum1_signed_s_44)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_44)) + )) + (net (rename psum1_saved_3_44 "psum1_saved_3(44)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_44)) + (portRef D (instanceRef psum1_saved_44)) + )) (net (rename psum1_saved_3_0 "psum1_saved_3(0)") (joined (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_0)) (portRef D (instanceRef psum1_saved_0)) )) - (net en_rep_1 (joined - (portRef en_rep_1) + (net en_rep_3 (joined + (portRef en_rep_3) (portRef I1 (instanceRef PSUM2AND1_REGS_un1_enable)) )) (net un1_enable (joined @@ -27322,13 +27349,6 @@ (portRef (member un1_psum0_signed 32) (instanceRef gO_2_gO_2d_INC2)) (portRef I0 (instanceRef un1_psum0_signed_cry_35_RNI0B4M)) )) - (net (rename un1_psum0_signed_35 "un1_psum0_signed(35)") (joined - (portRef (member O 0) (instanceRef un1_psum0_signed_cry_35)) - (portRef (member un1_psum0_signed 35) (instanceRef gO_4_4_MUX12)) - (portRef (member un1_psum0_signed 30) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) - (portRef (member un1_psum0_signed 31) (instanceRef gO_2_gO_2d_INC2)) - (portRef I1 (instanceRef un1_psum0_signed_cry_35_RNI0B4M)) - )) (net (rename un1_psum0_signed_30 "un1_psum0_signed(30)") (joined (portRef (member O 1) (instanceRef un1_psum0_signed_cry_31)) (portRef (member un1_psum0_signed 40) (instanceRef gO_4_0_MUX12)) @@ -27350,6 +27370,13 @@ (portRef (member un1_psum0_signed 40) (instanceRef gO_4_34_MUX12)) (portRef (member un1_psum0_signed 35) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) (portRef (member un1_psum0_signed 40) (instanceRef gO_2_gO_2cN_AN8)) + (portRef I1 (instanceRef un1_psum0_signed_cry_35_RNI0B4M)) + )) + (net (rename un1_psum0_signed_35 "un1_psum0_signed(35)") (joined + (portRef (member O 0) (instanceRef un1_psum0_signed_cry_35)) + (portRef (member un1_psum0_signed 35) (instanceRef gO_4_4_MUX12)) + (portRef (member un1_psum0_signed 30) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 31) (instanceRef gO_2_gO_2d_INC2)) (portRef I2 (instanceRef un1_psum0_signed_cry_35_RNI0B4M)) )) (net (rename un1_psum0_signed_31 "un1_psum0_signed(31)") (joined @@ -27368,10 +27395,26 @@ (portRef (member un1_psum0_signed 8) (instanceRef gO_2_gO_2cN_AN8)) (portRef I4 (instanceRef un1_psum0_signed_cry_35_RNI0B4M)) )) + (net (rename A1_product_signed_2_44 "A1_product_signed_2(44)") (joined + (portRef O (instanceRef psum1_signed_s_44_thru)) + (portRef I0 (instanceRef psum1_signed_s_44)) + )) + (net (rename A1_product_signed_1_45 "A1_product_signed_1(45)") (joined + (portRef O (instanceRef psum1_signed_s_45_thru)) + (portRef I0 (instanceRef psum1_signed_s_45)) + )) + (net (rename A1_product_signed_1_46 "A1_product_signed_1(46)") (joined + (portRef O (instanceRef psum1_signed_s_46_thru)) + (portRef I0 (instanceRef psum1_signed_s_46)) + )) (net (rename A1_product_signed_1_47 "A1_product_signed_1(47)") (joined (portRef O (instanceRef psum1_signed_s_47_thru)) (portRef I0 (instanceRef psum1_signed_s_47)) )) + (net (rename A1_product_signed_1_48 "A1_product_signed_1(48)") (joined + (portRef O (instanceRef psum1_signed_s_48_thru)) + (portRef I0 (instanceRef psum1_signed_s_48)) + )) (net (rename A1_product_signed_1_49 "A1_product_signed_1(49)") (joined (portRef O (instanceRef psum1_signed_s_49_thru)) (portRef I0 (instanceRef psum1_signed_s_49)) @@ -27384,6 +27427,10 @@ (portRef O (instanceRef psum1_signed_s_51_thru)) (portRef I0 (instanceRef psum1_signed_s_51)) )) + (net (rename A1_product_signed_1_52 "A1_product_signed_1(52)") (joined + (portRef O (instanceRef psum1_signed_s_52_thru)) + (portRef I0 (instanceRef psum1_signed_s_52)) + )) (net (rename A1_product_signed_1_53 "A1_product_signed_1(53)") (joined (portRef O (instanceRef psum1_signed_s_53_thru)) (portRef I0 (instanceRef psum1_signed_s_53)) @@ -28339,6 +28386,11 @@ (portRef (member CO 3) (instanceRef psum1_signed_cry_55)) (portRef I1 (instanceRef psum1_signed_s_53)) )) + (net (rename psum1_signed_cryZ0Z_51 "psum1_signed_cry_51") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_51)) + (portRef CI (instanceRef psum1_signed_cry_55)) + (portRef I1 (instanceRef psum1_signed_s_52)) + )) (net psum1_signed_cry_50 (joined (portRef (member CO 1) (instanceRef psum1_signed_cry_51)) (portRef I1 (instanceRef psum1_signed_s_51)) @@ -28351,10 +28403,28 @@ (portRef (member CO 3) (instanceRef psum1_signed_cry_51)) (portRef I1 (instanceRef psum1_signed_s_49)) )) + (net (rename psum1_signed_cryZ0Z_47 "psum1_signed_cry_47") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_47)) + (portRef CI (instanceRef psum1_signed_cry_51)) + (portRef I1 (instanceRef psum1_signed_s_48)) + )) (net psum1_signed_cry_46 (joined (portRef (member CO 1) (instanceRef psum1_signed_cry_47)) (portRef I1 (instanceRef psum1_signed_s_47)) )) + (net psum1_signed_cry_45 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_47)) + (portRef I1 (instanceRef psum1_signed_s_46)) + )) + (net psum1_signed_cry_44 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_47)) + (portRef I1 (instanceRef psum1_signed_s_45)) + )) + (net (rename psum1_signed_cryZ0Z_43 "psum1_signed_cry_43") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_43)) + (portRef CI (instanceRef psum1_signed_cry_47)) + (portRef I1 (instanceRef psum1_signed_s_44)) + )) (net (rename un1_psum0_signed_axbZ0Z_69 "un1_psum0_signed_axb_69") (joined (portRef O (instanceRef un1_psum0_signed_axb_69)) (portRef (member S 2) (instanceRef un1_psum0_signed_s_70)) @@ -29494,25 +29564,22 @@ (port (array (rename a1_re "a1_re(31:0)") 32) (direction INPUT)) (port (array (rename dout_1 "dout_1(35:0)") 36) (direction OUTPUT)) (port rstn (direction INPUT)) - (port en (direction INPUT)) + (port en_rep_0 (direction INPUT)) (port clk (direction INPUT)) (port rstn_i (direction INPUT)) (port saturation_1 (direction OUTPUT)) ) (contents - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_44 "PSUM2AND1_REGS.psum1_saved_3_lut[44]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_42 "PSUM2AND1_REGS.psum1_saved_3_lut[42]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_43 "PSUM2AND1_REGS.psum1_saved_3_lut[43]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_42 "PSUM2AND1_REGS.psum1_saved_3_lut[42]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h60")) - ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_41 "PSUM2AND1_REGS.psum1_saved_3_lut[41]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_39 "PSUM2AND1_REGS.psum1_saved_3_lut[39]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_40 "PSUM2AND1_REGS.psum1_saved_3_lut[40]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_37 "PSUM2AND1_REGS.psum1_saved_3_lut[37]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) @@ -29521,31 +29588,31 @@ (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_38 "PSUM2AND1_REGS.psum1_saved_3_lut[38]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_40 "PSUM2AND1_REGS.psum1_saved_3_lut[40]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h60")) - ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_36 "PSUM2AND1_REGS.psum1_saved_3_lut[36]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_39 "PSUM2AND1_REGS.psum1_saved_3_lut[39]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_35 "PSUM2AND1_REGS.psum1_saved_3_lut[35]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_36 "PSUM2AND1_REGS.psum1_saved_3_lut[36]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_34 "PSUM2AND1_REGS.psum1_saved_3_lut[34]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_33 "PSUM2AND1_REGS.psum1_saved_3_lut[33]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_32 "PSUM2AND1_REGS.psum1_saved_3_lut[32]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_30 "PSUM2AND1_REGS.psum1_saved_3_lut[30]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_31 "PSUM2AND1_REGS.psum1_saved_3_lut[31]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_29 "PSUM2AND1_REGS.psum1_saved_3_lut[29]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_30 "PSUM2AND1_REGS.psum1_saved_3_lut[30]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h60")) - ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_31 "PSUM2AND1_REGS.psum1_saved_3_lut[31]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_32 "PSUM2AND1_REGS.psum1_saved_3_lut[32]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_28 "PSUM2AND1_REGS.psum1_saved_3_lut[28]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) @@ -29560,73 +29627,73 @@ (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_26 "PSUM2AND1_REGS.psum1_saved_3_lut[26]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_23 "PSUM2AND1_REGS.psum1_saved_3_lut[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h60")) - ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_24 "PSUM2AND1_REGS.psum1_saved_3_lut[24]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_21 "PSUM2AND1_REGS.psum1_saved_3_lut[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_22 "PSUM2AND1_REGS.psum1_saved_3_lut[22]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_21 "PSUM2AND1_REGS.psum1_saved_3_lut[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_24 "PSUM2AND1_REGS.psum1_saved_3_lut[24]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_20 "PSUM2AND1_REGS.psum1_saved_3_lut[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_23 "PSUM2AND1_REGS.psum1_saved_3_lut[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_17 "PSUM2AND1_REGS.psum1_saved_3_lut[17]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_19 "PSUM2AND1_REGS.psum1_saved_3_lut[19]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h60")) - ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_18 "PSUM2AND1_REGS.psum1_saved_3_lut[18]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_13 "PSUM2AND1_REGS.psum1_saved_3_lut[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_19 "PSUM2AND1_REGS.psum1_saved_3_lut[19]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_20 "PSUM2AND1_REGS.psum1_saved_3_lut[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_14 "PSUM2AND1_REGS.psum1_saved_3_lut[14]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_15 "PSUM2AND1_REGS.psum1_saved_3_lut[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_13 "PSUM2AND1_REGS.psum1_saved_3_lut[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_16 "PSUM2AND1_REGS.psum1_saved_3_lut[16]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_15 "PSUM2AND1_REGS.psum1_saved_3_lut[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_11 "PSUM2AND1_REGS.psum1_saved_3_lut[11]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_9 "PSUM2AND1_REGS.psum1_saved_3_lut[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_10 "PSUM2AND1_REGS.psum1_saved_3_lut[10]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_12 "PSUM2AND1_REGS.psum1_saved_3_lut[12]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_9 "PSUM2AND1_REGS.psum1_saved_3_lut[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h60")) - ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_11 "PSUM2AND1_REGS.psum1_saved_3_lut[11]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h60")) - ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_8 "PSUM2AND1_REGS.psum1_saved_3_lut[8]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h60")) - ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_7 "PSUM2AND1_REGS.psum1_saved_3_lut[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_5 "PSUM2AND1_REGS.psum1_saved_3_lut[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h60")) - ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_6 "PSUM2AND1_REGS.psum1_saved_3_lut[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_3 "PSUM2AND1_REGS.psum1_saved_3_lut[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_5 "PSUM2AND1_REGS.psum1_saved_3_lut[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_8 "PSUM2AND1_REGS.psum1_saved_3_lut[8]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_4 "PSUM2AND1_REGS.psum1_saved_3_lut[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_2 "PSUM2AND1_REGS.psum1_saved_3_lut[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_4 "PSUM2AND1_REGS.psum1_saved_3_lut[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_3 "PSUM2AND1_REGS.psum1_saved_3_lut[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_1 "PSUM2AND1_REGS.psum1_saved_3_lut[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) @@ -29851,6 +29918,9 @@ (instance (rename PSUM2AND1_REGS_psum1_saved_3_45 "PSUM2AND1_REGS.psum1_saved_3[45]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) (property INIT (string "4'h8")) ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_44 "PSUM2AND1_REGS.psum1_saved_3[44]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_0 "PSUM2AND1_REGS.psum1_saved_3[0]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) (property INIT (string "4'h8")) ) @@ -29866,6 +29936,9 @@ (instance un1_psum0_signed_cry_59_RNIPU9K (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'h8000")) ) + (instance psum1_signed_s_44_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) (instance psum1_signed_s_45_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) (property INIT (string "2'h2")) ) @@ -30215,6 +30288,9 @@ (instance psum1_signed_s_45 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) (property INIT (string "4'h6")) ) + (instance psum1_signed_s_44 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) (instance un1_psum0_signed_axb_69 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) ) @@ -30720,16 +30796,15 @@ ) (instance GND (viewRef PRIM (cellRef GND (libraryRef UNILIB))) ) (instance VCC (viewRef PRIM (cellRef VCC (libraryRef UNILIB))) ) - (net (rename A1_product_signed_44 "A1_product_signed(44)") (joined - (portRef (member P 46) (instanceRef A1_product_signedAdd_2_24_0)) - (portRef (member DI 3) (instanceRef psum1_signed_cry_47)) - (portRef I0 (instanceRef psum1_signed_cry_44_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_44)) + (net (rename A1_product_signed_42 "A1_product_signed(42)") (joined + (portRef (member P 31) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_43)) + (portRef I0 (instanceRef psum1_signed_cry_42_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_42)) )) - (net (rename psum1_signed_cryZ0Z_43 "psum1_signed_cry_43") (joined - (portRef (member CO 0) (instanceRef psum1_signed_cry_43)) - (portRef CI (instanceRef psum1_signed_cry_47)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_44)) + (net psum1_signed_cry_41 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_43)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_42)) )) (net rstn (joined (portRef rstn) @@ -30737,6 +30812,7 @@ (portRef rstn (instanceRef gO_2_gO_2cN_AN8)) (portRef I0 (instanceRef PSUM2AND1_REGS_un1_enable)) (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_0)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_44)) (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_45)) (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_46)) (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_47)) @@ -30763,53 +30839,52 @@ (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_68)) (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_69)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_1)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_2)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_3)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_2)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_8)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_11)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_12)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_10)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_16)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_11)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_15)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_14)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_16)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_13)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_18)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_19)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_17)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_14)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_20)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_24)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_19)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_18)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_17)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_23)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_24)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_26)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_25)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_27)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_28)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_32)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_29)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_31)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_30)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_29)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_32)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_33)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_34)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_35)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_36)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_40)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_35)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_39)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_38)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_37)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_39)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_40)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_41)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_42)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_43)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_44)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_42)) )) - (net (rename psum1_saved_3_44 "psum1_saved_3(44)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_44)) - (portRef D (instanceRef psum1_saved_44)) + (net (rename psum1_saved_3_42 "psum1_saved_3(42)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_42)) + (portRef D (instanceRef psum1_saved_42)) )) (net (rename A1_product_signed_43 "A1_product_signed(43)") (joined (portRef (member P 47) (instanceRef A1_product_signedAdd_2_24_0)) @@ -30825,20 +30900,6 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_43)) (portRef D (instanceRef psum1_saved_43)) )) - (net (rename A1_product_signed_42 "A1_product_signed(42)") (joined - (portRef (member P 31) (instanceRef A1_product_signedAdd_1_34_0)) - (portRef (member DI 1) (instanceRef psum1_signed_cry_43)) - (portRef I0 (instanceRef psum1_signed_cry_42_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_42)) - )) - (net psum1_signed_cry_41 (joined - (portRef (member CO 2) (instanceRef psum1_signed_cry_43)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_42)) - )) - (net (rename psum1_saved_3_42 "psum1_saved_3(42)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_42)) - (portRef D (instanceRef psum1_saved_42)) - )) (net (rename A1_product_signed_41 "A1_product_signed(41)") (joined (portRef (member P 32) (instanceRef A1_product_signedAdd_1_34_0)) (portRef (member DI 2) (instanceRef psum1_signed_cry_43)) @@ -30853,19 +30914,20 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_41)) (portRef D (instanceRef psum1_saved_41)) )) - (net (rename A1_product_signed_39 "A1_product_signed(39)") (joined - (portRef (member P 34) (instanceRef A1_product_signedAdd_1_34_0)) - (portRef (member DI 0) (instanceRef psum1_signed_cry_39)) - (portRef I0 (instanceRef psum1_signed_cry_39_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_39)) + (net (rename A1_product_signed_40 "A1_product_signed(40)") (joined + (portRef (member P 33) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_43)) + (portRef I0 (instanceRef psum1_signed_cry_40_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_40)) )) - (net psum1_signed_cry_38 (joined - (portRef (member CO 1) (instanceRef psum1_signed_cry_39)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_39)) + (net (rename psum1_signed_cryZ0Z_39 "psum1_signed_cry_39") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_39)) + (portRef CI (instanceRef psum1_signed_cry_43)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_40)) )) - (net (rename psum1_saved_3_39 "psum1_saved_3(39)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_39)) - (portRef D (instanceRef psum1_saved_39)) + (net (rename psum1_saved_3_40 "psum1_saved_3(40)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_40)) + (portRef D (instanceRef psum1_saved_40)) )) (net (rename A1_product_signed_37 "A1_product_signed(37)") (joined (portRef (member P 36) (instanceRef A1_product_signedAdd_1_34_0)) @@ -30895,20 +30957,33 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_38)) (portRef D (instanceRef psum1_saved_38)) )) - (net (rename A1_product_signed_40 "A1_product_signed(40)") (joined - (portRef (member P 33) (instanceRef A1_product_signedAdd_1_34_0)) - (portRef (member DI 3) (instanceRef psum1_signed_cry_43)) - (portRef I0 (instanceRef psum1_signed_cry_40_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_40)) + (net (rename A1_product_signed_39 "A1_product_signed(39)") (joined + (portRef (member P 34) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_39)) + (portRef I0 (instanceRef psum1_signed_cry_39_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_39)) )) - (net (rename psum1_signed_cryZ0Z_39 "psum1_signed_cry_39") (joined - (portRef (member CO 0) (instanceRef psum1_signed_cry_39)) - (portRef CI (instanceRef psum1_signed_cry_43)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_40)) + (net psum1_signed_cry_38 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_39)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_39)) )) - (net (rename psum1_saved_3_40 "psum1_saved_3(40)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_40)) - (portRef D (instanceRef psum1_saved_40)) + (net (rename psum1_saved_3_39 "psum1_saved_3(39)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_39)) + (portRef D (instanceRef psum1_saved_39)) + )) + (net (rename A1_product_signed_35 "A1_product_signed(35)") (joined + (portRef (member P 38) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_35)) + (portRef I0 (instanceRef psum1_signed_cry_35_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_35)) + )) + (net psum1_signed_cry_34 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_35)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_35)) + )) + (net (rename psum1_saved_3_35 "psum1_saved_3(35)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_35)) + (portRef D (instanceRef psum1_saved_35)) )) (net (rename A1_product_signed_36 "A1_product_signed(36)") (joined (portRef (member P 37) (instanceRef A1_product_signedAdd_1_34_0)) @@ -30925,20 +31000,6 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_36)) (portRef D (instanceRef psum1_saved_36)) )) - (net (rename A1_product_signed_35 "A1_product_signed(35)") (joined - (portRef (member P 38) (instanceRef A1_product_signedAdd_1_34_0)) - (portRef (member DI 0) (instanceRef psum1_signed_cry_35)) - (portRef I0 (instanceRef psum1_signed_cry_35_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_35)) - )) - (net psum1_signed_cry_34 (joined - (portRef (member CO 1) (instanceRef psum1_signed_cry_35)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_35)) - )) - (net (rename psum1_saved_3_35 "psum1_saved_3(35)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_35)) - (portRef D (instanceRef psum1_saved_35)) - )) (net (rename A1_product_signed_34 "A1_product_signed(34)") (joined (portRef (member P 39) (instanceRef A1_product_signedAdd_1_34_0)) (portRef (member DI 1) (instanceRef psum1_signed_cry_35)) @@ -30967,35 +31028,6 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_33)) (portRef D (instanceRef psum1_saved_33)) )) - (net (rename A1_product_signed_32 "A1_product_signed(32)") (joined - (portRef (member P 41) (instanceRef A1_product_signedAdd_1_34_0)) - (portRef (member DI 3) (instanceRef psum1_signed_cry_35)) - (portRef I0 (instanceRef psum1_signed_cry_32_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_32)) - )) - (net (rename psum1_signed_cryZ0Z_31 "psum1_signed_cry_31") (joined - (portRef (member CO 0) (instanceRef psum1_signed_cry_31)) - (portRef CI (instanceRef psum1_signed_cry_35)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_32)) - )) - (net (rename psum1_saved_3_32 "psum1_saved_3(32)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_32)) - (portRef D (instanceRef psum1_saved_32)) - )) - (net (rename A1_product_signed_29 "A1_product_signed(29)") (joined - (portRef (member P 44) (instanceRef A1_product_signedAdd_1_34_0)) - (portRef (member DI 2) (instanceRef psum1_signed_cry_31)) - (portRef I0 (instanceRef psum1_signed_cry_29_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_29)) - )) - (net psum1_signed_cry_28 (joined - (portRef (member CO 3) (instanceRef psum1_signed_cry_31)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_29)) - )) - (net (rename psum1_saved_3_29 "psum1_saved_3(29)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_29)) - (portRef D (instanceRef psum1_saved_29)) - )) (net (rename A1_product_signed_30 "A1_product_signed(30)") (joined (portRef (member P 43) (instanceRef A1_product_signedAdd_1_34_0)) (portRef (member DI 1) (instanceRef psum1_signed_cry_31)) @@ -31024,6 +31056,35 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_31)) (portRef D (instanceRef psum1_saved_31)) )) + (net (rename A1_product_signed_29 "A1_product_signed(29)") (joined + (portRef (member P 44) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_31)) + (portRef I0 (instanceRef psum1_signed_cry_29_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_29)) + )) + (net psum1_signed_cry_28 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_31)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_29)) + )) + (net (rename psum1_saved_3_29 "psum1_saved_3(29)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_29)) + (portRef D (instanceRef psum1_saved_29)) + )) + (net (rename A1_product_signed_32 "A1_product_signed(32)") (joined + (portRef (member P 41) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_35)) + (portRef I0 (instanceRef psum1_signed_cry_32_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_32)) + )) + (net (rename psum1_signed_cryZ0Z_31 "psum1_signed_cry_31") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_31)) + (portRef CI (instanceRef psum1_signed_cry_35)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_32)) + )) + (net (rename psum1_saved_3_32 "psum1_saved_3(32)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_32)) + (portRef D (instanceRef psum1_saved_32)) + )) (net (rename A1_product_signed_28 "A1_product_signed(28)") (joined (portRef (member P 45) (instanceRef A1_product_signedAdd_1_34_0)) (portRef (member DI 3) (instanceRef psum1_signed_cry_31)) @@ -31081,19 +31142,33 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_26)) (portRef D (instanceRef psum1_saved_26)) )) - (net (rename A1_product_signed_23 "A1_product_signed(23)") (joined - (portRef (member P 41) (instanceRef A1_product_signedAdd_0_42_0)) - (portRef (member DI 0) (instanceRef psum1_signed_cry_23)) - (portRef I0 (instanceRef psum1_signed_cry_23_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_23)) + (net (rename A1_product_signed_21 "A1_product_signed(21)") (joined + (portRef (member P 43) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_23)) + (portRef I0 (instanceRef psum1_signed_cry_21_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) )) - (net psum1_signed_cry_22 (joined - (portRef (member CO 1) (instanceRef psum1_signed_cry_23)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_23)) + (net psum1_signed_cry_20 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_23)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) )) - (net (rename psum1_saved_3_23 "psum1_saved_3(23)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_23)) - (portRef D (instanceRef psum1_saved_23)) + (net (rename psum1_saved_3_21 "psum1_saved_3(21)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) + (portRef D (instanceRef psum1_saved_21)) + )) + (net (rename A1_product_signed_22 "A1_product_signed(22)") (joined + (portRef (member P 42) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_23)) + (portRef I0 (instanceRef psum1_signed_cry_22_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) + )) + (net psum1_signed_cry_21 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_23)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) + )) + (net (rename psum1_saved_3_22 "psum1_saved_3(22)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) + (portRef D (instanceRef psum1_saved_22)) )) (net (rename A1_product_signed_24 "A1_product_signed(24)") (joined (portRef (member P 40) (instanceRef A1_product_signedAdd_0_42_0)) @@ -31110,33 +31185,61 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_24)) (portRef D (instanceRef psum1_saved_24)) )) - (net (rename A1_product_signed_22 "A1_product_signed(22)") (joined - (portRef (member P 42) (instanceRef A1_product_signedAdd_0_42_0)) - (portRef (member DI 1) (instanceRef psum1_signed_cry_23)) - (portRef I0 (instanceRef psum1_signed_cry_22_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) + (net (rename A1_product_signed_23 "A1_product_signed(23)") (joined + (portRef (member P 41) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_23)) + (portRef I0 (instanceRef psum1_signed_cry_23_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_23)) )) - (net psum1_signed_cry_21 (joined - (portRef (member CO 2) (instanceRef psum1_signed_cry_23)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) + (net psum1_signed_cry_22 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_23)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_23)) )) - (net (rename psum1_saved_3_22 "psum1_saved_3(22)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) - (portRef D (instanceRef psum1_saved_22)) + (net (rename psum1_saved_3_23 "psum1_saved_3(23)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_23)) + (portRef D (instanceRef psum1_saved_23)) )) - (net (rename A1_product_signed_21 "A1_product_signed(21)") (joined - (portRef (member P 43) (instanceRef A1_product_signedAdd_0_42_0)) - (portRef (member DI 2) (instanceRef psum1_signed_cry_23)) - (portRef I0 (instanceRef psum1_signed_cry_21_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) + (net (rename A1_product_signed_17 "A1_product_signed(17)") (joined + (portRef (member P 47) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_19)) + (portRef I0 (instanceRef psum1_signed_cry_17_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_17)) )) - (net psum1_signed_cry_20 (joined - (portRef (member CO 3) (instanceRef psum1_signed_cry_23)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) + (net psum1_signed_cry_16 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_19)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_17)) )) - (net (rename psum1_saved_3_21 "psum1_saved_3(21)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) - (portRef D (instanceRef psum1_saved_21)) + (net (rename psum1_saved_3_17 "psum1_saved_3(17)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_17)) + (portRef D (instanceRef psum1_saved_17)) + )) + (net (rename A1_product_signed_18 "A1_product_signed(18)") (joined + (portRef (member P 46) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_19)) + (portRef I0 (instanceRef psum1_signed_cry_18_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_18)) + )) + (net psum1_signed_cry_17 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_19)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_18)) + )) + (net (rename psum1_saved_3_18 "psum1_saved_3(18)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_18)) + (portRef D (instanceRef psum1_saved_18)) + )) + (net (rename A1_product_signed_19 "A1_product_signed(19)") (joined + (portRef (member P 45) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_19)) + (portRef I0 (instanceRef psum1_signed_cry_19_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_19)) + )) + (net psum1_signed_cry_18 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_19)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_19)) + )) + (net (rename psum1_saved_3_19 "psum1_saved_3(19)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_19)) + (portRef D (instanceRef psum1_saved_19)) )) (net (rename A1_product_signed_20 "A1_product_signed(20)") (joined (portRef (member P 44) (instanceRef A1_product_signedAdd_0_42_0)) @@ -31153,47 +31256,19 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_20)) (portRef D (instanceRef psum1_saved_20)) )) - (net (rename A1_product_signed_17 "A1_product_signed(17)") (joined - (portRef (member P 47) (instanceRef A1_product_signedAdd_0_42_0)) - (portRef (member DI 2) (instanceRef psum1_signed_cry_19)) - (portRef I0 (instanceRef psum1_signed_cry_17_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_17)) + (net (rename A1_product_signed_14 "A1_product_signed(14)") (joined + (portRef (member P 33) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_15)) + (portRef I0 (instanceRef psum1_signed_cry_14_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_14)) )) - (net psum1_signed_cry_16 (joined - (portRef (member CO 3) (instanceRef psum1_signed_cry_19)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_17)) + (net psum1_signed_cry_13 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_15)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_14)) )) - (net (rename psum1_saved_3_17 "psum1_saved_3(17)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_17)) - (portRef D (instanceRef psum1_saved_17)) - )) - (net (rename A1_product_signed_19 "A1_product_signed(19)") (joined - (portRef (member P 45) (instanceRef A1_product_signedAdd_0_42_0)) - (portRef (member DI 0) (instanceRef psum1_signed_cry_19)) - (portRef I0 (instanceRef psum1_signed_cry_19_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_19)) - )) - (net psum1_signed_cry_18 (joined - (portRef (member CO 1) (instanceRef psum1_signed_cry_19)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_19)) - )) - (net (rename psum1_saved_3_19 "psum1_saved_3(19)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_19)) - (portRef D (instanceRef psum1_saved_19)) - )) - (net (rename A1_product_signed_18 "A1_product_signed(18)") (joined - (portRef (member P 46) (instanceRef A1_product_signedAdd_0_42_0)) - (portRef (member DI 1) (instanceRef psum1_signed_cry_19)) - (portRef I0 (instanceRef psum1_signed_cry_18_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_18)) - )) - (net psum1_signed_cry_17 (joined - (portRef (member CO 2) (instanceRef psum1_signed_cry_19)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_18)) - )) - (net (rename psum1_saved_3_18 "psum1_saved_3(18)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_18)) - (portRef D (instanceRef psum1_saved_18)) + (net (rename psum1_saved_3_14 "psum1_saved_3(14)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_14)) + (portRef D (instanceRef psum1_saved_14)) )) (net (rename A1_product_signed_13 "A1_product_signed(13)") (joined (portRef (member P 34) (instanceRef A1_product_signed_0_44_0)) @@ -31209,19 +31284,20 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_13)) (portRef D (instanceRef psum1_saved_13)) )) - (net (rename A1_product_signed_14 "A1_product_signed(14)") (joined - (portRef (member P 33) (instanceRef A1_product_signed_0_44_0)) - (portRef (member DI 1) (instanceRef psum1_signed_cry_15)) - (portRef I0 (instanceRef psum1_signed_cry_14_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_14)) + (net (rename A1_product_signed_16 "A1_product_signed(16)") (joined + (portRef (member P 31) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_19)) + (portRef I0 (instanceRef psum1_signed_cry_16_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_16)) )) - (net psum1_signed_cry_13 (joined - (portRef (member CO 2) (instanceRef psum1_signed_cry_15)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_14)) + (net (rename psum1_signed_cryZ0Z_15 "psum1_signed_cry_15") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_15)) + (portRef CI (instanceRef psum1_signed_cry_19)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_16)) )) - (net (rename psum1_saved_3_14 "psum1_saved_3(14)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_14)) - (portRef D (instanceRef psum1_saved_14)) + (net (rename psum1_saved_3_16 "psum1_saved_3(16)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_16)) + (portRef D (instanceRef psum1_saved_16)) )) (net (rename A1_product_signed_15 "A1_product_signed(15)") (joined (portRef (member P 32) (instanceRef A1_product_signed_0_44_0)) @@ -31237,20 +31313,33 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_15)) (portRef D (instanceRef psum1_saved_15)) )) - (net (rename A1_product_signed_16 "A1_product_signed(16)") (joined - (portRef (member P 31) (instanceRef A1_product_signed_0_44_0)) - (portRef (member DI 3) (instanceRef psum1_signed_cry_19)) - (portRef I0 (instanceRef psum1_signed_cry_16_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_16)) + (net (rename A1_product_signed_11 "A1_product_signed(11)") (joined + (portRef (member P 36) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_11)) + (portRef I0 (instanceRef psum1_signed_cry_11_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_11)) )) - (net (rename psum1_signed_cryZ0Z_15 "psum1_signed_cry_15") (joined - (portRef (member CO 0) (instanceRef psum1_signed_cry_15)) - (portRef CI (instanceRef psum1_signed_cry_19)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_16)) + (net psum1_signed_cry_10 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_11)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_11)) )) - (net (rename psum1_saved_3_16 "psum1_saved_3(16)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_16)) - (portRef D (instanceRef psum1_saved_16)) + (net (rename psum1_saved_3_11 "psum1_saved_3(11)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_11)) + (portRef D (instanceRef psum1_saved_11)) + )) + (net (rename A1_product_signed_9 "A1_product_signed(9)") (joined + (portRef (member P 38) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_11)) + (portRef I0 (instanceRef psum1_signed_cry_9_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) + )) + (net psum1_signed_cry_8 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_11)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) + )) + (net (rename psum1_saved_3_9 "psum1_saved_3(9)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) + (portRef D (instanceRef psum1_saved_9)) )) (net (rename A1_product_signed_10 "A1_product_signed(10)") (joined (portRef (member P 37) (instanceRef A1_product_signed_0_44_0)) @@ -31281,33 +31370,47 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_12)) (portRef D (instanceRef psum1_saved_12)) )) - (net (rename A1_product_signed_9 "A1_product_signed(9)") (joined - (portRef (member P 38) (instanceRef A1_product_signed_0_44_0)) - (portRef (member DI 2) (instanceRef psum1_signed_cry_11)) - (portRef I0 (instanceRef psum1_signed_cry_9_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) + (net (rename A1_product_signed_7 "A1_product_signed(7)") (joined + (portRef (member P 40) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_7)) + (portRef I0 (instanceRef psum1_signed_cry_7_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) )) - (net psum1_signed_cry_8 (joined - (portRef (member CO 3) (instanceRef psum1_signed_cry_11)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) + (net psum1_signed_cry_6 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_7)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) )) - (net (rename psum1_saved_3_9 "psum1_saved_3(9)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) - (portRef D (instanceRef psum1_saved_9)) + (net (rename psum1_saved_3_7 "psum1_saved_3(7)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) + (portRef D (instanceRef psum1_saved_7)) )) - (net (rename A1_product_signed_11 "A1_product_signed(11)") (joined - (portRef (member P 36) (instanceRef A1_product_signed_0_44_0)) - (portRef (member DI 0) (instanceRef psum1_signed_cry_11)) - (portRef I0 (instanceRef psum1_signed_cry_11_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_11)) + (net (rename A1_product_signed_6 "A1_product_signed(6)") (joined + (portRef (member P 41) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_7)) + (portRef I0 (instanceRef psum1_signed_cry_6_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) )) - (net psum1_signed_cry_10 (joined - (portRef (member CO 1) (instanceRef psum1_signed_cry_11)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_11)) + (net psum1_signed_cry_5 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_7)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) )) - (net (rename psum1_saved_3_11 "psum1_saved_3(11)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_11)) - (portRef D (instanceRef psum1_saved_11)) + (net (rename psum1_saved_3_6 "psum1_saved_3(6)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) + (portRef D (instanceRef psum1_saved_6)) + )) + (net (rename A1_product_signed_5 "A1_product_signed(5)") (joined + (portRef (member P 42) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_7)) + (portRef I0 (instanceRef psum1_signed_cry_5_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) + )) + (net psum1_signed_cry_4 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_7)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) + )) + (net (rename psum1_saved_3_5 "psum1_saved_3(5)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) + (portRef D (instanceRef psum1_saved_5)) )) (net (rename A1_product_signed_8 "A1_product_signed(8)") (joined (portRef (member P 39) (instanceRef A1_product_signed_0_44_0)) @@ -31324,61 +31427,20 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_8)) (portRef D (instanceRef psum1_saved_8)) )) - (net (rename A1_product_signed_7 "A1_product_signed(7)") (joined - (portRef (member P 40) (instanceRef A1_product_signed_0_44_0)) - (portRef (member DI 0) (instanceRef psum1_signed_cry_7)) - (portRef I0 (instanceRef psum1_signed_cry_7_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) + (net (rename A1_product_signed_4 "A1_product_signed(4)") (joined + (portRef (member P 43) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_7)) + (portRef I0 (instanceRef psum1_signed_cry_4_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) )) - (net psum1_signed_cry_6 (joined - (portRef (member CO 1) (instanceRef psum1_signed_cry_7)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) + (net (rename psum1_signed_cryZ0Z_3 "psum1_signed_cry_3") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_3)) + (portRef CI (instanceRef psum1_signed_cry_7)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) )) - (net (rename psum1_saved_3_7 "psum1_saved_3(7)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) - (portRef D (instanceRef psum1_saved_7)) - )) - (net (rename A1_product_signed_5 "A1_product_signed(5)") (joined - (portRef (member P 42) (instanceRef A1_product_signed_0_44_0)) - (portRef (member DI 2) (instanceRef psum1_signed_cry_7)) - (portRef I0 (instanceRef psum1_signed_cry_5_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) - )) - (net psum1_signed_cry_4 (joined - (portRef (member CO 3) (instanceRef psum1_signed_cry_7)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) - )) - (net (rename psum1_saved_3_5 "psum1_saved_3(5)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) - (portRef D (instanceRef psum1_saved_5)) - )) - (net (rename A1_product_signed_6 "A1_product_signed(6)") (joined - (portRef (member P 41) (instanceRef A1_product_signed_0_44_0)) - (portRef (member DI 1) (instanceRef psum1_signed_cry_7)) - (portRef I0 (instanceRef psum1_signed_cry_6_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) - )) - (net psum1_signed_cry_5 (joined - (portRef (member CO 2) (instanceRef psum1_signed_cry_7)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) - )) - (net (rename psum1_saved_3_6 "psum1_saved_3(6)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) - (portRef D (instanceRef psum1_saved_6)) - )) - (net (rename A1_product_signed_3 "A1_product_signed(3)") (joined - (portRef (member P 44) (instanceRef A1_product_signed_0_44_0)) - (portRef (member DI 0) (instanceRef psum1_signed_cry_3)) - (portRef I0 (instanceRef psum1_signed_cry_3_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_3)) - )) - (net psum1_signed_cry_2 (joined - (portRef (member CO 1) (instanceRef psum1_signed_cry_3)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_3)) - )) - (net (rename psum1_saved_3_3 "psum1_saved_3(3)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_3)) - (portRef D (instanceRef psum1_saved_3)) + (net (rename psum1_saved_3_4 "psum1_saved_3(4)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) + (portRef D (instanceRef psum1_saved_4)) )) (net (rename A1_product_signed_2 "A1_product_signed(2)") (joined (portRef (member P 45) (instanceRef A1_product_signed_0_44_0)) @@ -31394,20 +31456,19 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_2)) (portRef D (instanceRef psum1_saved_2)) )) - (net (rename A1_product_signed_4 "A1_product_signed(4)") (joined - (portRef (member P 43) (instanceRef A1_product_signed_0_44_0)) - (portRef (member DI 3) (instanceRef psum1_signed_cry_7)) - (portRef I0 (instanceRef psum1_signed_cry_4_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) + (net (rename A1_product_signed_3 "A1_product_signed(3)") (joined + (portRef (member P 44) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_3)) + (portRef I0 (instanceRef psum1_signed_cry_3_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_3)) )) - (net (rename psum1_signed_cryZ0Z_3 "psum1_signed_cry_3") (joined - (portRef (member CO 0) (instanceRef psum1_signed_cry_3)) - (portRef CI (instanceRef psum1_signed_cry_7)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) + (net psum1_signed_cry_2 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_3)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_3)) )) - (net (rename psum1_saved_3_4 "psum1_saved_3(4)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) - (portRef D (instanceRef psum1_saved_4)) + (net (rename psum1_saved_3_3 "psum1_saved_3(3)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_3)) + (portRef D (instanceRef psum1_saved_3)) )) (net (rename A1_product_signed_1 "A1_product_signed(1)") (joined (portRef (member P 46) (instanceRef A1_product_signed_0_44_0)) @@ -34002,6 +34063,12 @@ (portRef (member PCOUT 0) (instanceRef B0_product_signed_0_33_0)) (portRef (member PCIN 0) (instanceRef B0_product_signedAdd_0_30_0)) )) + (net (rename A1_product_signed_44 "A1_product_signed(44)") (joined + (portRef (member P 46) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_47)) + (portRef I0 (instanceRef psum1_signed_cry_44_thru)) + (portRef I0 (instanceRef psum1_signed_s_44_thru)) + )) (net (rename A1_product_signed_45 "A1_product_signed(45)") (joined (portRef (member P 45) (instanceRef A1_product_signedAdd_2_24_0)) (portRef (member DI 2) (instanceRef psum1_signed_cry_47)) @@ -34600,12 +34667,20 @@ (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_45)) (portRef D (instanceRef psum1_saved_45)) )) + (net (rename psum1_signed_44 "psum1_signed(44)") (joined + (portRef LO (instanceRef psum1_signed_s_44)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_44)) + )) + (net (rename psum1_saved_3_44 "psum1_saved_3(44)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_44)) + (portRef D (instanceRef psum1_saved_44)) + )) (net (rename psum1_saved_3_0 "psum1_saved_3(0)") (joined (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_0)) (portRef D (instanceRef psum1_saved_0)) )) - (net en (joined - (portRef en) + (net en_rep_0 (joined + (portRef en_rep_0) (portRef I1 (instanceRef PSUM2AND1_REGS_un1_enable)) )) (net un1_enable (joined @@ -34798,6 +34873,10 @@ (portRef O (instanceRef un1_psum0_signed_cry_59_RNIPU9K)) (portRef g0_11_1 (instanceRef OR25)) )) + (net (rename A1_product_signed_2_44 "A1_product_signed_2(44)") (joined + (portRef O (instanceRef psum1_signed_s_44_thru)) + (portRef I0 (instanceRef psum1_signed_s_44)) + )) (net (rename A1_product_signed_1_45 "A1_product_signed_1(45)") (joined (portRef O (instanceRef psum1_signed_s_45_thru)) (portRef I0 (instanceRef psum1_signed_s_45)) @@ -35825,6 +35904,11 @@ (portRef (member CO 3) (instanceRef psum1_signed_cry_47)) (portRef I1 (instanceRef psum1_signed_s_45)) )) + (net (rename psum1_signed_cryZ0Z_43 "psum1_signed_cry_43") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_43)) + (portRef CI (instanceRef psum1_signed_cry_47)) + (portRef I1 (instanceRef psum1_signed_s_44)) + )) (net (rename un1_psum0_signed_axbZ0Z_69 "un1_psum0_signed_axb_69") (joined (portRef O (instanceRef un1_psum0_signed_axb_69)) (portRef (member S 2) (instanceRef un1_psum0_signed_s_70)) @@ -36938,22 +37022,22 @@ (port (array (rename a2_re "a2_re(31:0)") 32) (direction INPUT)) (port (array (rename dout_2 "dout_2(35:0)") 36) (direction OUTPUT)) (port rstn (direction INPUT)) - (port en (direction INPUT)) + (port en_rep_1 (direction INPUT)) (port clk (direction INPUT)) (port rstn_i (direction INPUT)) (port saturation_2 (direction OUTPUT)) ) (contents - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_42 "PSUM2AND1_REGS.psum1_saved_3_lut[42]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_43 "PSUM2AND1_REGS.psum1_saved_3_lut[43]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_43 "PSUM2AND1_REGS.psum1_saved_3_lut[43]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_44 "PSUM2AND1_REGS.psum1_saved_3_lut[44]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_41 "PSUM2AND1_REGS.psum1_saved_3_lut[41]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_38 "PSUM2AND1_REGS.psum1_saved_3_lut[38]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_42 "PSUM2AND1_REGS.psum1_saved_3_lut[42]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_37 "PSUM2AND1_REGS.psum1_saved_3_lut[37]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) @@ -36962,49 +37046,46 @@ (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_40 "PSUM2AND1_REGS.psum1_saved_3_lut[40]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_38 "PSUM2AND1_REGS.psum1_saved_3_lut[38]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_39 "PSUM2AND1_REGS.psum1_saved_3_lut[39]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_33 "PSUM2AND1_REGS.psum1_saved_3_lut[33]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h60")) - ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_34 "PSUM2AND1_REGS.psum1_saved_3_lut[34]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h60")) - ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_36 "PSUM2AND1_REGS.psum1_saved_3_lut[36]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_35 "PSUM2AND1_REGS.psum1_saved_3_lut[35]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_32 "PSUM2AND1_REGS.psum1_saved_3_lut[32]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_34 "PSUM2AND1_REGS.psum1_saved_3_lut[34]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_33 "PSUM2AND1_REGS.psum1_saved_3_lut[33]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_31 "PSUM2AND1_REGS.psum1_saved_3_lut[31]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_29 "PSUM2AND1_REGS.psum1_saved_3_lut[29]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_32 "PSUM2AND1_REGS.psum1_saved_3_lut[32]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_30 "PSUM2AND1_REGS.psum1_saved_3_lut[30]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_27 "PSUM2AND1_REGS.psum1_saved_3_lut[27]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_29 "PSUM2AND1_REGS.psum1_saved_3_lut[29]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_26 "PSUM2AND1_REGS.psum1_saved_3_lut[26]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_25 "PSUM2AND1_REGS.psum1_saved_3_lut[25]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_27 "PSUM2AND1_REGS.psum1_saved_3_lut[27]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_28 "PSUM2AND1_REGS.psum1_saved_3_lut[28]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_22 "PSUM2AND1_REGS.psum1_saved_3_lut[22]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h60")) - ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_21 "PSUM2AND1_REGS.psum1_saved_3_lut[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_25 "PSUM2AND1_REGS.psum1_saved_3_lut[25]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_24 "PSUM2AND1_REGS.psum1_saved_3_lut[24]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) @@ -37013,6 +37094,12 @@ (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_23 "PSUM2AND1_REGS.psum1_saved_3_lut[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_21 "PSUM2AND1_REGS.psum1_saved_3_lut[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_22 "PSUM2AND1_REGS.psum1_saved_3_lut[22]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_20 "PSUM2AND1_REGS.psum1_saved_3_lut[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) @@ -37025,28 +37112,28 @@ (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_17 "PSUM2AND1_REGS.psum1_saved_3_lut[17]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_16 "PSUM2AND1_REGS.psum1_saved_3_lut[16]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h60")) - ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_14 "PSUM2AND1_REGS.psum1_saved_3_lut[14]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_13 "PSUM2AND1_REGS.psum1_saved_3_lut[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h60")) - ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_15 "PSUM2AND1_REGS.psum1_saved_3_lut[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_9 "PSUM2AND1_REGS.psum1_saved_3_lut[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_13 "PSUM2AND1_REGS.psum1_saved_3_lut[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_16 "PSUM2AND1_REGS.psum1_saved_3_lut[16]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_11 "PSUM2AND1_REGS.psum1_saved_3_lut[11]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_10 "PSUM2AND1_REGS.psum1_saved_3_lut[10]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_12 "PSUM2AND1_REGS.psum1_saved_3_lut[12]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_10 "PSUM2AND1_REGS.psum1_saved_3_lut[10]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_9 "PSUM2AND1_REGS.psum1_saved_3_lut[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_8 "PSUM2AND1_REGS.psum1_saved_3_lut[8]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) @@ -37055,10 +37142,13 @@ (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_7 "PSUM2AND1_REGS.psum1_saved_3_lut[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_5 "PSUM2AND1_REGS.psum1_saved_3_lut[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_6 "PSUM2AND1_REGS.psum1_saved_3_lut[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_5 "PSUM2AND1_REGS.psum1_saved_3_lut[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_4 "PSUM2AND1_REGS.psum1_saved_3_lut[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_1 "PSUM2AND1_REGS.psum1_saved_3_lut[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) @@ -37070,9 +37160,6 @@ (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_3 "PSUM2AND1_REGS.psum1_saved_3_lut[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_4 "PSUM2AND1_REGS.psum1_saved_3_lut[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h60")) - ) (instance (rename A1_product_signedAdd_0_42_0 "A1_product_signedAdd_0[42:0]") (viewRef PRIM (cellRef DSP48E2 (libraryRef VIRTEXR))) (property ADREG (integer 0)) (property BMULTSEL (string "B")) @@ -37292,9 +37379,6 @@ (instance (rename PSUM2AND1_REGS_psum1_saved_3_45 "PSUM2AND1_REGS.psum1_saved_3[45]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) (property INIT (string "4'h8")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_44 "PSUM2AND1_REGS.psum1_saved_3[44]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) - (property INIT (string "4'h8")) - ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_0 "PSUM2AND1_REGS.psum1_saved_3[0]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) (property INIT (string "4'h8")) ) @@ -37310,9 +37394,6 @@ (instance un1_psum0_signed_cry_59_RNITUSM (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'h8000")) ) - (instance psum1_signed_s_44_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) (instance psum1_signed_s_45_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) (property INIT (string "2'h2")) ) @@ -37662,9 +37743,6 @@ (instance psum1_signed_s_45 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) (property INIT (string "4'h6")) ) - (instance psum1_signed_s_44 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) - (property INIT (string "4'h6")) - ) (instance un1_psum0_signed_axb_69 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) ) @@ -38170,15 +38248,15 @@ ) (instance GND (viewRef PRIM (cellRef GND (libraryRef UNILIB))) ) (instance VCC (viewRef PRIM (cellRef VCC (libraryRef UNILIB))) ) - (net (rename A1_product_signed_42 "A1_product_signed(42)") (joined - (portRef (member P 31) (instanceRef A1_product_signedAdd_1_34_0)) - (portRef (member DI 1) (instanceRef psum1_signed_cry_43)) - (portRef I0 (instanceRef psum1_signed_cry_42_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_42)) + (net (rename A1_product_signed_43 "A1_product_signed(43)") (joined + (portRef (member P 47) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_43)) + (portRef I0 (instanceRef psum1_signed_cry_43_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_43)) )) - (net psum1_signed_cry_41 (joined - (portRef (member CO 2) (instanceRef psum1_signed_cry_43)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_42)) + (net psum1_signed_cry_42 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_43)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_43)) )) (net rstn (joined (portRef rstn) @@ -38186,7 +38264,6 @@ (portRef rstn (instanceRef gO_2_gO_2cN_AN8)) (portRef I0 (instanceRef PSUM2AND1_REGS_un1_enable)) (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_0)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_44)) (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_45)) (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_46)) (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_47)) @@ -38212,68 +38289,70 @@ (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_67)) (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_68)) (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_69)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_3)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_2)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_1)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_8)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_10)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_12)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_11)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_15)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_13)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_14)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_12)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_10)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_11)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_16)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_13)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_15)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_14)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_17)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_18)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_19)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_20)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_23)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_24)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_28)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_25)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_26)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_28)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_27)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_30)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_26)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_29)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_31)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_30)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_32)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_31)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_33)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_34)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_35)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_36)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_34)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_33)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_39)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_38)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_40)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_37)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_38)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_41)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_43)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_42)) - )) - (net (rename psum1_saved_3_42 "psum1_saved_3(42)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_42)) - (portRef D (instanceRef psum1_saved_42)) - )) - (net (rename A1_product_signed_43 "A1_product_signed(43)") (joined - (portRef (member P 47) (instanceRef A1_product_signedAdd_2_24_0)) - (portRef (member DI 0) (instanceRef psum1_signed_cry_43)) - (portRef I0 (instanceRef psum1_signed_cry_43_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_43)) - )) - (net psum1_signed_cry_42 (joined - (portRef (member CO 1) (instanceRef psum1_signed_cry_43)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_43)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_41)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_44)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_43)) )) (net (rename psum1_saved_3_43 "psum1_saved_3(43)") (joined (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_43)) (portRef D (instanceRef psum1_saved_43)) )) + (net (rename A1_product_signed_44 "A1_product_signed(44)") (joined + (portRef (member P 46) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_47)) + (portRef I0 (instanceRef psum1_signed_cry_44_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_44)) + )) + (net (rename psum1_signed_cryZ0Z_43 "psum1_signed_cry_43") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_43)) + (portRef CI (instanceRef psum1_signed_cry_47)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_44)) + )) + (net (rename psum1_saved_3_44 "psum1_saved_3(44)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_44)) + (portRef D (instanceRef psum1_saved_44)) + )) (net (rename A1_product_signed_41 "A1_product_signed(41)") (joined (portRef (member P 32) (instanceRef A1_product_signedAdd_1_34_0)) (portRef (member DI 2) (instanceRef psum1_signed_cry_43)) @@ -38288,19 +38367,19 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_41)) (portRef D (instanceRef psum1_saved_41)) )) - (net (rename A1_product_signed_38 "A1_product_signed(38)") (joined - (portRef (member P 35) (instanceRef A1_product_signedAdd_1_34_0)) - (portRef (member DI 1) (instanceRef psum1_signed_cry_39)) - (portRef I0 (instanceRef psum1_signed_cry_38_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_38)) + (net (rename A1_product_signed_42 "A1_product_signed(42)") (joined + (portRef (member P 31) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_43)) + (portRef I0 (instanceRef psum1_signed_cry_42_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_42)) )) - (net psum1_signed_cry_37 (joined - (portRef (member CO 2) (instanceRef psum1_signed_cry_39)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_38)) + (net psum1_signed_cry_41 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_43)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_42)) )) - (net (rename psum1_saved_3_38 "psum1_saved_3(38)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_38)) - (portRef D (instanceRef psum1_saved_38)) + (net (rename psum1_saved_3_42 "psum1_saved_3(42)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_42)) + (portRef D (instanceRef psum1_saved_42)) )) (net (rename A1_product_signed_37 "A1_product_signed(37)") (joined (portRef (member P 36) (instanceRef A1_product_signedAdd_1_34_0)) @@ -38331,6 +38410,20 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_40)) (portRef D (instanceRef psum1_saved_40)) )) + (net (rename A1_product_signed_38 "A1_product_signed(38)") (joined + (portRef (member P 35) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_39)) + (portRef I0 (instanceRef psum1_signed_cry_38_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_38)) + )) + (net psum1_signed_cry_37 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_39)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_38)) + )) + (net (rename psum1_saved_3_38 "psum1_saved_3(38)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_38)) + (portRef D (instanceRef psum1_saved_38)) + )) (net (rename A1_product_signed_39 "A1_product_signed(39)") (joined (portRef (member P 34) (instanceRef A1_product_signedAdd_1_34_0)) (portRef (member DI 0) (instanceRef psum1_signed_cry_39)) @@ -38345,34 +38438,6 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_39)) (portRef D (instanceRef psum1_saved_39)) )) - (net (rename A1_product_signed_33 "A1_product_signed(33)") (joined - (portRef (member P 40) (instanceRef A1_product_signedAdd_1_34_0)) - (portRef (member DI 2) (instanceRef psum1_signed_cry_35)) - (portRef I0 (instanceRef psum1_signed_cry_33_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_33)) - )) - (net psum1_signed_cry_32 (joined - (portRef (member CO 3) (instanceRef psum1_signed_cry_35)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_33)) - )) - (net (rename psum1_saved_3_33 "psum1_saved_3(33)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_33)) - (portRef D (instanceRef psum1_saved_33)) - )) - (net (rename A1_product_signed_34 "A1_product_signed(34)") (joined - (portRef (member P 39) (instanceRef A1_product_signedAdd_1_34_0)) - (portRef (member DI 1) (instanceRef psum1_signed_cry_35)) - (portRef I0 (instanceRef psum1_signed_cry_34_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_34)) - )) - (net psum1_signed_cry_33 (joined - (portRef (member CO 2) (instanceRef psum1_signed_cry_35)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_34)) - )) - (net (rename psum1_saved_3_34 "psum1_saved_3(34)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_34)) - (portRef D (instanceRef psum1_saved_34)) - )) (net (rename A1_product_signed_36 "A1_product_signed(36)") (joined (portRef (member P 37) (instanceRef A1_product_signedAdd_1_34_0)) (portRef (member DI 3) (instanceRef psum1_signed_cry_39)) @@ -38402,20 +38467,33 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_35)) (portRef D (instanceRef psum1_saved_35)) )) - (net (rename A1_product_signed_32 "A1_product_signed(32)") (joined - (portRef (member P 41) (instanceRef A1_product_signedAdd_1_34_0)) - (portRef (member DI 3) (instanceRef psum1_signed_cry_35)) - (portRef I0 (instanceRef psum1_signed_cry_32_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_32)) + (net (rename A1_product_signed_34 "A1_product_signed(34)") (joined + (portRef (member P 39) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_35)) + (portRef I0 (instanceRef psum1_signed_cry_34_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_34)) )) - (net (rename psum1_signed_cryZ0Z_31 "psum1_signed_cry_31") (joined - (portRef (member CO 0) (instanceRef psum1_signed_cry_31)) - (portRef CI (instanceRef psum1_signed_cry_35)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_32)) + (net psum1_signed_cry_33 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_35)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_34)) )) - (net (rename psum1_saved_3_32 "psum1_saved_3(32)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_32)) - (portRef D (instanceRef psum1_saved_32)) + (net (rename psum1_saved_3_34 "psum1_saved_3(34)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_34)) + (portRef D (instanceRef psum1_saved_34)) + )) + (net (rename A1_product_signed_33 "A1_product_signed(33)") (joined + (portRef (member P 40) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_35)) + (portRef I0 (instanceRef psum1_signed_cry_33_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_33)) + )) + (net psum1_signed_cry_32 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_35)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_33)) + )) + (net (rename psum1_saved_3_33 "psum1_saved_3(33)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_33)) + (portRef D (instanceRef psum1_saved_33)) )) (net (rename A1_product_signed_31 "A1_product_signed(31)") (joined (portRef (member P 42) (instanceRef A1_product_signedAdd_1_34_0)) @@ -38431,19 +38509,20 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_31)) (portRef D (instanceRef psum1_saved_31)) )) - (net (rename A1_product_signed_29 "A1_product_signed(29)") (joined - (portRef (member P 44) (instanceRef A1_product_signedAdd_1_34_0)) - (portRef (member DI 2) (instanceRef psum1_signed_cry_31)) - (portRef I0 (instanceRef psum1_signed_cry_29_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_29)) + (net (rename A1_product_signed_32 "A1_product_signed(32)") (joined + (portRef (member P 41) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_35)) + (portRef I0 (instanceRef psum1_signed_cry_32_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_32)) )) - (net psum1_signed_cry_28 (joined - (portRef (member CO 3) (instanceRef psum1_signed_cry_31)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_29)) + (net (rename psum1_signed_cryZ0Z_31 "psum1_signed_cry_31") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_31)) + (portRef CI (instanceRef psum1_signed_cry_35)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_32)) )) - (net (rename psum1_saved_3_29 "psum1_saved_3(29)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_29)) - (portRef D (instanceRef psum1_saved_29)) + (net (rename psum1_saved_3_32 "psum1_saved_3(32)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_32)) + (portRef D (instanceRef psum1_saved_32)) )) (net (rename A1_product_signed_30 "A1_product_signed(30)") (joined (portRef (member P 43) (instanceRef A1_product_signedAdd_1_34_0)) @@ -38459,19 +38538,19 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_30)) (portRef D (instanceRef psum1_saved_30)) )) - (net (rename A1_product_signed_27 "A1_product_signed(27)") (joined - (portRef (member P 46) (instanceRef A1_product_signedAdd_1_34_0)) - (portRef (member DI 0) (instanceRef psum1_signed_cry_27)) - (portRef I0 (instanceRef psum1_signed_cry_27_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_27)) + (net (rename A1_product_signed_29 "A1_product_signed(29)") (joined + (portRef (member P 44) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_31)) + (portRef I0 (instanceRef psum1_signed_cry_29_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_29)) )) - (net psum1_signed_cry_26 (joined - (portRef (member CO 1) (instanceRef psum1_signed_cry_27)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_27)) + (net psum1_signed_cry_28 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_31)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_29)) )) - (net (rename psum1_saved_3_27 "psum1_saved_3(27)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_27)) - (portRef D (instanceRef psum1_saved_27)) + (net (rename psum1_saved_3_29 "psum1_saved_3(29)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_29)) + (portRef D (instanceRef psum1_saved_29)) )) (net (rename A1_product_signed_26 "A1_product_signed(26)") (joined (portRef (member P 47) (instanceRef A1_product_signedAdd_1_34_0)) @@ -38487,19 +38566,19 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_26)) (portRef D (instanceRef psum1_saved_26)) )) - (net (rename A1_product_signed_25 "A1_product_signed(25)") (joined - (portRef (member P 39) (instanceRef A1_product_signedAdd_0_42_0)) - (portRef (member DI 2) (instanceRef psum1_signed_cry_27)) - (portRef I0 (instanceRef psum1_signed_cry_25_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_25)) + (net (rename A1_product_signed_27 "A1_product_signed(27)") (joined + (portRef (member P 46) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_27)) + (portRef I0 (instanceRef psum1_signed_cry_27_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_27)) )) - (net psum1_signed_cry_24 (joined - (portRef (member CO 3) (instanceRef psum1_signed_cry_27)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_25)) + (net psum1_signed_cry_26 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_27)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_27)) )) - (net (rename psum1_saved_3_25 "psum1_saved_3(25)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_25)) - (portRef D (instanceRef psum1_saved_25)) + (net (rename psum1_saved_3_27 "psum1_saved_3(27)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_27)) + (portRef D (instanceRef psum1_saved_27)) )) (net (rename A1_product_signed_28 "A1_product_signed(28)") (joined (portRef (member P 45) (instanceRef A1_product_signedAdd_1_34_0)) @@ -38516,33 +38595,19 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_28)) (portRef D (instanceRef psum1_saved_28)) )) - (net (rename A1_product_signed_22 "A1_product_signed(22)") (joined - (portRef (member P 42) (instanceRef A1_product_signedAdd_0_42_0)) - (portRef (member DI 1) (instanceRef psum1_signed_cry_23)) - (portRef I0 (instanceRef psum1_signed_cry_22_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) + (net (rename A1_product_signed_25 "A1_product_signed(25)") (joined + (portRef (member P 39) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_27)) + (portRef I0 (instanceRef psum1_signed_cry_25_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_25)) )) - (net psum1_signed_cry_21 (joined - (portRef (member CO 2) (instanceRef psum1_signed_cry_23)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) + (net psum1_signed_cry_24 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_27)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_25)) )) - (net (rename psum1_saved_3_22 "psum1_saved_3(22)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) - (portRef D (instanceRef psum1_saved_22)) - )) - (net (rename A1_product_signed_21 "A1_product_signed(21)") (joined - (portRef (member P 43) (instanceRef A1_product_signedAdd_0_42_0)) - (portRef (member DI 2) (instanceRef psum1_signed_cry_23)) - (portRef I0 (instanceRef psum1_signed_cry_21_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) - )) - (net psum1_signed_cry_20 (joined - (portRef (member CO 3) (instanceRef psum1_signed_cry_23)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) - )) - (net (rename psum1_saved_3_21 "psum1_saved_3(21)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) - (portRef D (instanceRef psum1_saved_21)) + (net (rename psum1_saved_3_25 "psum1_saved_3(25)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_25)) + (portRef D (instanceRef psum1_saved_25)) )) (net (rename A1_product_signed_24 "A1_product_signed(24)") (joined (portRef (member P 40) (instanceRef A1_product_signedAdd_0_42_0)) @@ -38573,6 +38638,34 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_23)) (portRef D (instanceRef psum1_saved_23)) )) + (net (rename A1_product_signed_21 "A1_product_signed(21)") (joined + (portRef (member P 43) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_23)) + (portRef I0 (instanceRef psum1_signed_cry_21_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) + )) + (net psum1_signed_cry_20 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_23)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) + )) + (net (rename psum1_saved_3_21 "psum1_saved_3(21)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) + (portRef D (instanceRef psum1_saved_21)) + )) + (net (rename A1_product_signed_22 "A1_product_signed(22)") (joined + (portRef (member P 42) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_23)) + (portRef I0 (instanceRef psum1_signed_cry_22_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) + )) + (net psum1_signed_cry_21 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_23)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) + )) + (net (rename psum1_saved_3_22 "psum1_saved_3(22)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) + (portRef D (instanceRef psum1_saved_22)) + )) (net (rename A1_product_signed_20 "A1_product_signed(20)") (joined (portRef (member P 44) (instanceRef A1_product_signedAdd_0_42_0)) (portRef (member DI 3) (instanceRef psum1_signed_cry_23)) @@ -38630,21 +38723,6 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_17)) (portRef D (instanceRef psum1_saved_17)) )) - (net (rename A1_product_signed_16 "A1_product_signed(16)") (joined - (portRef (member P 31) (instanceRef A1_product_signed_0_44_0)) - (portRef (member DI 3) (instanceRef psum1_signed_cry_19)) - (portRef I0 (instanceRef psum1_signed_cry_16_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_16)) - )) - (net (rename psum1_signed_cryZ0Z_15 "psum1_signed_cry_15") (joined - (portRef (member CO 0) (instanceRef psum1_signed_cry_15)) - (portRef CI (instanceRef psum1_signed_cry_19)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_16)) - )) - (net (rename psum1_saved_3_16 "psum1_saved_3(16)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_16)) - (portRef D (instanceRef psum1_saved_16)) - )) (net (rename A1_product_signed_14 "A1_product_signed(14)") (joined (portRef (member P 33) (instanceRef A1_product_signed_0_44_0)) (portRef (member DI 1) (instanceRef psum1_signed_cry_15)) @@ -38659,20 +38737,6 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_14)) (portRef D (instanceRef psum1_saved_14)) )) - (net (rename A1_product_signed_13 "A1_product_signed(13)") (joined - (portRef (member P 34) (instanceRef A1_product_signed_0_44_0)) - (portRef (member DI 2) (instanceRef psum1_signed_cry_15)) - (portRef I0 (instanceRef psum1_signed_cry_13_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_13)) - )) - (net psum1_signed_cry_12 (joined - (portRef (member CO 3) (instanceRef psum1_signed_cry_15)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_13)) - )) - (net (rename psum1_saved_3_13 "psum1_saved_3(13)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_13)) - (portRef D (instanceRef psum1_saved_13)) - )) (net (rename A1_product_signed_15 "A1_product_signed(15)") (joined (portRef (member P 32) (instanceRef A1_product_signed_0_44_0)) (portRef (member DI 0) (instanceRef psum1_signed_cry_15)) @@ -38687,19 +38751,34 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_15)) (portRef D (instanceRef psum1_saved_15)) )) - (net (rename A1_product_signed_9 "A1_product_signed(9)") (joined - (portRef (member P 38) (instanceRef A1_product_signed_0_44_0)) - (portRef (member DI 2) (instanceRef psum1_signed_cry_11)) - (portRef I0 (instanceRef psum1_signed_cry_9_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) + (net (rename A1_product_signed_13 "A1_product_signed(13)") (joined + (portRef (member P 34) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_15)) + (portRef I0 (instanceRef psum1_signed_cry_13_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_13)) )) - (net psum1_signed_cry_8 (joined - (portRef (member CO 3) (instanceRef psum1_signed_cry_11)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) + (net psum1_signed_cry_12 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_15)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_13)) )) - (net (rename psum1_saved_3_9 "psum1_saved_3(9)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) - (portRef D (instanceRef psum1_saved_9)) + (net (rename psum1_saved_3_13 "psum1_saved_3(13)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_13)) + (portRef D (instanceRef psum1_saved_13)) + )) + (net (rename A1_product_signed_16 "A1_product_signed(16)") (joined + (portRef (member P 31) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_19)) + (portRef I0 (instanceRef psum1_signed_cry_16_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_16)) + )) + (net (rename psum1_signed_cryZ0Z_15 "psum1_signed_cry_15") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_15)) + (portRef CI (instanceRef psum1_signed_cry_19)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_16)) + )) + (net (rename psum1_saved_3_16 "psum1_saved_3(16)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_16)) + (portRef D (instanceRef psum1_saved_16)) )) (net (rename A1_product_signed_11 "A1_product_signed(11)") (joined (portRef (member P 36) (instanceRef A1_product_signed_0_44_0)) @@ -38715,6 +38794,20 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_11)) (portRef D (instanceRef psum1_saved_11)) )) + (net (rename A1_product_signed_10 "A1_product_signed(10)") (joined + (portRef (member P 37) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_11)) + (portRef I0 (instanceRef psum1_signed_cry_10_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_10)) + )) + (net psum1_signed_cry_9 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_11)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_10)) + )) + (net (rename psum1_saved_3_10 "psum1_saved_3(10)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_10)) + (portRef D (instanceRef psum1_saved_10)) + )) (net (rename A1_product_signed_12 "A1_product_signed(12)") (joined (portRef (member P 35) (instanceRef A1_product_signed_0_44_0)) (portRef (member DI 3) (instanceRef psum1_signed_cry_15)) @@ -38730,19 +38823,19 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_12)) (portRef D (instanceRef psum1_saved_12)) )) - (net (rename A1_product_signed_10 "A1_product_signed(10)") (joined - (portRef (member P 37) (instanceRef A1_product_signed_0_44_0)) - (portRef (member DI 1) (instanceRef psum1_signed_cry_11)) - (portRef I0 (instanceRef psum1_signed_cry_10_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_10)) + (net (rename A1_product_signed_9 "A1_product_signed(9)") (joined + (portRef (member P 38) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_11)) + (portRef I0 (instanceRef psum1_signed_cry_9_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) )) - (net psum1_signed_cry_9 (joined - (portRef (member CO 2) (instanceRef psum1_signed_cry_11)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_10)) + (net psum1_signed_cry_8 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_11)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) )) - (net (rename psum1_saved_3_10 "psum1_saved_3(10)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_10)) - (portRef D (instanceRef psum1_saved_10)) + (net (rename psum1_saved_3_9 "psum1_saved_3(9)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) + (portRef D (instanceRef psum1_saved_9)) )) (net (rename A1_product_signed_8 "A1_product_signed(8)") (joined (portRef (member P 39) (instanceRef A1_product_signed_0_44_0)) @@ -38773,6 +38866,20 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) (portRef D (instanceRef psum1_saved_7)) )) + (net (rename A1_product_signed_5 "A1_product_signed(5)") (joined + (portRef (member P 42) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_7)) + (portRef I0 (instanceRef psum1_signed_cry_5_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) + )) + (net psum1_signed_cry_4 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_7)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) + )) + (net (rename psum1_saved_3_5 "psum1_saved_3(5)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) + (portRef D (instanceRef psum1_saved_5)) + )) (net (rename A1_product_signed_6 "A1_product_signed(6)") (joined (portRef (member P 41) (instanceRef A1_product_signed_0_44_0)) (portRef (member DI 1) (instanceRef psum1_signed_cry_7)) @@ -38787,19 +38894,20 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) (portRef D (instanceRef psum1_saved_6)) )) - (net (rename A1_product_signed_5 "A1_product_signed(5)") (joined - (portRef (member P 42) (instanceRef A1_product_signed_0_44_0)) - (portRef (member DI 2) (instanceRef psum1_signed_cry_7)) - (portRef I0 (instanceRef psum1_signed_cry_5_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) + (net (rename A1_product_signed_4 "A1_product_signed(4)") (joined + (portRef (member P 43) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_7)) + (portRef I0 (instanceRef psum1_signed_cry_4_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) )) - (net psum1_signed_cry_4 (joined - (portRef (member CO 3) (instanceRef psum1_signed_cry_7)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) + (net (rename psum1_signed_cryZ0Z_3 "psum1_signed_cry_3") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_3)) + (portRef CI (instanceRef psum1_signed_cry_7)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) )) - (net (rename psum1_saved_3_5 "psum1_saved_3(5)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) - (portRef D (instanceRef psum1_saved_5)) + (net (rename psum1_saved_3_4 "psum1_saved_3(4)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) + (portRef D (instanceRef psum1_saved_4)) )) (net (rename A1_product_signed_1 "A1_product_signed(1)") (joined (portRef (member P 46) (instanceRef A1_product_signed_0_44_0)) @@ -38843,21 +38951,6 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_3)) (portRef D (instanceRef psum1_saved_3)) )) - (net (rename A1_product_signed_4 "A1_product_signed(4)") (joined - (portRef (member P 43) (instanceRef A1_product_signed_0_44_0)) - (portRef (member DI 3) (instanceRef psum1_signed_cry_7)) - (portRef I0 (instanceRef psum1_signed_cry_4_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) - )) - (net (rename psum1_signed_cryZ0Z_3 "psum1_signed_cry_3") (joined - (portRef (member CO 0) (instanceRef psum1_signed_cry_3)) - (portRef CI (instanceRef psum1_signed_cry_7)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) - )) - (net (rename psum1_saved_3_4 "psum1_saved_3(4)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) - (portRef D (instanceRef psum1_saved_4)) - )) (net (rename A1_product_signedAdd_0_9 "A1_product_signedAdd_0(9)") (joined (portRef (member P 38) (instanceRef A1_product_signedAdd_0_42_0)) (portRef (member C 47) (instanceRef A1_product_signedAdd_1_34_0)) @@ -41437,12 +41530,6 @@ (portRef (member PCOUT 0) (instanceRef B0_product_signed_0_33_0)) (portRef (member PCIN 0) (instanceRef B0_product_signedAdd_0_30_0)) )) - (net (rename A1_product_signed_44 "A1_product_signed(44)") (joined - (portRef (member P 46) (instanceRef A1_product_signedAdd_2_24_0)) - (portRef (member DI 3) (instanceRef psum1_signed_cry_47)) - (portRef I0 (instanceRef psum1_signed_cry_44_thru)) - (portRef I0 (instanceRef psum1_signed_s_44_thru)) - )) (net (rename A1_product_signed_45 "A1_product_signed(45)") (joined (portRef (member P 45) (instanceRef A1_product_signedAdd_2_24_0)) (portRef (member DI 2) (instanceRef psum1_signed_cry_47)) @@ -42041,20 +42128,12 @@ (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_45)) (portRef D (instanceRef psum1_saved_45)) )) - (net (rename psum1_signed_44 "psum1_signed(44)") (joined - (portRef LO (instanceRef psum1_signed_s_44)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_44)) - )) - (net (rename psum1_saved_3_44 "psum1_saved_3(44)") (joined - (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_44)) - (portRef D (instanceRef psum1_saved_44)) - )) (net (rename psum1_saved_3_0 "psum1_saved_3(0)") (joined (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_0)) (portRef D (instanceRef psum1_saved_0)) )) - (net en (joined - (portRef en) + (net en_rep_1 (joined + (portRef en_rep_1) (portRef I1 (instanceRef PSUM2AND1_REGS_un1_enable)) )) (net un1_enable (joined @@ -42211,21 +42290,13 @@ (portRef O (instanceRef un1_psum0_signed_cry_51_RNISRSM)) (portRef g0_10_0 (instanceRef OR25)) )) - (net (rename un1_psum0_signed_58 "un1_psum0_signed(58)") (joined - (portRef (member O 1) (instanceRef un1_psum0_signed_cry_59)) - (portRef (member un1_psum0_signed 6) (instanceRef gO_4_11_MUX12)) - (portRef un1_psum0_signed_0 (instanceRef gO_4_27_MUX12)) - (portRef (member un1_psum0_signed 7) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) - (portRef (member un1_psum0_signed 8) (instanceRef gO_2_gO_2d_INC2)) - (portRef I0 (instanceRef un1_psum0_signed_cry_59_RNITUSM)) - )) (net (rename un1_psum0_signed_60 "un1_psum0_signed(60)") (joined (portRef (member O 3) (instanceRef un1_psum0_signed_cry_63)) (portRef un1_psum0_signed_0 (instanceRef gO_4_29_MUX12)) (portRef (member un1_psum0_signed 5) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) (portRef (member un1_psum0_signed 6) (instanceRef gO_2_gO_2d_INC2)) (portRef (member un1_psum0_signed 10) (instanceRef gO_2_gO_2cN_AN8)) - (portRef I1 (instanceRef un1_psum0_signed_cry_59_RNITUSM)) + (portRef I0 (instanceRef un1_psum0_signed_cry_59_RNITUSM)) )) (net (rename un1_psum0_signed_62 "un1_psum0_signed(62)") (joined (portRef (member O 1) (instanceRef un1_psum0_signed_cry_63)) @@ -42233,6 +42304,14 @@ (portRef (member un1_psum0_signed 3) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) (portRef (member un1_psum0_signed 4) (instanceRef gO_2_gO_2d_INC2)) (portRef (member un1_psum0_signed 8) (instanceRef gO_2_gO_2cN_AN8)) + (portRef I1 (instanceRef un1_psum0_signed_cry_59_RNITUSM)) + )) + (net (rename un1_psum0_signed_58 "un1_psum0_signed(58)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_59)) + (portRef (member un1_psum0_signed 6) (instanceRef gO_4_11_MUX12)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_27_MUX12)) + (portRef (member un1_psum0_signed 7) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 8) (instanceRef gO_2_gO_2d_INC2)) (portRef I2 (instanceRef un1_psum0_signed_cry_59_RNITUSM)) )) (net (rename un1_psum0_signed_61 "un1_psum0_signed(61)") (joined @@ -42247,10 +42326,6 @@ (portRef O (instanceRef un1_psum0_signed_cry_59_RNITUSM)) (portRef g0_11_0 (instanceRef OR25)) )) - (net (rename A1_product_signed_2_44 "A1_product_signed_2(44)") (joined - (portRef O (instanceRef psum1_signed_s_44_thru)) - (portRef I0 (instanceRef psum1_signed_s_44)) - )) (net (rename A1_product_signed_1_45 "A1_product_signed_1(45)") (joined (portRef O (instanceRef psum1_signed_s_45_thru)) (portRef I0 (instanceRef psum1_signed_s_45)) @@ -43278,11 +43353,6 @@ (portRef (member CO 3) (instanceRef psum1_signed_cry_47)) (portRef I1 (instanceRef psum1_signed_s_45)) )) - (net (rename psum1_signed_cryZ0Z_43 "psum1_signed_cry_43") (joined - (portRef (member CO 0) (instanceRef psum1_signed_cry_43)) - (portRef CI (instanceRef psum1_signed_cry_47)) - (portRef I1 (instanceRef psum1_signed_s_44)) - )) (net (rename un1_psum0_signed_axbZ0Z_69 "un1_psum0_signed_axb_69") (joined (portRef O (instanceRef un1_psum0_signed_axb_69)) (portRef (member S 2) (instanceRef un1_psum0_signed_s_70)) @@ -44396,40 +44466,31 @@ (port (array (rename a3_re "a3_re(31:0)") 32) (direction INPUT)) (port (array (rename dout_3 "dout_3(35:0)") 36) (direction OUTPUT)) (port rstn (direction INPUT)) - (port en (direction INPUT)) + (port en_rep_1 (direction INPUT)) (port clk (direction INPUT)) (port rstn_i (direction INPUT)) (port saturation_3 (direction OUTPUT)) ) (contents - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_57 "PSUM2AND1_REGS.psum1_saved_3_lut[57]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h60")) - ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_44 "PSUM2AND1_REGS.psum1_saved_3_lut[44]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h60")) - ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_43 "PSUM2AND1_REGS.psum1_saved_3_lut[43]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_41 "PSUM2AND1_REGS.psum1_saved_3_lut[41]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_42 "PSUM2AND1_REGS.psum1_saved_3_lut[42]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_41 "PSUM2AND1_REGS.psum1_saved_3_lut[41]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h60")) - ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_40 "PSUM2AND1_REGS.psum1_saved_3_lut[40]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h60")) - ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_39 "PSUM2AND1_REGS.psum1_saved_3_lut[39]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h60")) - ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_38 "PSUM2AND1_REGS.psum1_saved_3_lut[38]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_43 "PSUM2AND1_REGS.psum1_saved_3_lut[43]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_37 "PSUM2AND1_REGS.psum1_saved_3_lut[37]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_35 "PSUM2AND1_REGS.psum1_saved_3_lut[35]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_38 "PSUM2AND1_REGS.psum1_saved_3_lut[38]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_39 "PSUM2AND1_REGS.psum1_saved_3_lut[39]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_40 "PSUM2AND1_REGS.psum1_saved_3_lut[40]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_36 "PSUM2AND1_REGS.psum1_saved_3_lut[36]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) @@ -44441,7 +44502,7 @@ (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_33 "PSUM2AND1_REGS.psum1_saved_3_lut[33]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_32 "PSUM2AND1_REGS.psum1_saved_3_lut[32]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_35 "PSUM2AND1_REGS.psum1_saved_3_lut[35]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_31 "PSUM2AND1_REGS.psum1_saved_3_lut[31]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) @@ -44450,10 +44511,10 @@ (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_30 "PSUM2AND1_REGS.psum1_saved_3_lut[30]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_29 "PSUM2AND1_REGS.psum1_saved_3_lut[29]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_32 "PSUM2AND1_REGS.psum1_saved_3_lut[32]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_25 "PSUM2AND1_REGS.psum1_saved_3_lut[25]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_29 "PSUM2AND1_REGS.psum1_saved_3_lut[29]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_27 "PSUM2AND1_REGS.psum1_saved_3_lut[27]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) @@ -44465,19 +44526,25 @@ (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_26 "PSUM2AND1_REGS.psum1_saved_3_lut[26]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_25 "PSUM2AND1_REGS.psum1_saved_3_lut[25]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_22 "PSUM2AND1_REGS.psum1_saved_3_lut[22]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_21 "PSUM2AND1_REGS.psum1_saved_3_lut[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_23 "PSUM2AND1_REGS.psum1_saved_3_lut[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_24 "PSUM2AND1_REGS.psum1_saved_3_lut[24]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_21 "PSUM2AND1_REGS.psum1_saved_3_lut[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_20 "PSUM2AND1_REGS.psum1_saved_3_lut[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_20 "PSUM2AND1_REGS.psum1_saved_3_lut[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_18 "PSUM2AND1_REGS.psum1_saved_3_lut[18]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_19 "PSUM2AND1_REGS.psum1_saved_3_lut[19]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) @@ -44486,7 +44553,7 @@ (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_17 "PSUM2AND1_REGS.psum1_saved_3_lut[17]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_18 "PSUM2AND1_REGS.psum1_saved_3_lut[18]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_16 "PSUM2AND1_REGS.psum1_saved_3_lut[16]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_15 "PSUM2AND1_REGS.psum1_saved_3_lut[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) @@ -44498,10 +44565,10 @@ (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_14 "PSUM2AND1_REGS.psum1_saved_3_lut[14]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_16 "PSUM2AND1_REGS.psum1_saved_3_lut[16]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_9 "PSUM2AND1_REGS.psum1_saved_3_lut[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_9 "PSUM2AND1_REGS.psum1_saved_3_lut[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_12 "PSUM2AND1_REGS.psum1_saved_3_lut[12]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_11 "PSUM2AND1_REGS.psum1_saved_3_lut[11]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) @@ -44510,19 +44577,19 @@ (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_10 "PSUM2AND1_REGS.psum1_saved_3_lut[10]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_12 "PSUM2AND1_REGS.psum1_saved_3_lut[12]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_8 "PSUM2AND1_REGS.psum1_saved_3_lut[8]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_7 "PSUM2AND1_REGS.psum1_saved_3_lut[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_8 "PSUM2AND1_REGS.psum1_saved_3_lut[8]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_5 "PSUM2AND1_REGS.psum1_saved_3_lut[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_6 "PSUM2AND1_REGS.psum1_saved_3_lut[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_5 "PSUM2AND1_REGS.psum1_saved_3_lut[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_4 "PSUM2AND1_REGS.psum1_saved_3_lut[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_3 "PSUM2AND1_REGS.psum1_saved_3_lut[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) @@ -44531,9 +44598,6 @@ (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_2 "PSUM2AND1_REGS.psum1_saved_3_lut[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_4 "PSUM2AND1_REGS.psum1_saved_3_lut[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h60")) - ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_1 "PSUM2AND1_REGS.psum1_saved_3_lut[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) @@ -44543,6 +44607,9 @@ (instance (rename PSUM2AND1_REGS_psum1_saved_3_64 "PSUM2AND1_REGS.psum1_saved_3[64]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'h28")) ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_57 "PSUM2AND1_REGS.psum1_saved_3[57]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'h28")) + ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_63 "PSUM2AND1_REGS.psum1_saved_3[63]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'h28")) ) @@ -44753,6 +44820,9 @@ (instance (rename PSUM2AND1_REGS_psum1_saved_3_45 "PSUM2AND1_REGS.psum1_saved_3[45]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) (property INIT (string "4'h8")) ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_44 "PSUM2AND1_REGS.psum1_saved_3[44]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_0 "PSUM2AND1_REGS.psum1_saved_3[0]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) (property INIT (string "4'h8")) ) @@ -44771,6 +44841,9 @@ (instance un1_psum0_signed_cry_67_RNIAUBA1 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'h8000")) ) + (instance psum1_signed_s_44_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) (instance psum1_signed_s_45_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) (property INIT (string "2'h2")) ) @@ -44807,6 +44880,9 @@ (instance psum1_signed_s_56_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) (property INIT (string "2'h2")) ) + (instance psum1_signed_s_57_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) (instance psum1_signed_s_58_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) (property INIT (string "2'h2")) ) @@ -45096,6 +45172,9 @@ (instance psum1_signed_s_45 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) (property INIT (string "4'h6")) ) + (instance psum1_signed_s_44 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) (instance un1_psum0_signed_axb_69 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) ) @@ -45600,15 +45679,15 @@ ) (instance GND (viewRef PRIM (cellRef GND (libraryRef UNILIB))) ) (instance VCC (viewRef PRIM (cellRef VCC (libraryRef UNILIB))) ) - (net (rename A1_product_signed_57 "A1_product_signed(57)") (joined - (portRef (member P 33) (instanceRef A1_product_signedAdd_2_24_0)) - (portRef (member DI 2) (instanceRef psum1_signed_cry_59)) - (portRef I0 (instanceRef psum1_signed_cry_57_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_57)) + (net (rename A1_product_signed_41 "A1_product_signed(41)") (joined + (portRef (member P 32) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_43)) + (portRef I0 (instanceRef psum1_signed_cry_41_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_41)) )) - (net psum1_signed_cry_56 (joined - (portRef (member CO 3) (instanceRef psum1_signed_cry_59)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_57)) + (net psum1_signed_cry_40 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_43)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_41)) )) (net rstn (joined (portRef rstn) @@ -45616,6 +45695,7 @@ (portRef rstn (instanceRef gO_2_gO_2cN_AN8)) (portRef I0 (instanceRef PSUM2AND1_REGS_un1_enable)) (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_0)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_44)) (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_45)) (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_46)) (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_47)) @@ -45638,86 +45718,56 @@ (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_61)) (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_66)) (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_63)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_57)) (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_64)) (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_62)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_1)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_2)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_3)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_8)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_12)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_8)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_10)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_11)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_12)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_16)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_14)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_13)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_15)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_18)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_16)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_17)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_19)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_18)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_20)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_24)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_23)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_25)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_26)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_28)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_27)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_25)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_29)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_32)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_30)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_31)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_32)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_35)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_33)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_34)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_36)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_35)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_37)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_38)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_39)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_40)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_41)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_42)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_39)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_38)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_37)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_43)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_44)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_57)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_42)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_41)) )) - (net psum1_saved_3_71 (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_57)) - (portRef D (instanceRef psum1_saved_57)) - )) - (net (rename A1_product_signed_44 "A1_product_signed(44)") (joined - (portRef (member P 46) (instanceRef A1_product_signedAdd_2_24_0)) - (portRef (member DI 3) (instanceRef psum1_signed_cry_47)) - (portRef I0 (instanceRef psum1_signed_cry_44_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_44)) - )) - (net (rename psum1_signed_cryZ0Z_43 "psum1_signed_cry_43") (joined - (portRef (member CO 0) (instanceRef psum1_signed_cry_43)) - (portRef CI (instanceRef psum1_signed_cry_47)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_44)) - )) - (net (rename psum1_saved_3_44 "psum1_saved_3(44)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_44)) - (portRef D (instanceRef psum1_saved_44)) - )) - (net (rename A1_product_signed_43 "A1_product_signed(43)") (joined - (portRef (member P 47) (instanceRef A1_product_signedAdd_2_24_0)) - (portRef (member DI 0) (instanceRef psum1_signed_cry_43)) - (portRef I0 (instanceRef psum1_signed_cry_43_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_43)) - )) - (net psum1_signed_cry_42 (joined - (portRef (member CO 1) (instanceRef psum1_signed_cry_43)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_43)) - )) - (net (rename psum1_saved_3_43 "psum1_saved_3(43)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_43)) - (portRef D (instanceRef psum1_saved_43)) + (net (rename psum1_saved_3_41 "psum1_saved_3(41)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_41)) + (portRef D (instanceRef psum1_saved_41)) )) (net (rename A1_product_signed_42 "A1_product_signed(42)") (joined (portRef (member P 31) (instanceRef A1_product_signedAdd_1_34_0)) @@ -45733,62 +45783,19 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_42)) (portRef D (instanceRef psum1_saved_42)) )) - (net (rename A1_product_signed_41 "A1_product_signed(41)") (joined - (portRef (member P 32) (instanceRef A1_product_signedAdd_1_34_0)) - (portRef (member DI 2) (instanceRef psum1_signed_cry_43)) - (portRef I0 (instanceRef psum1_signed_cry_41_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_41)) + (net (rename A1_product_signed_43 "A1_product_signed(43)") (joined + (portRef (member P 47) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_43)) + (portRef I0 (instanceRef psum1_signed_cry_43_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_43)) )) - (net psum1_signed_cry_40 (joined - (portRef (member CO 3) (instanceRef psum1_signed_cry_43)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_41)) + (net psum1_signed_cry_42 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_43)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_43)) )) - (net (rename psum1_saved_3_41 "psum1_saved_3(41)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_41)) - (portRef D (instanceRef psum1_saved_41)) - )) - (net (rename A1_product_signed_40 "A1_product_signed(40)") (joined - (portRef (member P 33) (instanceRef A1_product_signedAdd_1_34_0)) - (portRef (member DI 3) (instanceRef psum1_signed_cry_43)) - (portRef I0 (instanceRef psum1_signed_cry_40_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_40)) - )) - (net (rename psum1_signed_cryZ0Z_39 "psum1_signed_cry_39") (joined - (portRef (member CO 0) (instanceRef psum1_signed_cry_39)) - (portRef CI (instanceRef psum1_signed_cry_43)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_40)) - )) - (net (rename psum1_saved_3_40 "psum1_saved_3(40)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_40)) - (portRef D (instanceRef psum1_saved_40)) - )) - (net (rename A1_product_signed_39 "A1_product_signed(39)") (joined - (portRef (member P 34) (instanceRef A1_product_signedAdd_1_34_0)) - (portRef (member DI 0) (instanceRef psum1_signed_cry_39)) - (portRef I0 (instanceRef psum1_signed_cry_39_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_39)) - )) - (net psum1_signed_cry_38 (joined - (portRef (member CO 1) (instanceRef psum1_signed_cry_39)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_39)) - )) - (net (rename psum1_saved_3_39 "psum1_saved_3(39)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_39)) - (portRef D (instanceRef psum1_saved_39)) - )) - (net (rename A1_product_signed_38 "A1_product_signed(38)") (joined - (portRef (member P 35) (instanceRef A1_product_signedAdd_1_34_0)) - (portRef (member DI 1) (instanceRef psum1_signed_cry_39)) - (portRef I0 (instanceRef psum1_signed_cry_38_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_38)) - )) - (net psum1_signed_cry_37 (joined - (portRef (member CO 2) (instanceRef psum1_signed_cry_39)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_38)) - )) - (net (rename psum1_saved_3_38 "psum1_saved_3(38)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_38)) - (portRef D (instanceRef psum1_saved_38)) + (net (rename psum1_saved_3_43 "psum1_saved_3(43)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_43)) + (portRef D (instanceRef psum1_saved_43)) )) (net (rename A1_product_signed_37 "A1_product_signed(37)") (joined (portRef (member P 36) (instanceRef A1_product_signedAdd_1_34_0)) @@ -45804,19 +45811,48 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_37)) (portRef D (instanceRef psum1_saved_37)) )) - (net (rename A1_product_signed_35 "A1_product_signed(35)") (joined - (portRef (member P 38) (instanceRef A1_product_signedAdd_1_34_0)) - (portRef (member DI 0) (instanceRef psum1_signed_cry_35)) - (portRef I0 (instanceRef psum1_signed_cry_35_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_35)) + (net (rename A1_product_signed_38 "A1_product_signed(38)") (joined + (portRef (member P 35) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_39)) + (portRef I0 (instanceRef psum1_signed_cry_38_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_38)) )) - (net psum1_signed_cry_34 (joined - (portRef (member CO 1) (instanceRef psum1_signed_cry_35)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_35)) + (net psum1_signed_cry_37 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_39)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_38)) )) - (net (rename psum1_saved_3_35 "psum1_saved_3(35)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_35)) - (portRef D (instanceRef psum1_saved_35)) + (net (rename psum1_saved_3_38 "psum1_saved_3(38)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_38)) + (portRef D (instanceRef psum1_saved_38)) + )) + (net (rename A1_product_signed_39 "A1_product_signed(39)") (joined + (portRef (member P 34) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_39)) + (portRef I0 (instanceRef psum1_signed_cry_39_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_39)) + )) + (net psum1_signed_cry_38 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_39)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_39)) + )) + (net (rename psum1_saved_3_39 "psum1_saved_3(39)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_39)) + (portRef D (instanceRef psum1_saved_39)) + )) + (net (rename A1_product_signed_40 "A1_product_signed(40)") (joined + (portRef (member P 33) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_43)) + (portRef I0 (instanceRef psum1_signed_cry_40_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_40)) + )) + (net (rename psum1_signed_cryZ0Z_39 "psum1_signed_cry_39") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_39)) + (portRef CI (instanceRef psum1_signed_cry_43)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_40)) + )) + (net (rename psum1_saved_3_40 "psum1_saved_3(40)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_40)) + (portRef D (instanceRef psum1_saved_40)) )) (net (rename A1_product_signed_36 "A1_product_signed(36)") (joined (portRef (member P 37) (instanceRef A1_product_signedAdd_1_34_0)) @@ -45861,20 +45897,19 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_33)) (portRef D (instanceRef psum1_saved_33)) )) - (net (rename A1_product_signed_32 "A1_product_signed(32)") (joined - (portRef (member P 41) (instanceRef A1_product_signedAdd_1_34_0)) - (portRef (member DI 3) (instanceRef psum1_signed_cry_35)) - (portRef I0 (instanceRef psum1_signed_cry_32_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_32)) + (net (rename A1_product_signed_35 "A1_product_signed(35)") (joined + (portRef (member P 38) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_35)) + (portRef I0 (instanceRef psum1_signed_cry_35_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_35)) )) - (net (rename psum1_signed_cryZ0Z_31 "psum1_signed_cry_31") (joined - (portRef (member CO 0) (instanceRef psum1_signed_cry_31)) - (portRef CI (instanceRef psum1_signed_cry_35)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_32)) + (net psum1_signed_cry_34 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_35)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_35)) )) - (net (rename psum1_saved_3_32 "psum1_saved_3(32)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_32)) - (portRef D (instanceRef psum1_saved_32)) + (net (rename psum1_saved_3_35 "psum1_saved_3(35)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_35)) + (portRef D (instanceRef psum1_saved_35)) )) (net (rename A1_product_signed_31 "A1_product_signed(31)") (joined (portRef (member P 42) (instanceRef A1_product_signedAdd_1_34_0)) @@ -45904,6 +45939,21 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_30)) (portRef D (instanceRef psum1_saved_30)) )) + (net (rename A1_product_signed_32 "A1_product_signed(32)") (joined + (portRef (member P 41) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_35)) + (portRef I0 (instanceRef psum1_signed_cry_32_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_32)) + )) + (net (rename psum1_signed_cryZ0Z_31 "psum1_signed_cry_31") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_31)) + (portRef CI (instanceRef psum1_signed_cry_35)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_32)) + )) + (net (rename psum1_saved_3_32 "psum1_saved_3(32)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_32)) + (portRef D (instanceRef psum1_saved_32)) + )) (net (rename A1_product_signed_29 "A1_product_signed(29)") (joined (portRef (member P 44) (instanceRef A1_product_signedAdd_1_34_0)) (portRef (member DI 2) (instanceRef psum1_signed_cry_31)) @@ -45918,20 +45968,6 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_29)) (portRef D (instanceRef psum1_saved_29)) )) - (net (rename A1_product_signed_25 "A1_product_signed(25)") (joined - (portRef (member P 39) (instanceRef A1_product_signedAdd_0_42_0)) - (portRef (member DI 2) (instanceRef psum1_signed_cry_27)) - (portRef I0 (instanceRef psum1_signed_cry_25_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_25)) - )) - (net psum1_signed_cry_24 (joined - (portRef (member CO 3) (instanceRef psum1_signed_cry_27)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_25)) - )) - (net (rename psum1_saved_3_25 "psum1_saved_3(25)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_25)) - (portRef D (instanceRef psum1_saved_25)) - )) (net (rename A1_product_signed_27 "A1_product_signed(27)") (joined (portRef (member P 46) (instanceRef A1_product_signedAdd_1_34_0)) (portRef (member DI 0) (instanceRef psum1_signed_cry_27)) @@ -45975,6 +46011,20 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_26)) (portRef D (instanceRef psum1_saved_26)) )) + (net (rename A1_product_signed_25 "A1_product_signed(25)") (joined + (portRef (member P 39) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_27)) + (portRef I0 (instanceRef psum1_signed_cry_25_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_25)) + )) + (net psum1_signed_cry_24 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_27)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_25)) + )) + (net (rename psum1_saved_3_25 "psum1_saved_3(25)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_25)) + (portRef D (instanceRef psum1_saved_25)) + )) (net (rename A1_product_signed_22 "A1_product_signed(22)") (joined (portRef (member P 42) (instanceRef A1_product_signedAdd_0_42_0)) (portRef (member DI 1) (instanceRef psum1_signed_cry_23)) @@ -45989,6 +46039,20 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) (portRef D (instanceRef psum1_saved_22)) )) + (net (rename A1_product_signed_21 "A1_product_signed(21)") (joined + (portRef (member P 43) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_23)) + (portRef I0 (instanceRef psum1_signed_cry_21_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) + )) + (net psum1_signed_cry_20 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_23)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) + )) + (net (rename psum1_saved_3_21 "psum1_saved_3(21)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) + (portRef D (instanceRef psum1_saved_21)) + )) (net (rename A1_product_signed_23 "A1_product_signed(23)") (joined (portRef (member P 41) (instanceRef A1_product_signedAdd_0_42_0)) (portRef (member DI 0) (instanceRef psum1_signed_cry_23)) @@ -46018,20 +46082,6 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_24)) (portRef D (instanceRef psum1_saved_24)) )) - (net (rename A1_product_signed_21 "A1_product_signed(21)") (joined - (portRef (member P 43) (instanceRef A1_product_signedAdd_0_42_0)) - (portRef (member DI 2) (instanceRef psum1_signed_cry_23)) - (portRef I0 (instanceRef psum1_signed_cry_21_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) - )) - (net psum1_signed_cry_20 (joined - (portRef (member CO 3) (instanceRef psum1_signed_cry_23)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) - )) - (net (rename psum1_saved_3_21 "psum1_saved_3(21)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) - (portRef D (instanceRef psum1_saved_21)) - )) (net (rename A1_product_signed_20 "A1_product_signed(20)") (joined (portRef (member P 44) (instanceRef A1_product_signedAdd_0_42_0)) (portRef (member DI 3) (instanceRef psum1_signed_cry_23)) @@ -46047,6 +46097,20 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_20)) (portRef D (instanceRef psum1_saved_20)) )) + (net (rename A1_product_signed_18 "A1_product_signed(18)") (joined + (portRef (member P 46) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_19)) + (portRef I0 (instanceRef psum1_signed_cry_18_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_18)) + )) + (net psum1_signed_cry_17 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_19)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_18)) + )) + (net (rename psum1_saved_3_18 "psum1_saved_3(18)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_18)) + (portRef D (instanceRef psum1_saved_18)) + )) (net (rename A1_product_signed_19 "A1_product_signed(19)") (joined (portRef (member P 45) (instanceRef A1_product_signedAdd_0_42_0)) (portRef (member DI 0) (instanceRef psum1_signed_cry_19)) @@ -46075,19 +46139,20 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_17)) (portRef D (instanceRef psum1_saved_17)) )) - (net (rename A1_product_signed_18 "A1_product_signed(18)") (joined - (portRef (member P 46) (instanceRef A1_product_signedAdd_0_42_0)) - (portRef (member DI 1) (instanceRef psum1_signed_cry_19)) - (portRef I0 (instanceRef psum1_signed_cry_18_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_18)) + (net (rename A1_product_signed_16 "A1_product_signed(16)") (joined + (portRef (member P 31) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_19)) + (portRef I0 (instanceRef psum1_signed_cry_16_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_16)) )) - (net psum1_signed_cry_17 (joined - (portRef (member CO 2) (instanceRef psum1_signed_cry_19)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_18)) + (net (rename psum1_signed_cryZ0Z_15 "psum1_signed_cry_15") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_15)) + (portRef CI (instanceRef psum1_signed_cry_19)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_16)) )) - (net (rename psum1_saved_3_18 "psum1_saved_3(18)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_18)) - (portRef D (instanceRef psum1_saved_18)) + (net (rename psum1_saved_3_16 "psum1_saved_3(16)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_16)) + (portRef D (instanceRef psum1_saved_16)) )) (net (rename A1_product_signed_15 "A1_product_signed(15)") (joined (portRef (member P 32) (instanceRef A1_product_signed_0_44_0)) @@ -46131,21 +46196,6 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_14)) (portRef D (instanceRef psum1_saved_14)) )) - (net (rename A1_product_signed_16 "A1_product_signed(16)") (joined - (portRef (member P 31) (instanceRef A1_product_signed_0_44_0)) - (portRef (member DI 3) (instanceRef psum1_signed_cry_19)) - (portRef I0 (instanceRef psum1_signed_cry_16_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_16)) - )) - (net (rename psum1_signed_cryZ0Z_15 "psum1_signed_cry_15") (joined - (portRef (member CO 0) (instanceRef psum1_signed_cry_15)) - (portRef CI (instanceRef psum1_signed_cry_19)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_16)) - )) - (net (rename psum1_saved_3_16 "psum1_saved_3(16)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_16)) - (portRef D (instanceRef psum1_saved_16)) - )) (net (rename A1_product_signed_9 "A1_product_signed(9)") (joined (portRef (member P 38) (instanceRef A1_product_signed_0_44_0)) (portRef (member DI 2) (instanceRef psum1_signed_cry_11)) @@ -46160,6 +46210,21 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) (portRef D (instanceRef psum1_saved_9)) )) + (net (rename A1_product_signed_12 "A1_product_signed(12)") (joined + (portRef (member P 35) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_15)) + (portRef I0 (instanceRef psum1_signed_cry_12_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_12)) + )) + (net (rename psum1_signed_cryZ0Z_11 "psum1_signed_cry_11") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_11)) + (portRef CI (instanceRef psum1_signed_cry_15)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_12)) + )) + (net (rename psum1_saved_3_12 "psum1_saved_3(12)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_12)) + (portRef D (instanceRef psum1_saved_12)) + )) (net (rename A1_product_signed_11 "A1_product_signed(11)") (joined (portRef (member P 36) (instanceRef A1_product_signed_0_44_0)) (portRef (member DI 0) (instanceRef psum1_signed_cry_11)) @@ -46188,35 +46253,6 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_10)) (portRef D (instanceRef psum1_saved_10)) )) - (net (rename A1_product_signed_12 "A1_product_signed(12)") (joined - (portRef (member P 35) (instanceRef A1_product_signed_0_44_0)) - (portRef (member DI 3) (instanceRef psum1_signed_cry_15)) - (portRef I0 (instanceRef psum1_signed_cry_12_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_12)) - )) - (net (rename psum1_signed_cryZ0Z_11 "psum1_signed_cry_11") (joined - (portRef (member CO 0) (instanceRef psum1_signed_cry_11)) - (portRef CI (instanceRef psum1_signed_cry_15)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_12)) - )) - (net (rename psum1_saved_3_12 "psum1_saved_3(12)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_12)) - (portRef D (instanceRef psum1_saved_12)) - )) - (net (rename A1_product_signed_7 "A1_product_signed(7)") (joined - (portRef (member P 40) (instanceRef A1_product_signed_0_44_0)) - (portRef (member DI 0) (instanceRef psum1_signed_cry_7)) - (portRef I0 (instanceRef psum1_signed_cry_7_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) - )) - (net psum1_signed_cry_6 (joined - (portRef (member CO 1) (instanceRef psum1_signed_cry_7)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) - )) - (net (rename psum1_saved_3_7 "psum1_saved_3(7)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) - (portRef D (instanceRef psum1_saved_7)) - )) (net (rename A1_product_signed_8 "A1_product_signed(8)") (joined (portRef (member P 39) (instanceRef A1_product_signed_0_44_0)) (portRef (member DI 3) (instanceRef psum1_signed_cry_11)) @@ -46232,19 +46268,19 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_8)) (portRef D (instanceRef psum1_saved_8)) )) - (net (rename A1_product_signed_6 "A1_product_signed(6)") (joined - (portRef (member P 41) (instanceRef A1_product_signed_0_44_0)) - (portRef (member DI 1) (instanceRef psum1_signed_cry_7)) - (portRef I0 (instanceRef psum1_signed_cry_6_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) + (net (rename A1_product_signed_7 "A1_product_signed(7)") (joined + (portRef (member P 40) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_7)) + (portRef I0 (instanceRef psum1_signed_cry_7_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) )) - (net psum1_signed_cry_5 (joined - (portRef (member CO 2) (instanceRef psum1_signed_cry_7)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) + (net psum1_signed_cry_6 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_7)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) )) - (net (rename psum1_saved_3_6 "psum1_saved_3(6)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) - (portRef D (instanceRef psum1_saved_6)) + (net (rename psum1_saved_3_7 "psum1_saved_3(7)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) + (portRef D (instanceRef psum1_saved_7)) )) (net (rename A1_product_signed_5 "A1_product_signed(5)") (joined (portRef (member P 42) (instanceRef A1_product_signed_0_44_0)) @@ -46260,6 +46296,35 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) (portRef D (instanceRef psum1_saved_5)) )) + (net (rename A1_product_signed_6 "A1_product_signed(6)") (joined + (portRef (member P 41) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_7)) + (portRef I0 (instanceRef psum1_signed_cry_6_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) + )) + (net psum1_signed_cry_5 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_7)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) + )) + (net (rename psum1_saved_3_6 "psum1_saved_3(6)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) + (portRef D (instanceRef psum1_saved_6)) + )) + (net (rename A1_product_signed_4 "A1_product_signed(4)") (joined + (portRef (member P 43) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_7)) + (portRef I0 (instanceRef psum1_signed_cry_4_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) + )) + (net (rename psum1_signed_cryZ0Z_3 "psum1_signed_cry_3") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_3)) + (portRef CI (instanceRef psum1_signed_cry_7)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) + )) + (net (rename psum1_saved_3_4 "psum1_saved_3(4)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) + (portRef D (instanceRef psum1_saved_4)) + )) (net (rename A1_product_signed_3 "A1_product_signed(3)") (joined (portRef (member P 44) (instanceRef A1_product_signed_0_44_0)) (portRef (member DI 0) (instanceRef psum1_signed_cry_3)) @@ -46288,21 +46353,6 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_2)) (portRef D (instanceRef psum1_saved_2)) )) - (net (rename A1_product_signed_4 "A1_product_signed(4)") (joined - (portRef (member P 43) (instanceRef A1_product_signed_0_44_0)) - (portRef (member DI 3) (instanceRef psum1_signed_cry_7)) - (portRef I0 (instanceRef psum1_signed_cry_4_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) - )) - (net (rename psum1_signed_cryZ0Z_3 "psum1_signed_cry_3") (joined - (portRef (member CO 0) (instanceRef psum1_signed_cry_3)) - (portRef CI (instanceRef psum1_signed_cry_7)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) - )) - (net (rename psum1_saved_3_4 "psum1_saved_3(4)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) - (portRef D (instanceRef psum1_saved_4)) - )) (net (rename A1_product_signed_1 "A1_product_signed(1)") (joined (portRef (member P 46) (instanceRef A1_product_signed_0_44_0)) (portRef (member DI 2) (instanceRef psum1_signed_cry_3)) @@ -46342,6 +46392,18 @@ (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_64)) (portRef D (instanceRef psum1_saved_64)) )) + (net (rename A1_product_signed_1_57 "A1_product_signed_1(57)") (joined + (portRef O (instanceRef psum1_signed_s_57_thru)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_57)) + )) + (net psum1_signed_cry_56 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_59)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_57)) + )) + (net psum1_saved_3_71 (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_57)) + (portRef D (instanceRef psum1_saved_57)) + )) (net (rename A1_product_signed_1_63 "A1_product_signed_1(63)") (joined (portRef O (instanceRef psum1_signed_s_63_thru)) (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_63)) @@ -48969,6 +49031,12 @@ (portRef (member PCOUT 0) (instanceRef B0_product_signed_0_33_0)) (portRef (member PCIN 0) (instanceRef B0_product_signedAdd_0_30_0)) )) + (net (rename A1_product_signed_44 "A1_product_signed(44)") (joined + (portRef (member P 46) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_47)) + (portRef I0 (instanceRef psum1_signed_cry_44_thru)) + (portRef I0 (instanceRef psum1_signed_s_44_thru)) + )) (net (rename A1_product_signed_45 "A1_product_signed(45)") (joined (portRef (member P 45) (instanceRef A1_product_signedAdd_2_24_0)) (portRef (member DI 2) (instanceRef psum1_signed_cry_47)) @@ -49041,6 +49109,12 @@ (portRef I0 (instanceRef psum1_signed_cry_56_thru)) (portRef I0 (instanceRef psum1_signed_s_56_thru)) )) + (net (rename A1_product_signed_57 "A1_product_signed(57)") (joined + (portRef (member P 33) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_59)) + (portRef I0 (instanceRef psum1_signed_cry_57_thru)) + (portRef I0 (instanceRef psum1_signed_s_57_thru)) + )) (net (rename A1_product_signed_58 "A1_product_signed(58)") (joined (portRef (member P 32) (instanceRef A1_product_signedAdd_2_24_0)) (portRef (member DI 1) (instanceRef psum1_signed_cry_59)) @@ -49504,12 +49578,20 @@ (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_45)) (portRef D (instanceRef psum1_saved_45)) )) + (net (rename psum1_signed_44 "psum1_signed(44)") (joined + (portRef LO (instanceRef psum1_signed_s_44)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_44)) + )) + (net (rename psum1_saved_3_44 "psum1_saved_3(44)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_44)) + (portRef D (instanceRef psum1_saved_44)) + )) (net (rename psum1_saved_3_0 "psum1_saved_3(0)") (joined (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_0)) (portRef D (instanceRef psum1_saved_0)) )) - (net en (joined - (portRef en) + (net en_rep_1 (joined + (portRef en_rep_1) (portRef I1 (instanceRef PSUM2AND1_REGS_un1_enable)) )) (net un1_enable (joined @@ -49642,11 +49724,6 @@ (portRef O (instanceRef un1_psum0_signed_axb_70)) (portRef (member S 1) (instanceRef un1_psum0_signed_s_70)) )) - (net g0_0 (joined - (portRef g0_0 (instanceRef gO_2_gO_2cN_AN8)) - (portRef g0_0 (instanceRef gO_4_34_MUX12)) - (portRef I0 (instanceRef un1_psum0_signed_cry_67_RNIQ9J12)) - )) (net (rename un1_psum0_signed_66 "un1_psum0_signed(66)") (joined (portRef (member O 1) (instanceRef un1_psum0_signed_cry_67)) (portRef (member un1_psum0_signed 4) (instanceRef OR25)) @@ -49655,8 +49732,8 @@ (portRef (member un1_psum0_signed 4) (instanceRef gO_4_34_MUX12)) (portRef (member un1_psum0_signed 0) (instanceRef gO_2_gO_2d_INC2)) (portRef (member un1_psum0_signed 3) (instanceRef gO_1_66_gO_1a_AN5)) - (portRef I1 (instanceRef un1_psum0_signed_cry_67_RNIAUBA1)) - (portRef I1 (instanceRef un1_psum0_signed_cry_67_RNIQ9J12)) + (portRef I0 (instanceRef un1_psum0_signed_cry_67_RNIAUBA1)) + (portRef I0 (instanceRef un1_psum0_signed_cry_67_RNIQ9J12)) )) (net (rename un1_psum0_signed_67 "un1_psum0_signed(67)") (joined (portRef (member O 0) (instanceRef un1_psum0_signed_cry_67)) @@ -49665,8 +49742,8 @@ (portRef (member un1_psum0_signed 3) (instanceRef gO_4_11_MUX12)) (portRef (member un1_psum0_signed 3) (instanceRef gO_4_34_MUX12)) (portRef (member un1_psum0_signed 2) (instanceRef gO_1_66_gO_1a_AN5)) - (portRef I2 (instanceRef un1_psum0_signed_cry_67_RNIAUBA1)) - (portRef I2 (instanceRef un1_psum0_signed_cry_67_RNIQ9J12)) + (portRef I1 (instanceRef un1_psum0_signed_cry_67_RNIAUBA1)) + (portRef I1 (instanceRef un1_psum0_signed_cry_67_RNIQ9J12)) )) (net (rename un1_psum0_signed_68 "un1_psum0_signed(68)") (joined (portRef (member O 3) (instanceRef un1_psum0_signed_s_70)) @@ -49675,7 +49752,12 @@ (portRef (member un1_psum0_signed 2) (instanceRef gO_4_11_MUX12)) (portRef (member un1_psum0_signed 2) (instanceRef gO_4_34_MUX12)) (portRef (member un1_psum0_signed 1) (instanceRef gO_1_66_gO_1a_AN5)) - (portRef I0 (instanceRef un1_psum0_signed_cry_67_RNIAUBA1)) + (portRef I2 (instanceRef un1_psum0_signed_cry_67_RNIAUBA1)) + (portRef I2 (instanceRef un1_psum0_signed_cry_67_RNIQ9J12)) + )) + (net g0_0 (joined + (portRef g0_0 (instanceRef gO_2_gO_2cN_AN8)) + (portRef g0_0 (instanceRef gO_4_34_MUX12)) (portRef I3 (instanceRef un1_psum0_signed_cry_67_RNIQ9J12)) )) (net (rename un1_psum0_signed_70 "un1_psum0_signed(70)") (joined @@ -49725,6 +49807,10 @@ (portRef O (instanceRef un1_psum0_signed_cry_67_RNIAUBA1)) (portRef g0_4 (instanceRef gO_4_34_MUX12)) )) + (net (rename A1_product_signed_2_44 "A1_product_signed_2(44)") (joined + (portRef O (instanceRef psum1_signed_s_44_thru)) + (portRef I0 (instanceRef psum1_signed_s_44)) + )) (net (rename A1_product_signed_1_45 "A1_product_signed_1(45)") (joined (portRef O (instanceRef psum1_signed_s_45_thru)) (portRef I0 (instanceRef psum1_signed_s_45)) @@ -50689,6 +50775,11 @@ (portRef (member CO 3) (instanceRef psum1_signed_cry_47)) (portRef I1 (instanceRef psum1_signed_s_45)) )) + (net (rename psum1_signed_cryZ0Z_43 "psum1_signed_cry_43") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_43)) + (portRef CI (instanceRef psum1_signed_cry_47)) + (portRef I1 (instanceRef psum1_signed_s_44)) + )) (net (rename un1_psum0_signed_axbZ0Z_69 "un1_psum0_signed_axb_69") (joined (portRef O (instanceRef un1_psum0_signed_axb_69)) (portRef (member S 2) (instanceRef un1_psum0_signed_s_70)) @@ -51620,17 +51711,6 @@ (portRef Z_N_3_mux (instanceRef OR25)) (portRef Z_N_3_mux (instanceRef gO_2_gO_2cN_AN8)) )) - (net Z_32 (joined - (portRef Z_32 (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) - (portRef Z_32 (instanceRef OR25)) - (portRef Z_32 (instanceRef gO_4_11_MUX12)) - (portRef Z_32 (instanceRef gO_4_5_MUX12)) - (portRef Z_32 (instanceRef gO_4_6_MUX12)) - (portRef Z_32 (instanceRef gO_4_1_MUX12)) - (portRef Z_32 (instanceRef gO_4_16_MUX12)) - (portRef Z_32 (instanceRef gO_4_34_MUX12)) - (portRef Z_32 (instanceRef gO_2_gO_2cN_AN8)) - )) (net Z_33 (joined (portRef Z_33 (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) (portRef Z_33 (instanceRef OR25)) @@ -51642,6 +51722,17 @@ (portRef Z_33 (instanceRef gO_4_34_MUX12)) (portRef Z_33 (instanceRef gO_2_gO_2cN_AN8)) )) + (net Z_32 (joined + (portRef Z_32 (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef Z_32 (instanceRef OR25)) + (portRef Z_32 (instanceRef gO_4_11_MUX12)) + (portRef Z_32 (instanceRef gO_4_5_MUX12)) + (portRef Z_32 (instanceRef gO_4_6_MUX12)) + (portRef Z_32 (instanceRef gO_4_1_MUX12)) + (portRef Z_32 (instanceRef gO_4_16_MUX12)) + (portRef Z_32 (instanceRef gO_4_34_MUX12)) + (portRef Z_32 (instanceRef gO_2_gO_2cN_AN8)) + )) (net Z_i_0_o3_1_1 (joined (portRef Z_i_0_o3_1_1 (instanceRef gO_4_34_MUX12)) (portRef Z_i_0_o3_1_1 (instanceRef gO_4_11_MUX12)) @@ -51868,7 +51959,7 @@ (port (array (rename a4_re "a4_re(31:0)") 32) (direction INPUT)) (port (array (rename dout_4 "dout_4(35:0)") 36) (direction OUTPUT)) (port rstn (direction INPUT)) - (port en_rep_0 (direction INPUT)) + (port en_rep_3 (direction INPUT)) (port clk (direction INPUT)) (port rstn_i (direction INPUT)) (port saturation_4 (direction OUTPUT)) @@ -51877,52 +51968,37 @@ (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_64 "PSUM2AND1_REGS.psum1_saved_3_lut[64]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_56 "PSUM2AND1_REGS.psum1_saved_3_lut[56]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h60")) - ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_53 "PSUM2AND1_REGS.psum1_saved_3_lut[53]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h60")) - ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_54 "PSUM2AND1_REGS.psum1_saved_3_lut[54]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_55 "PSUM2AND1_REGS.psum1_saved_3_lut[55]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h60")) - ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_52 "PSUM2AND1_REGS.psum1_saved_3_lut[52]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_56 "PSUM2AND1_REGS.psum1_saved_3_lut[56]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_50 "PSUM2AND1_REGS.psum1_saved_3_lut[50]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_51 "PSUM2AND1_REGS.psum1_saved_3_lut[51]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h60")) - ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_47 "PSUM2AND1_REGS.psum1_saved_3_lut[47]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_46 "PSUM2AND1_REGS.psum1_saved_3_lut[46]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_45 "PSUM2AND1_REGS.psum1_saved_3_lut[45]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_46 "PSUM2AND1_REGS.psum1_saved_3_lut[46]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h60")) - ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_48 "PSUM2AND1_REGS.psum1_saved_3_lut[48]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_44 "PSUM2AND1_REGS.psum1_saved_3_lut[44]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_47 "PSUM2AND1_REGS.psum1_saved_3_lut[47]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_41 "PSUM2AND1_REGS.psum1_saved_3_lut[41]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_43 "PSUM2AND1_REGS.psum1_saved_3_lut[43]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h60")) - ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_42 "PSUM2AND1_REGS.psum1_saved_3_lut[42]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_40 "PSUM2AND1_REGS.psum1_saved_3_lut[40]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_43 "PSUM2AND1_REGS.psum1_saved_3_lut[43]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_44 "PSUM2AND1_REGS.psum1_saved_3_lut[44]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_38 "PSUM2AND1_REGS.psum1_saved_3_lut[38]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) @@ -51934,54 +52010,60 @@ (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_37 "PSUM2AND1_REGS.psum1_saved_3_lut[37]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_40 "PSUM2AND1_REGS.psum1_saved_3_lut[40]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_35 "PSUM2AND1_REGS.psum1_saved_3_lut[35]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_36 "PSUM2AND1_REGS.psum1_saved_3_lut[36]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h60")) - ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_34 "PSUM2AND1_REGS.psum1_saved_3_lut[34]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h60")) - ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_33 "PSUM2AND1_REGS.psum1_saved_3_lut[33]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_29 "PSUM2AND1_REGS.psum1_saved_3_lut[29]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_34 "PSUM2AND1_REGS.psum1_saved_3_lut[34]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_30 "PSUM2AND1_REGS.psum1_saved_3_lut[30]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_36 "PSUM2AND1_REGS.psum1_saved_3_lut[36]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_32 "PSUM2AND1_REGS.psum1_saved_3_lut[32]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_30 "PSUM2AND1_REGS.psum1_saved_3_lut[30]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_31 "PSUM2AND1_REGS.psum1_saved_3_lut[31]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_29 "PSUM2AND1_REGS.psum1_saved_3_lut[29]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_27 "PSUM2AND1_REGS.psum1_saved_3_lut[27]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_28 "PSUM2AND1_REGS.psum1_saved_3_lut[28]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_26 "PSUM2AND1_REGS.psum1_saved_3_lut[26]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_25 "PSUM2AND1_REGS.psum1_saved_3_lut[25]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_28 "PSUM2AND1_REGS.psum1_saved_3_lut[28]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h60")) - ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_27 "PSUM2AND1_REGS.psum1_saved_3_lut[27]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h60")) - ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_23 "PSUM2AND1_REGS.psum1_saved_3_lut[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h60")) - ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_24 "PSUM2AND1_REGS.psum1_saved_3_lut[24]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_22 "PSUM2AND1_REGS.psum1_saved_3_lut[22]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_23 "PSUM2AND1_REGS.psum1_saved_3_lut[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_21 "PSUM2AND1_REGS.psum1_saved_3_lut[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_17 "PSUM2AND1_REGS.psum1_saved_3_lut[17]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_20 "PSUM2AND1_REGS.psum1_saved_3_lut[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) @@ -51991,7 +52073,10 @@ (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_18 "PSUM2AND1_REGS.psum1_saved_3_lut[18]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_17 "PSUM2AND1_REGS.psum1_saved_3_lut[17]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_16 "PSUM2AND1_REGS.psum1_saved_3_lut[16]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_13 "PSUM2AND1_REGS.psum1_saved_3_lut[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_14 "PSUM2AND1_REGS.psum1_saved_3_lut[14]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) @@ -52000,10 +52085,10 @@ (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_15 "PSUM2AND1_REGS.psum1_saved_3_lut[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_13 "PSUM2AND1_REGS.psum1_saved_3_lut[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_12 "PSUM2AND1_REGS.psum1_saved_3_lut[12]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_16 "PSUM2AND1_REGS.psum1_saved_3_lut[16]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_11 "PSUM2AND1_REGS.psum1_saved_3_lut[11]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_10 "PSUM2AND1_REGS.psum1_saved_3_lut[10]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) @@ -52012,13 +52097,7 @@ (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_9 "PSUM2AND1_REGS.psum1_saved_3_lut[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_11 "PSUM2AND1_REGS.psum1_saved_3_lut[11]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h60")) - ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_12 "PSUM2AND1_REGS.psum1_saved_3_lut[12]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h60")) - ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_8 "PSUM2AND1_REGS.psum1_saved_3_lut[8]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_5 "PSUM2AND1_REGS.psum1_saved_3_lut[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_6 "PSUM2AND1_REGS.psum1_saved_3_lut[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) @@ -52027,7 +52106,10 @@ (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_7 "PSUM2AND1_REGS.psum1_saved_3_lut[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_5 "PSUM2AND1_REGS.psum1_saved_3_lut[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_8 "PSUM2AND1_REGS.psum1_saved_3_lut[8]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_4 "PSUM2AND1_REGS.psum1_saved_3_lut[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_1 "PSUM2AND1_REGS.psum1_saved_3_lut[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) @@ -52039,9 +52121,6 @@ (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_3 "PSUM2AND1_REGS.psum1_saved_3_lut[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_4 "PSUM2AND1_REGS.psum1_saved_3_lut[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h60")) - ) (instance (rename A1_product_signedAdd_0_42_0 "A1_product_signedAdd_0[42:0]") (viewRef PRIM (cellRef DSP48E2 (libraryRef VIRTEXR))) (property ADREG (integer 0)) (property BMULTSEL (string "B")) @@ -52222,6 +52301,18 @@ (instance (rename PSUM2AND1_REGS_psum1_saved_3_57 "PSUM2AND1_REGS.psum1_saved_3[57]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) (property INIT (string "4'h8")) ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_55 "PSUM2AND1_REGS.psum1_saved_3[55]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_53 "PSUM2AND1_REGS.psum1_saved_3[53]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_52 "PSUM2AND1_REGS.psum1_saved_3[52]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_51 "PSUM2AND1_REGS.psum1_saved_3[51]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_49 "PSUM2AND1_REGS.psum1_saved_3[49]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) (property INIT (string "4'h8")) ) @@ -52243,6 +52334,18 @@ (instance psum1_signed_s_49_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) (property INIT (string "2'h2")) ) + (instance psum1_signed_s_51_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_52_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_53_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance psum1_signed_s_55_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) (instance psum1_signed_s_57_thru (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) (property INIT (string "2'h2")) ) @@ -52514,6 +52617,18 @@ (instance psum1_signed_s_57 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) (property INIT (string "4'h6")) ) + (instance psum1_signed_s_55 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_53 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_52 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance psum1_signed_s_51 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) (instance psum1_signed_s_49 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) (property INIT (string "4'h6")) ) @@ -53040,6 +53155,10 @@ (portRef I0 (instanceRef PSUM2AND1_REGS_un1_enable)) (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_0)) (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_49)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_51)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_52)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_53)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_55)) (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_57)) (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_58)) (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_59)) @@ -53052,67 +53171,77 @@ (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_67)) (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_68)) (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_69)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_3)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_2)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_1)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_8)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_8)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_12)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_11)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_10)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_16)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_13)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_11)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_12)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_15)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_14)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_17)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_13)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_16)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_18)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_19)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_20)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_17)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_23)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_24)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_23)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_27)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_28)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_25)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_26)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_31)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_32)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_30)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_28)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_27)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_29)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_33)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_34)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_31)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_30)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_32)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_36)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_34)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_33)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_35)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_40)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_37)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_39)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_38)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_40)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_42)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_43)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_41)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_44)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_48)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_46)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_45)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_43)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_42)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_41)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_47)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_51)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_48)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_45)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_46)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_50)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_52)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_55)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_54)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_53)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_56)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_54)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_64)) )) (net psum1_saved_3_6_0 (joined (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_64)) (portRef D (instanceRef psum1_saved_64)) )) + (net (rename A1_product_signed_54 "A1_product_signed(54)") (joined + (portRef (member P 36) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_55)) + (portRef I0 (instanceRef psum1_signed_cry_54_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_54)) + )) + (net psum1_signed_cry_53 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_55)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_54)) + )) + (net (rename psum1_saved_3_54 "psum1_saved_3(54)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_54)) + (portRef D (instanceRef psum1_saved_54)) + )) (net (rename A1_product_signed_56 "A1_product_signed(56)") (joined (portRef (member P 34) (instanceRef A1_product_signedAdd_2_24_0)) (portRef (member DI 3) (instanceRef psum1_signed_cry_59)) @@ -53128,63 +53257,6 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_56)) (portRef D (instanceRef psum1_saved_56)) )) - (net (rename A1_product_signed_53 "A1_product_signed(53)") (joined - (portRef (member P 37) (instanceRef A1_product_signedAdd_2_24_0)) - (portRef (member DI 2) (instanceRef psum1_signed_cry_55)) - (portRef I0 (instanceRef psum1_signed_cry_53_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_53)) - )) - (net psum1_signed_cry_52 (joined - (portRef (member CO 3) (instanceRef psum1_signed_cry_55)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_53)) - )) - (net (rename psum1_saved_3_53 "psum1_saved_3(53)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_53)) - (portRef D (instanceRef psum1_saved_53)) - )) - (net (rename A1_product_signed_54 "A1_product_signed(54)") (joined - (portRef (member P 36) (instanceRef A1_product_signedAdd_2_24_0)) - (portRef (member DI 1) (instanceRef psum1_signed_cry_55)) - (portRef I0 (instanceRef psum1_signed_cry_54_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_54)) - )) - (net psum1_signed_cry_53 (joined - (portRef (member CO 2) (instanceRef psum1_signed_cry_55)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_54)) - )) - (net (rename psum1_saved_3_54 "psum1_saved_3(54)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_54)) - (portRef D (instanceRef psum1_saved_54)) - )) - (net (rename A1_product_signed_55 "A1_product_signed(55)") (joined - (portRef (member P 35) (instanceRef A1_product_signedAdd_2_24_0)) - (portRef (member DI 0) (instanceRef psum1_signed_cry_55)) - (portRef I0 (instanceRef psum1_signed_cry_55_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_55)) - )) - (net psum1_signed_cry_54 (joined - (portRef (member CO 1) (instanceRef psum1_signed_cry_55)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_55)) - )) - (net (rename psum1_saved_3_55 "psum1_saved_3(55)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_55)) - (portRef D (instanceRef psum1_saved_55)) - )) - (net (rename A1_product_signed_52 "A1_product_signed(52)") (joined - (portRef (member P 38) (instanceRef A1_product_signedAdd_2_24_0)) - (portRef (member DI 3) (instanceRef psum1_signed_cry_55)) - (portRef I0 (instanceRef psum1_signed_cry_52_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_52)) - )) - (net (rename psum1_signed_cryZ0Z_51 "psum1_signed_cry_51") (joined - (portRef (member CO 0) (instanceRef psum1_signed_cry_51)) - (portRef CI (instanceRef psum1_signed_cry_55)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_52)) - )) - (net (rename psum1_saved_3_52 "psum1_saved_3(52)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_52)) - (portRef D (instanceRef psum1_saved_52)) - )) (net (rename A1_product_signed_50 "A1_product_signed(50)") (joined (portRef (member P 40) (instanceRef A1_product_signedAdd_2_24_0)) (portRef (member DI 1) (instanceRef psum1_signed_cry_51)) @@ -53199,33 +53271,19 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_50)) (portRef D (instanceRef psum1_saved_50)) )) - (net (rename A1_product_signed_51 "A1_product_signed(51)") (joined - (portRef (member P 39) (instanceRef A1_product_signedAdd_2_24_0)) - (portRef (member DI 0) (instanceRef psum1_signed_cry_51)) - (portRef I0 (instanceRef psum1_signed_cry_51_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_51)) + (net (rename A1_product_signed_46 "A1_product_signed(46)") (joined + (portRef (member P 44) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_47)) + (portRef I0 (instanceRef psum1_signed_cry_46_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_46)) )) - (net psum1_signed_cry_50 (joined - (portRef (member CO 1) (instanceRef psum1_signed_cry_51)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_51)) + (net psum1_signed_cry_45 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_47)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_46)) )) - (net (rename psum1_saved_3_51 "psum1_saved_3(51)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_51)) - (portRef D (instanceRef psum1_saved_51)) - )) - (net (rename A1_product_signed_47 "A1_product_signed(47)") (joined - (portRef (member P 43) (instanceRef A1_product_signedAdd_2_24_0)) - (portRef (member DI 0) (instanceRef psum1_signed_cry_47)) - (portRef I0 (instanceRef psum1_signed_cry_47_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_47)) - )) - (net psum1_signed_cry_46 (joined - (portRef (member CO 1) (instanceRef psum1_signed_cry_47)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_47)) - )) - (net (rename psum1_saved_3_47 "psum1_saved_3(47)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_47)) - (portRef D (instanceRef psum1_saved_47)) + (net (rename psum1_saved_3_46 "psum1_saved_3(46)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_46)) + (portRef D (instanceRef psum1_saved_46)) )) (net (rename A1_product_signed_45 "A1_product_signed(45)") (joined (portRef (member P 45) (instanceRef A1_product_signedAdd_2_24_0)) @@ -53241,20 +53299,6 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_45)) (portRef D (instanceRef psum1_saved_45)) )) - (net (rename A1_product_signed_46 "A1_product_signed(46)") (joined - (portRef (member P 44) (instanceRef A1_product_signedAdd_2_24_0)) - (portRef (member DI 1) (instanceRef psum1_signed_cry_47)) - (portRef I0 (instanceRef psum1_signed_cry_46_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_46)) - )) - (net psum1_signed_cry_45 (joined - (portRef (member CO 2) (instanceRef psum1_signed_cry_47)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_46)) - )) - (net (rename psum1_saved_3_46 "psum1_saved_3(46)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_46)) - (portRef D (instanceRef psum1_saved_46)) - )) (net (rename A1_product_signed_48 "A1_product_signed(48)") (joined (portRef (member P 42) (instanceRef A1_product_signedAdd_2_24_0)) (portRef (member DI 3) (instanceRef psum1_signed_cry_51)) @@ -53270,20 +53314,19 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_48)) (portRef D (instanceRef psum1_saved_48)) )) - (net (rename A1_product_signed_44 "A1_product_signed(44)") (joined - (portRef (member P 46) (instanceRef A1_product_signedAdd_2_24_0)) - (portRef (member DI 3) (instanceRef psum1_signed_cry_47)) - (portRef I0 (instanceRef psum1_signed_cry_44_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_44)) + (net (rename A1_product_signed_47 "A1_product_signed(47)") (joined + (portRef (member P 43) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_47)) + (portRef I0 (instanceRef psum1_signed_cry_47_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_47)) )) - (net (rename psum1_signed_cryZ0Z_43 "psum1_signed_cry_43") (joined - (portRef (member CO 0) (instanceRef psum1_signed_cry_43)) - (portRef CI (instanceRef psum1_signed_cry_47)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_44)) + (net psum1_signed_cry_46 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_47)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_47)) )) - (net (rename psum1_saved_3_44 "psum1_saved_3(44)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_44)) - (portRef D (instanceRef psum1_saved_44)) + (net (rename psum1_saved_3_47 "psum1_saved_3(47)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_47)) + (portRef D (instanceRef psum1_saved_47)) )) (net (rename A1_product_signed_41 "A1_product_signed(41)") (joined (portRef (member P 32) (instanceRef A1_product_signedAdd_1_34_0)) @@ -53299,20 +53342,6 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_41)) (portRef D (instanceRef psum1_saved_41)) )) - (net (rename A1_product_signed_43 "A1_product_signed(43)") (joined - (portRef (member P 47) (instanceRef A1_product_signedAdd_2_24_0)) - (portRef (member DI 0) (instanceRef psum1_signed_cry_43)) - (portRef I0 (instanceRef psum1_signed_cry_43_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_43)) - )) - (net psum1_signed_cry_42 (joined - (portRef (member CO 1) (instanceRef psum1_signed_cry_43)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_43)) - )) - (net (rename psum1_saved_3_43 "psum1_saved_3(43)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_43)) - (portRef D (instanceRef psum1_saved_43)) - )) (net (rename A1_product_signed_42 "A1_product_signed(42)") (joined (portRef (member P 31) (instanceRef A1_product_signedAdd_1_34_0)) (portRef (member DI 1) (instanceRef psum1_signed_cry_43)) @@ -53327,20 +53356,34 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_42)) (portRef D (instanceRef psum1_saved_42)) )) - (net (rename A1_product_signed_40 "A1_product_signed(40)") (joined - (portRef (member P 33) (instanceRef A1_product_signedAdd_1_34_0)) - (portRef (member DI 3) (instanceRef psum1_signed_cry_43)) - (portRef I0 (instanceRef psum1_signed_cry_40_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_40)) + (net (rename A1_product_signed_43 "A1_product_signed(43)") (joined + (portRef (member P 47) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_43)) + (portRef I0 (instanceRef psum1_signed_cry_43_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_43)) )) - (net (rename psum1_signed_cryZ0Z_39 "psum1_signed_cry_39") (joined - (portRef (member CO 0) (instanceRef psum1_signed_cry_39)) - (portRef CI (instanceRef psum1_signed_cry_43)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_40)) + (net psum1_signed_cry_42 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_43)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_43)) )) - (net (rename psum1_saved_3_40 "psum1_saved_3(40)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_40)) - (portRef D (instanceRef psum1_saved_40)) + (net (rename psum1_saved_3_43 "psum1_saved_3(43)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_43)) + (portRef D (instanceRef psum1_saved_43)) + )) + (net (rename A1_product_signed_44 "A1_product_signed(44)") (joined + (portRef (member P 46) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_47)) + (portRef I0 (instanceRef psum1_signed_cry_44_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_44)) + )) + (net (rename psum1_signed_cryZ0Z_43 "psum1_signed_cry_43") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_43)) + (portRef CI (instanceRef psum1_signed_cry_47)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_44)) + )) + (net (rename psum1_saved_3_44 "psum1_saved_3(44)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_44)) + (portRef D (instanceRef psum1_saved_44)) )) (net (rename A1_product_signed_38 "A1_product_signed(38)") (joined (portRef (member P 35) (instanceRef A1_product_signedAdd_1_34_0)) @@ -53384,6 +53427,21 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_37)) (portRef D (instanceRef psum1_saved_37)) )) + (net (rename A1_product_signed_40 "A1_product_signed(40)") (joined + (portRef (member P 33) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_43)) + (portRef I0 (instanceRef psum1_signed_cry_40_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_40)) + )) + (net (rename psum1_signed_cryZ0Z_39 "psum1_signed_cry_39") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_39)) + (portRef CI (instanceRef psum1_signed_cry_43)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_40)) + )) + (net (rename psum1_saved_3_40 "psum1_saved_3(40)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_40)) + (portRef D (instanceRef psum1_saved_40)) + )) (net (rename A1_product_signed_35 "A1_product_signed(35)") (joined (portRef (member P 38) (instanceRef A1_product_signedAdd_1_34_0)) (portRef (member DI 0) (instanceRef psum1_signed_cry_35)) @@ -53398,6 +53456,34 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_35)) (portRef D (instanceRef psum1_saved_35)) )) + (net (rename A1_product_signed_33 "A1_product_signed(33)") (joined + (portRef (member P 40) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_35)) + (portRef I0 (instanceRef psum1_signed_cry_33_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_33)) + )) + (net psum1_signed_cry_32 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_35)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_33)) + )) + (net (rename psum1_saved_3_33 "psum1_saved_3(33)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_33)) + (portRef D (instanceRef psum1_saved_33)) + )) + (net (rename A1_product_signed_34 "A1_product_signed(34)") (joined + (portRef (member P 39) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_35)) + (portRef I0 (instanceRef psum1_signed_cry_34_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_34)) + )) + (net psum1_signed_cry_33 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_35)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_34)) + )) + (net (rename psum1_saved_3_34 "psum1_saved_3(34)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_34)) + (portRef D (instanceRef psum1_saved_34)) + )) (net (rename A1_product_signed_36 "A1_product_signed(36)") (joined (portRef (member P 37) (instanceRef A1_product_signedAdd_1_34_0)) (portRef (member DI 3) (instanceRef psum1_signed_cry_39)) @@ -53413,62 +53499,6 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_36)) (portRef D (instanceRef psum1_saved_36)) )) - (net (rename A1_product_signed_34 "A1_product_signed(34)") (joined - (portRef (member P 39) (instanceRef A1_product_signedAdd_1_34_0)) - (portRef (member DI 1) (instanceRef psum1_signed_cry_35)) - (portRef I0 (instanceRef psum1_signed_cry_34_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_34)) - )) - (net psum1_signed_cry_33 (joined - (portRef (member CO 2) (instanceRef psum1_signed_cry_35)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_34)) - )) - (net (rename psum1_saved_3_34 "psum1_saved_3(34)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_34)) - (portRef D (instanceRef psum1_saved_34)) - )) - (net (rename A1_product_signed_33 "A1_product_signed(33)") (joined - (portRef (member P 40) (instanceRef A1_product_signedAdd_1_34_0)) - (portRef (member DI 2) (instanceRef psum1_signed_cry_35)) - (portRef I0 (instanceRef psum1_signed_cry_33_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_33)) - )) - (net psum1_signed_cry_32 (joined - (portRef (member CO 3) (instanceRef psum1_signed_cry_35)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_33)) - )) - (net (rename psum1_saved_3_33 "psum1_saved_3(33)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_33)) - (portRef D (instanceRef psum1_saved_33)) - )) - (net (rename A1_product_signed_29 "A1_product_signed(29)") (joined - (portRef (member P 44) (instanceRef A1_product_signedAdd_1_34_0)) - (portRef (member DI 2) (instanceRef psum1_signed_cry_31)) - (portRef I0 (instanceRef psum1_signed_cry_29_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_29)) - )) - (net psum1_signed_cry_28 (joined - (portRef (member CO 3) (instanceRef psum1_signed_cry_31)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_29)) - )) - (net (rename psum1_saved_3_29 "psum1_saved_3(29)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_29)) - (portRef D (instanceRef psum1_saved_29)) - )) - (net (rename A1_product_signed_30 "A1_product_signed(30)") (joined - (portRef (member P 43) (instanceRef A1_product_signedAdd_1_34_0)) - (portRef (member DI 1) (instanceRef psum1_signed_cry_31)) - (portRef I0 (instanceRef psum1_signed_cry_30_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_30)) - )) - (net psum1_signed_cry_29 (joined - (portRef (member CO 2) (instanceRef psum1_signed_cry_31)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_30)) - )) - (net (rename psum1_saved_3_30 "psum1_saved_3(30)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_30)) - (portRef D (instanceRef psum1_saved_30)) - )) (net (rename A1_product_signed_32 "A1_product_signed(32)") (joined (portRef (member P 41) (instanceRef A1_product_signedAdd_1_34_0)) (portRef (member DI 3) (instanceRef psum1_signed_cry_35)) @@ -53484,6 +53514,20 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_32)) (portRef D (instanceRef psum1_saved_32)) )) + (net (rename A1_product_signed_30 "A1_product_signed(30)") (joined + (portRef (member P 43) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_31)) + (portRef I0 (instanceRef psum1_signed_cry_30_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_30)) + )) + (net psum1_signed_cry_29 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_31)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_30)) + )) + (net (rename psum1_saved_3_30 "psum1_saved_3(30)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_30)) + (portRef D (instanceRef psum1_saved_30)) + )) (net (rename A1_product_signed_31 "A1_product_signed(31)") (joined (portRef (member P 42) (instanceRef A1_product_signedAdd_1_34_0)) (portRef (member DI 0) (instanceRef psum1_signed_cry_31)) @@ -53498,6 +53542,49 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_31)) (portRef D (instanceRef psum1_saved_31)) )) + (net (rename A1_product_signed_29 "A1_product_signed(29)") (joined + (portRef (member P 44) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_31)) + (portRef I0 (instanceRef psum1_signed_cry_29_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_29)) + )) + (net psum1_signed_cry_28 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_31)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_29)) + )) + (net (rename psum1_saved_3_29 "psum1_saved_3(29)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_29)) + (portRef D (instanceRef psum1_saved_29)) + )) + (net (rename A1_product_signed_27 "A1_product_signed(27)") (joined + (portRef (member P 46) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_27)) + (portRef I0 (instanceRef psum1_signed_cry_27_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_27)) + )) + (net psum1_signed_cry_26 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_27)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_27)) + )) + (net (rename psum1_saved_3_27 "psum1_saved_3(27)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_27)) + (portRef D (instanceRef psum1_saved_27)) + )) + (net (rename A1_product_signed_28 "A1_product_signed(28)") (joined + (portRef (member P 45) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_31)) + (portRef I0 (instanceRef psum1_signed_cry_28_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_28)) + )) + (net (rename psum1_signed_cryZ0Z_27 "psum1_signed_cry_27") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_27)) + (portRef CI (instanceRef psum1_signed_cry_31)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_28)) + )) + (net (rename psum1_saved_3_28 "psum1_saved_3(28)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_28)) + (portRef D (instanceRef psum1_saved_28)) + )) (net (rename A1_product_signed_26 "A1_product_signed(26)") (joined (portRef (member P 47) (instanceRef A1_product_signedAdd_1_34_0)) (portRef (member DI 1) (instanceRef psum1_signed_cry_27)) @@ -53526,49 +53613,6 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_25)) (portRef D (instanceRef psum1_saved_25)) )) - (net (rename A1_product_signed_28 "A1_product_signed(28)") (joined - (portRef (member P 45) (instanceRef A1_product_signedAdd_1_34_0)) - (portRef (member DI 3) (instanceRef psum1_signed_cry_31)) - (portRef I0 (instanceRef psum1_signed_cry_28_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_28)) - )) - (net (rename psum1_signed_cryZ0Z_27 "psum1_signed_cry_27") (joined - (portRef (member CO 0) (instanceRef psum1_signed_cry_27)) - (portRef CI (instanceRef psum1_signed_cry_31)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_28)) - )) - (net (rename psum1_saved_3_28 "psum1_saved_3(28)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_28)) - (portRef D (instanceRef psum1_saved_28)) - )) - (net (rename A1_product_signed_27 "A1_product_signed(27)") (joined - (portRef (member P 46) (instanceRef A1_product_signedAdd_1_34_0)) - (portRef (member DI 0) (instanceRef psum1_signed_cry_27)) - (portRef I0 (instanceRef psum1_signed_cry_27_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_27)) - )) - (net psum1_signed_cry_26 (joined - (portRef (member CO 1) (instanceRef psum1_signed_cry_27)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_27)) - )) - (net (rename psum1_saved_3_27 "psum1_saved_3(27)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_27)) - (portRef D (instanceRef psum1_saved_27)) - )) - (net (rename A1_product_signed_23 "A1_product_signed(23)") (joined - (portRef (member P 41) (instanceRef A1_product_signedAdd_0_42_0)) - (portRef (member DI 0) (instanceRef psum1_signed_cry_23)) - (portRef I0 (instanceRef psum1_signed_cry_23_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_23)) - )) - (net psum1_signed_cry_22 (joined - (portRef (member CO 1) (instanceRef psum1_signed_cry_23)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_23)) - )) - (net (rename psum1_saved_3_23 "psum1_saved_3(23)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_23)) - (portRef D (instanceRef psum1_saved_23)) - )) (net (rename A1_product_signed_24 "A1_product_signed(24)") (joined (portRef (member P 40) (instanceRef A1_product_signedAdd_0_42_0)) (portRef (member DI 3) (instanceRef psum1_signed_cry_27)) @@ -53598,6 +53642,20 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) (portRef D (instanceRef psum1_saved_22)) )) + (net (rename A1_product_signed_23 "A1_product_signed(23)") (joined + (portRef (member P 41) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_23)) + (portRef I0 (instanceRef psum1_signed_cry_23_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_23)) + )) + (net psum1_signed_cry_22 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_23)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_23)) + )) + (net (rename psum1_saved_3_23 "psum1_saved_3(23)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_23)) + (portRef D (instanceRef psum1_saved_23)) + )) (net (rename A1_product_signed_21 "A1_product_signed(21)") (joined (portRef (member P 43) (instanceRef A1_product_signedAdd_0_42_0)) (portRef (member DI 2) (instanceRef psum1_signed_cry_23)) @@ -53612,6 +53670,20 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) (portRef D (instanceRef psum1_saved_21)) )) + (net (rename A1_product_signed_17 "A1_product_signed(17)") (joined + (portRef (member P 47) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_19)) + (portRef I0 (instanceRef psum1_signed_cry_17_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_17)) + )) + (net psum1_signed_cry_16 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_19)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_17)) + )) + (net (rename psum1_saved_3_17 "psum1_saved_3(17)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_17)) + (portRef D (instanceRef psum1_saved_17)) + )) (net (rename A1_product_signed_20 "A1_product_signed(20)") (joined (portRef (member P 44) (instanceRef A1_product_signedAdd_0_42_0)) (portRef (member DI 3) (instanceRef psum1_signed_cry_23)) @@ -53655,19 +53727,34 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_18)) (portRef D (instanceRef psum1_saved_18)) )) - (net (rename A1_product_signed_17 "A1_product_signed(17)") (joined - (portRef (member P 47) (instanceRef A1_product_signedAdd_0_42_0)) - (portRef (member DI 2) (instanceRef psum1_signed_cry_19)) - (portRef I0 (instanceRef psum1_signed_cry_17_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_17)) + (net (rename A1_product_signed_16 "A1_product_signed(16)") (joined + (portRef (member P 31) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_19)) + (portRef I0 (instanceRef psum1_signed_cry_16_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_16)) )) - (net psum1_signed_cry_16 (joined - (portRef (member CO 3) (instanceRef psum1_signed_cry_19)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_17)) + (net (rename psum1_signed_cryZ0Z_15 "psum1_signed_cry_15") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_15)) + (portRef CI (instanceRef psum1_signed_cry_19)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_16)) )) - (net (rename psum1_saved_3_17 "psum1_saved_3(17)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_17)) - (portRef D (instanceRef psum1_saved_17)) + (net (rename psum1_saved_3_16 "psum1_saved_3(16)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_16)) + (portRef D (instanceRef psum1_saved_16)) + )) + (net (rename A1_product_signed_13 "A1_product_signed(13)") (joined + (portRef (member P 34) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_15)) + (portRef I0 (instanceRef psum1_signed_cry_13_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_13)) + )) + (net psum1_signed_cry_12 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_15)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_13)) + )) + (net (rename psum1_saved_3_13 "psum1_saved_3(13)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_13)) + (portRef D (instanceRef psum1_saved_13)) )) (net (rename A1_product_signed_14 "A1_product_signed(14)") (joined (portRef (member P 33) (instanceRef A1_product_signed_0_44_0)) @@ -53697,34 +53784,34 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_15)) (portRef D (instanceRef psum1_saved_15)) )) - (net (rename A1_product_signed_13 "A1_product_signed(13)") (joined - (portRef (member P 34) (instanceRef A1_product_signed_0_44_0)) - (portRef (member DI 2) (instanceRef psum1_signed_cry_15)) - (portRef I0 (instanceRef psum1_signed_cry_13_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_13)) + (net (rename A1_product_signed_12 "A1_product_signed(12)") (joined + (portRef (member P 35) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_15)) + (portRef I0 (instanceRef psum1_signed_cry_12_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_12)) )) - (net psum1_signed_cry_12 (joined - (portRef (member CO 3) (instanceRef psum1_signed_cry_15)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_13)) + (net (rename psum1_signed_cryZ0Z_11 "psum1_signed_cry_11") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_11)) + (portRef CI (instanceRef psum1_signed_cry_15)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_12)) )) - (net (rename psum1_saved_3_13 "psum1_saved_3(13)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_13)) - (portRef D (instanceRef psum1_saved_13)) + (net (rename psum1_saved_3_12 "psum1_saved_3(12)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_12)) + (portRef D (instanceRef psum1_saved_12)) )) - (net (rename A1_product_signed_16 "A1_product_signed(16)") (joined - (portRef (member P 31) (instanceRef A1_product_signed_0_44_0)) - (portRef (member DI 3) (instanceRef psum1_signed_cry_19)) - (portRef I0 (instanceRef psum1_signed_cry_16_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_16)) + (net (rename A1_product_signed_11 "A1_product_signed(11)") (joined + (portRef (member P 36) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_11)) + (portRef I0 (instanceRef psum1_signed_cry_11_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_11)) )) - (net (rename psum1_signed_cryZ0Z_15 "psum1_signed_cry_15") (joined - (portRef (member CO 0) (instanceRef psum1_signed_cry_15)) - (portRef CI (instanceRef psum1_signed_cry_19)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_16)) + (net psum1_signed_cry_10 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_11)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_11)) )) - (net (rename psum1_saved_3_16 "psum1_saved_3(16)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_16)) - (portRef D (instanceRef psum1_saved_16)) + (net (rename psum1_saved_3_11 "psum1_saved_3(11)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_11)) + (portRef D (instanceRef psum1_saved_11)) )) (net (rename A1_product_signed_10 "A1_product_signed(10)") (joined (portRef (member P 37) (instanceRef A1_product_signed_0_44_0)) @@ -53754,49 +53841,19 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) (portRef D (instanceRef psum1_saved_9)) )) - (net (rename A1_product_signed_11 "A1_product_signed(11)") (joined - (portRef (member P 36) (instanceRef A1_product_signed_0_44_0)) - (portRef (member DI 0) (instanceRef psum1_signed_cry_11)) - (portRef I0 (instanceRef psum1_signed_cry_11_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_11)) + (net (rename A1_product_signed_5 "A1_product_signed(5)") (joined + (portRef (member P 42) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_7)) + (portRef I0 (instanceRef psum1_signed_cry_5_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) )) - (net psum1_signed_cry_10 (joined - (portRef (member CO 1) (instanceRef psum1_signed_cry_11)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_11)) + (net psum1_signed_cry_4 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_7)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) )) - (net (rename psum1_saved_3_11 "psum1_saved_3(11)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_11)) - (portRef D (instanceRef psum1_saved_11)) - )) - (net (rename A1_product_signed_12 "A1_product_signed(12)") (joined - (portRef (member P 35) (instanceRef A1_product_signed_0_44_0)) - (portRef (member DI 3) (instanceRef psum1_signed_cry_15)) - (portRef I0 (instanceRef psum1_signed_cry_12_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_12)) - )) - (net (rename psum1_signed_cryZ0Z_11 "psum1_signed_cry_11") (joined - (portRef (member CO 0) (instanceRef psum1_signed_cry_11)) - (portRef CI (instanceRef psum1_signed_cry_15)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_12)) - )) - (net (rename psum1_saved_3_12 "psum1_saved_3(12)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_12)) - (portRef D (instanceRef psum1_saved_12)) - )) - (net (rename A1_product_signed_8 "A1_product_signed(8)") (joined - (portRef (member P 39) (instanceRef A1_product_signed_0_44_0)) - (portRef (member DI 3) (instanceRef psum1_signed_cry_11)) - (portRef I0 (instanceRef psum1_signed_cry_8_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_8)) - )) - (net (rename psum1_signed_cryZ0Z_7 "psum1_signed_cry_7") (joined - (portRef (member CO 0) (instanceRef psum1_signed_cry_7)) - (portRef CI (instanceRef psum1_signed_cry_11)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_8)) - )) - (net (rename psum1_saved_3_8 "psum1_saved_3(8)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_8)) - (portRef D (instanceRef psum1_saved_8)) + (net (rename psum1_saved_3_5 "psum1_saved_3(5)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) + (portRef D (instanceRef psum1_saved_5)) )) (net (rename A1_product_signed_6 "A1_product_signed(6)") (joined (portRef (member P 41) (instanceRef A1_product_signed_0_44_0)) @@ -53826,19 +53883,35 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) (portRef D (instanceRef psum1_saved_7)) )) - (net (rename A1_product_signed_5 "A1_product_signed(5)") (joined - (portRef (member P 42) (instanceRef A1_product_signed_0_44_0)) - (portRef (member DI 2) (instanceRef psum1_signed_cry_7)) - (portRef I0 (instanceRef psum1_signed_cry_5_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) + (net (rename A1_product_signed_8 "A1_product_signed(8)") (joined + (portRef (member P 39) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_11)) + (portRef I0 (instanceRef psum1_signed_cry_8_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_8)) )) - (net psum1_signed_cry_4 (joined - (portRef (member CO 3) (instanceRef psum1_signed_cry_7)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) + (net (rename psum1_signed_cryZ0Z_7 "psum1_signed_cry_7") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_7)) + (portRef CI (instanceRef psum1_signed_cry_11)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_8)) )) - (net (rename psum1_saved_3_5 "psum1_saved_3(5)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) - (portRef D (instanceRef psum1_saved_5)) + (net (rename psum1_saved_3_8 "psum1_saved_3(8)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_8)) + (portRef D (instanceRef psum1_saved_8)) + )) + (net (rename A1_product_signed_4 "A1_product_signed(4)") (joined + (portRef (member P 43) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_7)) + (portRef I0 (instanceRef psum1_signed_cry_4_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) + )) + (net (rename psum1_signed_cryZ0Z_3 "psum1_signed_cry_3") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_3)) + (portRef CI (instanceRef psum1_signed_cry_7)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) + )) + (net (rename psum1_saved_3_4 "psum1_saved_3(4)") (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) + (portRef D (instanceRef psum1_saved_4)) )) (net (rename A1_product_signed_1 "A1_product_signed(1)") (joined (portRef (member P 46) (instanceRef A1_product_signed_0_44_0)) @@ -53882,21 +53955,6 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_3)) (portRef D (instanceRef psum1_saved_3)) )) - (net (rename A1_product_signed_4 "A1_product_signed(4)") (joined - (portRef (member P 43) (instanceRef A1_product_signed_0_44_0)) - (portRef (member DI 3) (instanceRef psum1_signed_cry_7)) - (portRef I0 (instanceRef psum1_signed_cry_4_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) - )) - (net (rename psum1_signed_cryZ0Z_3 "psum1_signed_cry_3") (joined - (portRef (member CO 0) (instanceRef psum1_signed_cry_3)) - (portRef CI (instanceRef psum1_signed_cry_7)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) - )) - (net (rename psum1_saved_3_4 "psum1_saved_3(4)") (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) - (portRef D (instanceRef psum1_saved_4)) - )) (net (rename A1_product_signedAdd_0_9 "A1_product_signedAdd_0(9)") (joined (portRef (member P 38) (instanceRef A1_product_signedAdd_0_42_0)) (portRef (member C 47) (instanceRef A1_product_signedAdd_1_34_0)) @@ -56482,6 +56540,30 @@ (portRef I0 (instanceRef psum1_signed_cry_49_thru)) (portRef I0 (instanceRef psum1_signed_s_49_thru)) )) + (net (rename A1_product_signed_51 "A1_product_signed(51)") (joined + (portRef (member P 39) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_51)) + (portRef I0 (instanceRef psum1_signed_cry_51_thru)) + (portRef I0 (instanceRef psum1_signed_s_51_thru)) + )) + (net (rename A1_product_signed_52 "A1_product_signed(52)") (joined + (portRef (member P 38) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_55)) + (portRef I0 (instanceRef psum1_signed_cry_52_thru)) + (portRef I0 (instanceRef psum1_signed_s_52_thru)) + )) + (net (rename A1_product_signed_53 "A1_product_signed(53)") (joined + (portRef (member P 37) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_55)) + (portRef I0 (instanceRef psum1_signed_cry_53_thru)) + (portRef I0 (instanceRef psum1_signed_s_53_thru)) + )) + (net (rename A1_product_signed_55 "A1_product_signed(55)") (joined + (portRef (member P 35) (instanceRef A1_product_signedAdd_2_24_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_55)) + (portRef I0 (instanceRef psum1_signed_cry_55_thru)) + (portRef I0 (instanceRef psum1_signed_s_55_thru)) + )) (net (rename A1_product_signed_57 "A1_product_signed(57)") (joined (portRef (member P 33) (instanceRef A1_product_signedAdd_2_24_0)) (portRef (member DI 2) (instanceRef psum1_signed_cry_59)) @@ -56898,6 +56980,38 @@ (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_57)) (portRef D (instanceRef psum1_saved_57)) )) + (net (rename psum1_signed_55 "psum1_signed(55)") (joined + (portRef LO (instanceRef psum1_signed_s_55)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_55)) + )) + (net (rename psum1_saved_3_55 "psum1_saved_3(55)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_55)) + (portRef D (instanceRef psum1_saved_55)) + )) + (net (rename psum1_signed_53 "psum1_signed(53)") (joined + (portRef LO (instanceRef psum1_signed_s_53)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_53)) + )) + (net (rename psum1_saved_3_53 "psum1_saved_3(53)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_53)) + (portRef D (instanceRef psum1_saved_53)) + )) + (net (rename psum1_signed_52 "psum1_signed(52)") (joined + (portRef LO (instanceRef psum1_signed_s_52)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_52)) + )) + (net (rename psum1_saved_3_52 "psum1_saved_3(52)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_52)) + (portRef D (instanceRef psum1_saved_52)) + )) + (net (rename psum1_signed_51 "psum1_signed(51)") (joined + (portRef LO (instanceRef psum1_signed_s_51)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_51)) + )) + (net (rename psum1_saved_3_51 "psum1_saved_3(51)") (joined + (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_51)) + (portRef D (instanceRef psum1_saved_51)) + )) (net (rename psum1_signed_49 "psum1_signed(49)") (joined (portRef LO (instanceRef psum1_signed_s_49)) (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_49)) @@ -56910,8 +57024,8 @@ (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_0)) (portRef D (instanceRef psum1_saved_0)) )) - (net en_rep_0 (joined - (portRef en_rep_0) + (net en_rep_3 (joined + (portRef en_rep_3) (portRef I1 (instanceRef PSUM2AND1_REGS_un1_enable)) )) (net un1_enable (joined @@ -57068,21 +57182,13 @@ (portRef O (instanceRef un1_psum0_signed_cry_51_RNI4S2S)) (portRef g0_10 (instanceRef OR25)) )) - (net (rename un1_psum0_signed_58 "un1_psum0_signed(58)") (joined - (portRef (member O 1) (instanceRef un1_psum0_signed_cry_59)) - (portRef (member un1_psum0_signed 6) (instanceRef gO_4_11_MUX12)) - (portRef un1_psum0_signed_0 (instanceRef gO_4_27_MUX12)) - (portRef (member un1_psum0_signed 7) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) - (portRef (member un1_psum0_signed 8) (instanceRef gO_2_gO_2d_INC2)) - (portRef I0 (instanceRef un1_psum0_signed_cry_59_RNI5V2S)) - )) (net (rename un1_psum0_signed_60 "un1_psum0_signed(60)") (joined (portRef (member O 3) (instanceRef un1_psum0_signed_cry_63)) (portRef un1_psum0_signed_0 (instanceRef gO_4_29_MUX12)) (portRef (member un1_psum0_signed 5) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) (portRef (member un1_psum0_signed 6) (instanceRef gO_2_gO_2d_INC2)) (portRef (member un1_psum0_signed 10) (instanceRef gO_2_gO_2cN_AN8)) - (portRef I1 (instanceRef un1_psum0_signed_cry_59_RNI5V2S)) + (portRef I0 (instanceRef un1_psum0_signed_cry_59_RNI5V2S)) )) (net (rename un1_psum0_signed_62 "un1_psum0_signed(62)") (joined (portRef (member O 1) (instanceRef un1_psum0_signed_cry_63)) @@ -57090,6 +57196,14 @@ (portRef (member un1_psum0_signed 3) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) (portRef (member un1_psum0_signed 4) (instanceRef gO_2_gO_2d_INC2)) (portRef (member un1_psum0_signed 8) (instanceRef gO_2_gO_2cN_AN8)) + (portRef I1 (instanceRef un1_psum0_signed_cry_59_RNI5V2S)) + )) + (net (rename un1_psum0_signed_58 "un1_psum0_signed(58)") (joined + (portRef (member O 1) (instanceRef un1_psum0_signed_cry_59)) + (portRef (member un1_psum0_signed 6) (instanceRef gO_4_11_MUX12)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_27_MUX12)) + (portRef (member un1_psum0_signed 7) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 8) (instanceRef gO_2_gO_2d_INC2)) (portRef I2 (instanceRef un1_psum0_signed_cry_59_RNI5V2S)) )) (net (rename un1_psum0_signed_61 "un1_psum0_signed(61)") (joined @@ -57108,6 +57222,22 @@ (portRef O (instanceRef psum1_signed_s_49_thru)) (portRef I0 (instanceRef psum1_signed_s_49)) )) + (net (rename A1_product_signed_1_51 "A1_product_signed_1(51)") (joined + (portRef O (instanceRef psum1_signed_s_51_thru)) + (portRef I0 (instanceRef psum1_signed_s_51)) + )) + (net (rename A1_product_signed_1_52 "A1_product_signed_1(52)") (joined + (portRef O (instanceRef psum1_signed_s_52_thru)) + (portRef I0 (instanceRef psum1_signed_s_52)) + )) + (net (rename A1_product_signed_1_53 "A1_product_signed_1(53)") (joined + (portRef O (instanceRef psum1_signed_s_53_thru)) + (portRef I0 (instanceRef psum1_signed_s_53)) + )) + (net (rename A1_product_signed_1_55 "A1_product_signed_1(55)") (joined + (portRef O (instanceRef psum1_signed_s_55_thru)) + (portRef I0 (instanceRef psum1_signed_s_55)) + )) (net (rename A1_product_signed_1_57 "A1_product_signed_1(57)") (joined (portRef O (instanceRef psum1_signed_s_57_thru)) (portRef I0 (instanceRef psum1_signed_s_57)) @@ -58027,6 +58157,23 @@ (portRef (member CO 3) (instanceRef psum1_signed_cry_59)) (portRef I1 (instanceRef psum1_signed_s_57)) )) + (net psum1_signed_cry_54 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_55)) + (portRef I1 (instanceRef psum1_signed_s_55)) + )) + (net psum1_signed_cry_52 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_55)) + (portRef I1 (instanceRef psum1_signed_s_53)) + )) + (net (rename psum1_signed_cryZ0Z_51 "psum1_signed_cry_51") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_51)) + (portRef CI (instanceRef psum1_signed_cry_55)) + (portRef I1 (instanceRef psum1_signed_s_52)) + )) + (net psum1_signed_cry_50 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_51)) + (portRef I1 (instanceRef psum1_signed_s_51)) + )) (net psum1_signed_cry_48 (joined (portRef (member CO 3) (instanceRef psum1_signed_cry_51)) (portRef I1 (instanceRef psum1_signed_s_49)) @@ -59145,7 +59292,7 @@ (port (array (rename dout_5 "dout_5(35:0)") 36) (direction OUTPUT)) (port rstn (direction INPUT)) (port rstn_i_fo (direction OUTPUT)) - (port en (direction INPUT)) + (port en_rep_2 (direction INPUT)) (port clk (direction INPUT)) (port rstn_i (direction INPUT)) (port saturation_5 (direction OUTPUT)) @@ -59163,7 +59310,7 @@ (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_41 "PSUM2AND1_REGS.psum1_saved_3_lut[41]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_37 "PSUM2AND1_REGS.psum1_saved_3_lut[37]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_39 "PSUM2AND1_REGS.psum1_saved_3_lut[39]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_40 "PSUM2AND1_REGS.psum1_saved_3_lut[40]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) @@ -59172,7 +59319,10 @@ (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_38 "PSUM2AND1_REGS.psum1_saved_3_lut[38]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_39 "PSUM2AND1_REGS.psum1_saved_3_lut[39]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_37 "PSUM2AND1_REGS.psum1_saved_3_lut[37]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_36 "PSUM2AND1_REGS.psum1_saved_3_lut[36]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_34 "PSUM2AND1_REGS.psum1_saved_3_lut[34]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) @@ -59181,10 +59331,10 @@ (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_35 "PSUM2AND1_REGS.psum1_saved_3_lut[35]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_36 "PSUM2AND1_REGS.psum1_saved_3_lut[36]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_33 "PSUM2AND1_REGS.psum1_saved_3_lut[33]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_33 "PSUM2AND1_REGS.psum1_saved_3_lut[33]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_31 "PSUM2AND1_REGS.psum1_saved_3_lut[31]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_32 "PSUM2AND1_REGS.psum1_saved_3_lut[32]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) @@ -59193,37 +59343,31 @@ (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_30 "PSUM2AND1_REGS.psum1_saved_3_lut[30]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_31 "PSUM2AND1_REGS.psum1_saved_3_lut[31]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h60")) - ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_29 "PSUM2AND1_REGS.psum1_saved_3_lut[29]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_28 "PSUM2AND1_REGS.psum1_saved_3_lut[28]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h60")) - ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_26 "PSUM2AND1_REGS.psum1_saved_3_lut[26]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h60")) - ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_27 "PSUM2AND1_REGS.psum1_saved_3_lut[27]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_25 "PSUM2AND1_REGS.psum1_saved_3_lut[25]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_22 "PSUM2AND1_REGS.psum1_saved_3_lut[22]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_26 "PSUM2AND1_REGS.psum1_saved_3_lut[26]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_28 "PSUM2AND1_REGS.psum1_saved_3_lut[28]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_21 "PSUM2AND1_REGS.psum1_saved_3_lut[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_23 "PSUM2AND1_REGS.psum1_saved_3_lut[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h60")) - ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_24 "PSUM2AND1_REGS.psum1_saved_3_lut[24]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_19 "PSUM2AND1_REGS.psum1_saved_3_lut[19]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_22 "PSUM2AND1_REGS.psum1_saved_3_lut[22]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_23 "PSUM2AND1_REGS.psum1_saved_3_lut[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_18 "PSUM2AND1_REGS.psum1_saved_3_lut[18]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) @@ -59232,10 +59376,10 @@ (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_20 "PSUM2AND1_REGS.psum1_saved_3_lut[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_17 "PSUM2AND1_REGS.psum1_saved_3_lut[17]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_19 "PSUM2AND1_REGS.psum1_saved_3_lut[19]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_15 "PSUM2AND1_REGS.psum1_saved_3_lut[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_17 "PSUM2AND1_REGS.psum1_saved_3_lut[17]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_16 "PSUM2AND1_REGS.psum1_saved_3_lut[16]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) @@ -59247,40 +59391,43 @@ (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_14 "PSUM2AND1_REGS.psum1_saved_3_lut[14]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_10 "PSUM2AND1_REGS.psum1_saved_3_lut[10]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h60")) - ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_11 "PSUM2AND1_REGS.psum1_saved_3_lut[11]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h60")) - ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_12 "PSUM2AND1_REGS.psum1_saved_3_lut[12]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_15 "PSUM2AND1_REGS.psum1_saved_3_lut[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_9 "PSUM2AND1_REGS.psum1_saved_3_lut[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_8 "PSUM2AND1_REGS.psum1_saved_3_lut[8]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_11 "PSUM2AND1_REGS.psum1_saved_3_lut[11]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_6 "PSUM2AND1_REGS.psum1_saved_3_lut[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_10 "PSUM2AND1_REGS.psum1_saved_3_lut[10]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_5 "PSUM2AND1_REGS.psum1_saved_3_lut[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_12 "PSUM2AND1_REGS.psum1_saved_3_lut[12]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_7 "PSUM2AND1_REGS.psum1_saved_3_lut[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_4 "PSUM2AND1_REGS.psum1_saved_3_lut[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_6 "PSUM2AND1_REGS.psum1_saved_3_lut[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_3 "PSUM2AND1_REGS.psum1_saved_3_lut[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_8 "PSUM2AND1_REGS.psum1_saved_3_lut[8]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_5 "PSUM2AND1_REGS.psum1_saved_3_lut[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_1 "PSUM2AND1_REGS.psum1_saved_3_lut[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_2 "PSUM2AND1_REGS.psum1_saved_3_lut[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) - (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_1 "PSUM2AND1_REGS.psum1_saved_3_lut[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_3 "PSUM2AND1_REGS.psum1_saved_3_lut[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h60")) + ) + (instance (rename PSUM2AND1_REGS_psum1_saved_3_lut_4 "PSUM2AND1_REGS.psum1_saved_3_lut[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h60")) ) (instance (rename A1_product_signedAdd_0_42_0 "A1_product_signedAdd_0[42:0]") (viewRef PRIM (cellRef DSP48E2 (libraryRef VIRTEXR))) @@ -60415,46 +60562,46 @@ (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_67)) (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_68)) (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_69)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_1)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_2)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_3)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_3)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_2)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_1)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_8)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_12)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_11)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_10)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_11)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_15)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_14)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_13)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_16)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_15)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_17)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_19)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_20)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_18)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_19)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_24)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_23)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_24)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_28)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_26)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_25)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_27)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_26)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_28)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_29)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_31)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_30)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_32)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_31)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_33)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_36)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_35)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_34)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_39)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_36)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_37)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_38)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_40)) - (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_37)) + (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_39)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_41)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_43)) (portRef I2 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_42)) @@ -60506,19 +60653,19 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_41)) (portRef D (instanceRef psum1_saved_41)) )) - (net (rename A1_product_signed_37 "A1_product_signed(37)") (joined - (portRef (member P 36) (instanceRef A1_product_signedAdd_1_34_0)) - (portRef (member DI 2) (instanceRef psum1_signed_cry_39)) - (portRef I0 (instanceRef psum1_signed_cry_37_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_37)) + (net (rename A1_product_signed_39 "A1_product_signed(39)") (joined + (portRef (member P 34) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_39)) + (portRef I0 (instanceRef psum1_signed_cry_39_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_39)) )) - (net psum1_signed_cry_36 (joined - (portRef (member CO 3) (instanceRef psum1_signed_cry_39)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_37)) + (net psum1_signed_cry_38 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_39)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_39)) )) - (net psum1_saved_3_38 (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_37)) - (portRef D (instanceRef psum1_saved_37)) + (net psum1_saved_3_40 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_39)) + (portRef D (instanceRef psum1_saved_39)) )) (net (rename A1_product_signed_40 "A1_product_signed(40)") (joined (portRef (member P 33) (instanceRef A1_product_signedAdd_1_34_0)) @@ -60549,19 +60696,34 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_38)) (portRef D (instanceRef psum1_saved_38)) )) - (net (rename A1_product_signed_39 "A1_product_signed(39)") (joined - (portRef (member P 34) (instanceRef A1_product_signedAdd_1_34_0)) - (portRef (member DI 0) (instanceRef psum1_signed_cry_39)) - (portRef I0 (instanceRef psum1_signed_cry_39_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_39)) + (net (rename A1_product_signed_37 "A1_product_signed(37)") (joined + (portRef (member P 36) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_39)) + (portRef I0 (instanceRef psum1_signed_cry_37_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_37)) )) - (net psum1_signed_cry_38 (joined - (portRef (member CO 1) (instanceRef psum1_signed_cry_39)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_39)) + (net psum1_signed_cry_36 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_39)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_37)) )) - (net psum1_saved_3_40 (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_39)) - (portRef D (instanceRef psum1_saved_39)) + (net psum1_saved_3_38 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_37)) + (portRef D (instanceRef psum1_saved_37)) + )) + (net (rename A1_product_signed_36 "A1_product_signed(36)") (joined + (portRef (member P 37) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_39)) + (portRef I0 (instanceRef psum1_signed_cry_36_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_36)) + )) + (net (rename psum1_signed_cryZ0Z_35 "psum1_signed_cry_35") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_35)) + (portRef CI (instanceRef psum1_signed_cry_39)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_36)) + )) + (net psum1_saved_3_37 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_36)) + (portRef D (instanceRef psum1_saved_36)) )) (net (rename A1_product_signed_34 "A1_product_signed(34)") (joined (portRef (member P 39) (instanceRef A1_product_signedAdd_1_34_0)) @@ -60591,21 +60753,6 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_35)) (portRef D (instanceRef psum1_saved_35)) )) - (net (rename A1_product_signed_36 "A1_product_signed(36)") (joined - (portRef (member P 37) (instanceRef A1_product_signedAdd_1_34_0)) - (portRef (member DI 3) (instanceRef psum1_signed_cry_39)) - (portRef I0 (instanceRef psum1_signed_cry_36_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_36)) - )) - (net (rename psum1_signed_cryZ0Z_35 "psum1_signed_cry_35") (joined - (portRef (member CO 0) (instanceRef psum1_signed_cry_35)) - (portRef CI (instanceRef psum1_signed_cry_39)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_36)) - )) - (net psum1_saved_3_37 (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_36)) - (portRef D (instanceRef psum1_saved_36)) - )) (net (rename A1_product_signed_33 "A1_product_signed(33)") (joined (portRef (member P 40) (instanceRef A1_product_signedAdd_1_34_0)) (portRef (member DI 2) (instanceRef psum1_signed_cry_35)) @@ -60620,6 +60767,20 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_33)) (portRef D (instanceRef psum1_saved_33)) )) + (net (rename A1_product_signed_31 "A1_product_signed(31)") (joined + (portRef (member P 42) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_31)) + (portRef I0 (instanceRef psum1_signed_cry_31_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_31)) + )) + (net psum1_signed_cry_30 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_31)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_31)) + )) + (net psum1_saved_3_32 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_31)) + (portRef D (instanceRef psum1_saved_31)) + )) (net (rename A1_product_signed_32 "A1_product_signed(32)") (joined (portRef (member P 41) (instanceRef A1_product_signedAdd_1_34_0)) (portRef (member DI 3) (instanceRef psum1_signed_cry_35)) @@ -60649,20 +60810,6 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_30)) (portRef D (instanceRef psum1_saved_30)) )) - (net (rename A1_product_signed_31 "A1_product_signed(31)") (joined - (portRef (member P 42) (instanceRef A1_product_signedAdd_1_34_0)) - (portRef (member DI 0) (instanceRef psum1_signed_cry_31)) - (portRef I0 (instanceRef psum1_signed_cry_31_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_31)) - )) - (net psum1_signed_cry_30 (joined - (portRef (member CO 1) (instanceRef psum1_signed_cry_31)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_31)) - )) - (net psum1_saved_3_32 (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_31)) - (portRef D (instanceRef psum1_saved_31)) - )) (net (rename A1_product_signed_29 "A1_product_signed(29)") (joined (portRef (member P 44) (instanceRef A1_product_signedAdd_1_34_0)) (portRef (member DI 2) (instanceRef psum1_signed_cry_31)) @@ -60677,35 +60824,6 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_29)) (portRef D (instanceRef psum1_saved_29)) )) - (net (rename A1_product_signed_28 "A1_product_signed(28)") (joined - (portRef (member P 45) (instanceRef A1_product_signedAdd_1_34_0)) - (portRef (member DI 3) (instanceRef psum1_signed_cry_31)) - (portRef I0 (instanceRef psum1_signed_cry_28_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_28)) - )) - (net (rename psum1_signed_cryZ0Z_27 "psum1_signed_cry_27") (joined - (portRef (member CO 0) (instanceRef psum1_signed_cry_27)) - (portRef CI (instanceRef psum1_signed_cry_31)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_28)) - )) - (net psum1_saved_3_29 (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_28)) - (portRef D (instanceRef psum1_saved_28)) - )) - (net (rename A1_product_signed_26 "A1_product_signed(26)") (joined - (portRef (member P 47) (instanceRef A1_product_signedAdd_1_34_0)) - (portRef (member DI 1) (instanceRef psum1_signed_cry_27)) - (portRef I0 (instanceRef psum1_signed_cry_26_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_26)) - )) - (net psum1_signed_cry_25 (joined - (portRef (member CO 2) (instanceRef psum1_signed_cry_27)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_26)) - )) - (net psum1_saved_3_57 (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_26)) - (portRef D (instanceRef psum1_saved_26)) - )) (net (rename A1_product_signed_27 "A1_product_signed(27)") (joined (portRef (member P 46) (instanceRef A1_product_signedAdd_1_34_0)) (portRef (member DI 0) (instanceRef psum1_signed_cry_27)) @@ -60734,19 +60852,34 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_25)) (portRef D (instanceRef psum1_saved_25)) )) - (net (rename A1_product_signed_22 "A1_product_signed(22)") (joined - (portRef (member P 42) (instanceRef A1_product_signedAdd_0_42_0)) - (portRef (member DI 1) (instanceRef psum1_signed_cry_23)) - (portRef I0 (instanceRef psum1_signed_cry_22_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) + (net (rename A1_product_signed_26 "A1_product_signed(26)") (joined + (portRef (member P 47) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_27)) + (portRef I0 (instanceRef psum1_signed_cry_26_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_26)) )) - (net psum1_signed_cry_21 (joined - (portRef (member CO 2) (instanceRef psum1_signed_cry_23)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) + (net psum1_signed_cry_25 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_27)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_26)) )) - (net psum1_saved_3_53 (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) - (portRef D (instanceRef psum1_saved_22)) + (net psum1_saved_3_57 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_26)) + (portRef D (instanceRef psum1_saved_26)) + )) + (net (rename A1_product_signed_28 "A1_product_signed(28)") (joined + (portRef (member P 45) (instanceRef A1_product_signedAdd_1_34_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_31)) + (portRef I0 (instanceRef psum1_signed_cry_28_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_28)) + )) + (net (rename psum1_signed_cryZ0Z_27 "psum1_signed_cry_27") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_27)) + (portRef CI (instanceRef psum1_signed_cry_31)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_28)) + )) + (net psum1_saved_3_29 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_28)) + (portRef D (instanceRef psum1_saved_28)) )) (net (rename A1_product_signed_21 "A1_product_signed(21)") (joined (portRef (member P 43) (instanceRef A1_product_signedAdd_0_42_0)) @@ -60762,20 +60895,6 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_21)) (portRef D (instanceRef psum1_saved_21)) )) - (net (rename A1_product_signed_23 "A1_product_signed(23)") (joined - (portRef (member P 41) (instanceRef A1_product_signedAdd_0_42_0)) - (portRef (member DI 0) (instanceRef psum1_signed_cry_23)) - (portRef I0 (instanceRef psum1_signed_cry_23_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_23)) - )) - (net psum1_signed_cry_22 (joined - (portRef (member CO 1) (instanceRef psum1_signed_cry_23)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_23)) - )) - (net psum1_saved_3_54 (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_23)) - (portRef D (instanceRef psum1_saved_23)) - )) (net (rename A1_product_signed_24 "A1_product_signed(24)") (joined (portRef (member P 40) (instanceRef A1_product_signedAdd_0_42_0)) (portRef (member DI 3) (instanceRef psum1_signed_cry_27)) @@ -60791,19 +60910,33 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_24)) (portRef D (instanceRef psum1_saved_24)) )) - (net (rename A1_product_signed_19 "A1_product_signed(19)") (joined - (portRef (member P 45) (instanceRef A1_product_signedAdd_0_42_0)) - (portRef (member DI 0) (instanceRef psum1_signed_cry_19)) - (portRef I0 (instanceRef psum1_signed_cry_19_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_19)) + (net (rename A1_product_signed_22 "A1_product_signed(22)") (joined + (portRef (member P 42) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_23)) + (portRef I0 (instanceRef psum1_signed_cry_22_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) )) - (net psum1_signed_cry_18 (joined - (portRef (member CO 1) (instanceRef psum1_signed_cry_19)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_19)) + (net psum1_signed_cry_21 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_23)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) )) - (net psum1_saved_3_50 (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_19)) - (portRef D (instanceRef psum1_saved_19)) + (net psum1_saved_3_53 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_22)) + (portRef D (instanceRef psum1_saved_22)) + )) + (net (rename A1_product_signed_23 "A1_product_signed(23)") (joined + (portRef (member P 41) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_23)) + (portRef I0 (instanceRef psum1_signed_cry_23_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_23)) + )) + (net psum1_signed_cry_22 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_23)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_23)) + )) + (net psum1_saved_3_54 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_23)) + (portRef D (instanceRef psum1_saved_23)) )) (net (rename A1_product_signed_18 "A1_product_signed(18)") (joined (portRef (member P 46) (instanceRef A1_product_signedAdd_0_42_0)) @@ -60834,6 +60967,20 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_20)) (portRef D (instanceRef psum1_saved_20)) )) + (net (rename A1_product_signed_19 "A1_product_signed(19)") (joined + (portRef (member P 45) (instanceRef A1_product_signedAdd_0_42_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_19)) + (portRef I0 (instanceRef psum1_signed_cry_19_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_19)) + )) + (net psum1_signed_cry_18 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_19)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_19)) + )) + (net psum1_saved_3_50 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_19)) + (portRef D (instanceRef psum1_saved_19)) + )) (net (rename A1_product_signed_17 "A1_product_signed(17)") (joined (portRef (member P 47) (instanceRef A1_product_signedAdd_0_42_0)) (portRef (member DI 2) (instanceRef psum1_signed_cry_19)) @@ -60848,20 +60995,6 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_17)) (portRef D (instanceRef psum1_saved_17)) )) - (net (rename A1_product_signed_15 "A1_product_signed(15)") (joined - (portRef (member P 32) (instanceRef A1_product_signed_0_44_0)) - (portRef (member DI 0) (instanceRef psum1_signed_cry_15)) - (portRef I0 (instanceRef psum1_signed_cry_15_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_15)) - )) - (net psum1_signed_cry_14 (joined - (portRef (member CO 1) (instanceRef psum1_signed_cry_15)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_15)) - )) - (net psum1_saved_3_46 (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_15)) - (portRef D (instanceRef psum1_saved_15)) - )) (net (rename A1_product_signed_16 "A1_product_signed(16)") (joined (portRef (member P 31) (instanceRef A1_product_signed_0_44_0)) (portRef (member DI 3) (instanceRef psum1_signed_cry_19)) @@ -60905,19 +61038,33 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_14)) (portRef D (instanceRef psum1_saved_14)) )) - (net (rename A1_product_signed_10 "A1_product_signed(10)") (joined - (portRef (member P 37) (instanceRef A1_product_signed_0_44_0)) - (portRef (member DI 1) (instanceRef psum1_signed_cry_11)) - (portRef I0 (instanceRef psum1_signed_cry_10_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_10)) + (net (rename A1_product_signed_15 "A1_product_signed(15)") (joined + (portRef (member P 32) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_15)) + (portRef I0 (instanceRef psum1_signed_cry_15_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_15)) )) - (net psum1_signed_cry_9 (joined - (portRef (member CO 2) (instanceRef psum1_signed_cry_11)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_10)) + (net psum1_signed_cry_14 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_15)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_15)) )) - (net psum1_saved_3_68 (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_10)) - (portRef D (instanceRef psum1_saved_10)) + (net psum1_saved_3_46 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_15)) + (portRef D (instanceRef psum1_saved_15)) + )) + (net (rename A1_product_signed_9 "A1_product_signed(9)") (joined + (portRef (member P 38) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_11)) + (portRef I0 (instanceRef psum1_signed_cry_9_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) + )) + (net psum1_signed_cry_8 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_11)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) + )) + (net psum1_saved_3_67 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) + (portRef D (instanceRef psum1_saved_9)) )) (net (rename A1_product_signed_11 "A1_product_signed(11)") (joined (portRef (member P 36) (instanceRef A1_product_signed_0_44_0)) @@ -60933,6 +61080,20 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_11)) (portRef D (instanceRef psum1_saved_11)) )) + (net (rename A1_product_signed_10 "A1_product_signed(10)") (joined + (portRef (member P 37) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_11)) + (portRef I0 (instanceRef psum1_signed_cry_10_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_10)) + )) + (net psum1_signed_cry_9 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_11)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_10)) + )) + (net psum1_saved_3_68 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_10)) + (portRef D (instanceRef psum1_saved_10)) + )) (net (rename A1_product_signed_12 "A1_product_signed(12)") (joined (portRef (member P 35) (instanceRef A1_product_signed_0_44_0)) (portRef (member DI 3) (instanceRef psum1_signed_cry_15)) @@ -60948,19 +61109,33 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_12)) (portRef D (instanceRef psum1_saved_12)) )) - (net (rename A1_product_signed_9 "A1_product_signed(9)") (joined - (portRef (member P 38) (instanceRef A1_product_signed_0_44_0)) - (portRef (member DI 2) (instanceRef psum1_signed_cry_11)) - (portRef I0 (instanceRef psum1_signed_cry_9_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) + (net (rename A1_product_signed_7 "A1_product_signed(7)") (joined + (portRef (member P 40) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_7)) + (portRef I0 (instanceRef psum1_signed_cry_7_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) )) - (net psum1_signed_cry_8 (joined - (portRef (member CO 3) (instanceRef psum1_signed_cry_11)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) + (net psum1_signed_cry_6 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_7)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) )) - (net psum1_saved_3_67 (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_9)) - (portRef D (instanceRef psum1_saved_9)) + (net psum1_saved_3_65 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) + (portRef D (instanceRef psum1_saved_7)) + )) + (net (rename A1_product_signed_6 "A1_product_signed(6)") (joined + (portRef (member P 41) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 1) (instanceRef psum1_signed_cry_7)) + (portRef I0 (instanceRef psum1_signed_cry_6_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) + )) + (net psum1_signed_cry_5 (joined + (portRef (member CO 2) (instanceRef psum1_signed_cry_7)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) + )) + (net psum1_saved_3_64 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) + (portRef D (instanceRef psum1_saved_6)) )) (net (rename A1_product_signed_8 "A1_product_signed(8)") (joined (portRef (member P 39) (instanceRef A1_product_signed_0_44_0)) @@ -60977,20 +61152,6 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_8)) (portRef D (instanceRef psum1_saved_8)) )) - (net (rename A1_product_signed_6 "A1_product_signed(6)") (joined - (portRef (member P 41) (instanceRef A1_product_signed_0_44_0)) - (portRef (member DI 1) (instanceRef psum1_signed_cry_7)) - (portRef I0 (instanceRef psum1_signed_cry_6_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) - )) - (net psum1_signed_cry_5 (joined - (portRef (member CO 2) (instanceRef psum1_signed_cry_7)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) - )) - (net psum1_saved_3_64 (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_6)) - (portRef D (instanceRef psum1_saved_6)) - )) (net (rename A1_product_signed_5 "A1_product_signed(5)") (joined (portRef (member P 42) (instanceRef A1_product_signed_0_44_0)) (portRef (member DI 2) (instanceRef psum1_signed_cry_7)) @@ -61005,48 +61166,19 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_5)) (portRef D (instanceRef psum1_saved_5)) )) - (net (rename A1_product_signed_7 "A1_product_signed(7)") (joined - (portRef (member P 40) (instanceRef A1_product_signed_0_44_0)) - (portRef (member DI 0) (instanceRef psum1_signed_cry_7)) - (portRef I0 (instanceRef psum1_signed_cry_7_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) + (net (rename A1_product_signed_1 "A1_product_signed(1)") (joined + (portRef (member P 46) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 2) (instanceRef psum1_signed_cry_3)) + (portRef I0 (instanceRef psum1_signed_cry_1_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_1)) )) - (net psum1_signed_cry_6 (joined - (portRef (member CO 1) (instanceRef psum1_signed_cry_7)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) + (net psum1_signed_cry_0 (joined + (portRef (member CO 3) (instanceRef psum1_signed_cry_3)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_1)) )) - (net psum1_saved_3_65 (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_7)) - (portRef D (instanceRef psum1_saved_7)) - )) - (net (rename A1_product_signed_4 "A1_product_signed(4)") (joined - (portRef (member P 43) (instanceRef A1_product_signed_0_44_0)) - (portRef (member DI 3) (instanceRef psum1_signed_cry_7)) - (portRef I0 (instanceRef psum1_signed_cry_4_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) - )) - (net (rename psum1_signed_cryZ0Z_3 "psum1_signed_cry_3") (joined - (portRef (member CO 0) (instanceRef psum1_signed_cry_3)) - (portRef CI (instanceRef psum1_signed_cry_7)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) - )) - (net psum1_saved_3_62 (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) - (portRef D (instanceRef psum1_saved_4)) - )) - (net (rename A1_product_signed_3 "A1_product_signed(3)") (joined - (portRef (member P 44) (instanceRef A1_product_signed_0_44_0)) - (portRef (member DI 0) (instanceRef psum1_signed_cry_3)) - (portRef I0 (instanceRef psum1_signed_cry_3_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_3)) - )) - (net psum1_signed_cry_2 (joined - (portRef (member CO 1) (instanceRef psum1_signed_cry_3)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_3)) - )) - (net psum1_saved_3_61 (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_3)) - (portRef D (instanceRef psum1_saved_3)) + (net psum1_saved_3_59 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_1)) + (portRef D (instanceRef psum1_saved_1)) )) (net (rename A1_product_signed_2 "A1_product_signed(2)") (joined (portRef (member P 45) (instanceRef A1_product_signed_0_44_0)) @@ -61062,19 +61194,34 @@ (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_2)) (portRef D (instanceRef psum1_saved_2)) )) - (net (rename A1_product_signed_1 "A1_product_signed(1)") (joined - (portRef (member P 46) (instanceRef A1_product_signed_0_44_0)) - (portRef (member DI 2) (instanceRef psum1_signed_cry_3)) - (portRef I0 (instanceRef psum1_signed_cry_1_thru)) - (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_1)) + (net (rename A1_product_signed_3 "A1_product_signed(3)") (joined + (portRef (member P 44) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 0) (instanceRef psum1_signed_cry_3)) + (portRef I0 (instanceRef psum1_signed_cry_3_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_3)) )) - (net psum1_signed_cry_0 (joined - (portRef (member CO 3) (instanceRef psum1_signed_cry_3)) - (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_1)) + (net psum1_signed_cry_2 (joined + (portRef (member CO 1) (instanceRef psum1_signed_cry_3)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_3)) )) - (net psum1_saved_3_59 (joined - (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_1)) - (portRef D (instanceRef psum1_saved_1)) + (net psum1_saved_3_61 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_3)) + (portRef D (instanceRef psum1_saved_3)) + )) + (net (rename A1_product_signed_4 "A1_product_signed(4)") (joined + (portRef (member P 43) (instanceRef A1_product_signed_0_44_0)) + (portRef (member DI 3) (instanceRef psum1_signed_cry_7)) + (portRef I0 (instanceRef psum1_signed_cry_4_thru)) + (portRef I0 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) + )) + (net (rename psum1_signed_cryZ0Z_3 "psum1_signed_cry_3") (joined + (portRef (member CO 0) (instanceRef psum1_signed_cry_3)) + (portRef CI (instanceRef psum1_signed_cry_7)) + (portRef I1 (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) + )) + (net psum1_saved_3_62 (joined + (portRef O (instanceRef PSUM2AND1_REGS_psum1_saved_3_lut_4)) + (portRef D (instanceRef psum1_saved_4)) )) (net (rename A1_product_signedAdd_0_9 "A1_product_signedAdd_0(9)") (joined (portRef (member P 38) (instanceRef A1_product_signedAdd_0_42_0)) @@ -64270,8 +64417,8 @@ (portRef LO (instanceRef PSUM2AND1_REGS_psum1_saved_3_0)) (portRef D (instanceRef psum1_saved_0)) )) - (net en (joined - (portRef en) + (net en_rep_2 (joined + (portRef en_rep_2) (portRef I1 (instanceRef PSUM2AND1_REGS_un1_enable)) )) (net un1_enable (joined @@ -64447,20 +64594,20 @@ (portRef O (instanceRef un1_psum0_signed_cry_59_RNIB0CK2)) (portRef g0_13 (instanceRef OR25)) )) - (net (rename un1_psum0_signed_57 "un1_psum0_signed(57)") (joined - (portRef (member O 2) (instanceRef un1_psum0_signed_cry_59)) - (portRef (member un1_psum0_signed 7) (instanceRef gO_4_11_MUX12)) - (portRef un1_psum0_signed_0 (instanceRef gO_4_26_MUX12)) - (portRef (member un1_psum0_signed 8) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) - (portRef (member un1_psum0_signed 9) (instanceRef gO_2_gO_2d_INC2)) - (portRef I0 (instanceRef un1_psum0_signed_cry_51_RNI4UAF)) - )) (net (rename un1_psum0_signed_49 "un1_psum0_signed(49)") (joined (portRef (member O 2) (instanceRef un1_psum0_signed_cry_51)) (portRef un1_psum0_signed_0 (instanceRef gO_4_18_MUX12)) (portRef (member un1_psum0_signed 16) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) (portRef (member un1_psum0_signed 17) (instanceRef gO_2_gO_2d_INC2)) (portRef (member un1_psum0_signed 21) (instanceRef gO_2_gO_2cN_AN8)) + (portRef I0 (instanceRef un1_psum0_signed_cry_51_RNI4UAF)) + )) + (net (rename un1_psum0_signed_57 "un1_psum0_signed(57)") (joined + (portRef (member O 2) (instanceRef un1_psum0_signed_cry_59)) + (portRef (member un1_psum0_signed 7) (instanceRef gO_4_11_MUX12)) + (portRef un1_psum0_signed_0 (instanceRef gO_4_26_MUX12)) + (portRef (member un1_psum0_signed 8) (instanceRef gO_2_gO_2d_gO_2d1_0_AN11)) + (portRef (member un1_psum0_signed 9) (instanceRef gO_2_gO_2d_INC2)) (portRef I1 (instanceRef un1_psum0_signed_cry_51_RNI4UAF)) )) (net (rename un1_psum0_signed_34 "un1_psum0_signed(34)") (joined @@ -66655,7 +66802,7 @@ (port din_r0 (direction INPUT)) (port clk (direction INPUT)) (port rstn_i (direction INPUT)) - (port en_rep_0 (direction INPUT)) + (port en_rep_1 (direction INPUT)) ) (contents (instance un1_out_r_axb_1 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) @@ -67007,8 +67154,8 @@ (portRef CLR (instanceRef out_r_4)) (portRef CLR (instanceRef out_r_3)) )) - (net en_rep_0 (joined - (portRef en_rep_0) + (net en_rep_1 (joined + (portRef en_rep_1) (portRef CE (instanceRef out_r_2)) (portRef CE (instanceRef out_r_1)) (portRef CE (instanceRef out_r_0)) @@ -67177,21 +67324,22 @@ (cell MeanIntp_8 (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename dout_1 "dout_1[15:0]") 16) (direction OUTPUT)) - (port (array (rename dout_0 "dout_0[15:0]") 16) (direction OUTPUT)) - (port (array (rename dout_3 "dout_3[15:0]") 16) (direction OUTPUT)) - (port (array (rename dout_2 "dout_2[15:0]") 16) (direction OUTPUT)) - (port (array (rename dout_7 "dout_7[15:0]") 16) (direction OUTPUT)) - (port (array (rename dout_6 "dout_6[15:0]") 16) (direction OUTPUT)) - (port (array (rename dout_5 "dout_5[15:0]") 16) (direction OUTPUT)) - (port (array (rename dout_4 "dout_4[15:0]") 16) (direction OUTPUT)) + (port (array (rename doutNI_1 "doutNI_1[15:0]") 16) (direction OUTPUT)) + (port (array (rename doutNI_0 "doutNI_0[15:0]") 16) (direction OUTPUT)) + (port (array (rename doutNI_3 "doutNI_3[15:0]") 16) (direction OUTPUT)) + (port (array (rename doutNI_2 "doutNI_2[15:0]") 16) (direction OUTPUT)) + (port (array (rename doutNI_7 "doutNI_7[15:0]") 16) (direction OUTPUT)) + (port (array (rename doutNI_6 "doutNI_6[15:0]") 16) (direction OUTPUT)) + (port (array (rename doutNI_5 "doutNI_5[15:0]") 16) (direction OUTPUT)) + (port (array (rename doutNI_4 "doutNI_4[15:0]") 16) (direction OUTPUT)) (port (array (rename intp_mode "intp_mode[1:0]") 2) (direction INPUT)) - (port IIR_out_1 (direction INPUT)) - (port IIR_out_0 (direction INPUT)) + (port IIR_out_7 (direction INPUT)) (port IIR_out (direction INPUT)) (port clk (direction INPUT)) + (port en_rep_2 (direction INPUT)) + (port en_rep_3 (direction INPUT)) (port rstn_i (direction INPUT)) - (port en_rep_1 (direction INPUT)) + (port en_rep_0 (direction INPUT)) (port rstn (direction INPUT)) (port IIR_out_14 (direction INPUT)) (port IIR_out_13 (direction INPUT)) @@ -67200,17 +67348,39 @@ (port IIR_out_10 (direction INPUT)) (port IIR_out_9 (direction INPUT)) (port IIR_out_8 (direction INPUT)) - (port IIR_out_7 (direction INPUT)) (port IIR_out_6 (direction INPUT)) (port IIR_out_5 (direction INPUT)) (port IIR_out_4 (direction INPUT)) (port IIR_out_3 (direction INPUT)) (port IIR_out_2 (direction INPUT)) + (port IIR_out_1 (direction INPUT)) + (port IIR_out_0 (direction INPUT)) ) (contents (instance un4_dout_r7_4_cry_13_outextlut (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) (property INIT (string "2'h3")) ) + (instance (rename mux_p_4_12 "mux_p_4[12]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_4_13 "mux_p_4[13]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_4_14 "mux_p_4[14]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_4_15 "mux_p_4[15]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_5_12 "mux_p_5[12]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_5_13 "mux_p_5[13]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_5_14 "mux_p_5[14]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename mux_p_5_15 "mux_p_5[15]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) + (instance (rename dout_r7_0 "dout_r7[0]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance un4_dout_r7_8 (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hE2")) + ) (instance (rename mux_p_1_15 "mux_p_1[15]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) ) (instance (rename mux_p_1_14 "mux_p_1[14]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) @@ -67403,14 +67573,6 @@ ) (instance (rename mux_p_6_0 "mux_p_6[0]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) ) - (instance (rename mux_p_5_15 "mux_p_5[15]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) - ) - (instance (rename mux_p_5_14 "mux_p_5[14]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) - ) - (instance (rename mux_p_5_13 "mux_p_5[13]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) - ) - (instance (rename mux_p_5_12 "mux_p_5[12]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) - ) (instance (rename mux_p_5_11 "mux_p_5[11]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) ) (instance (rename mux_p_5_10 "mux_p_5[10]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) @@ -67435,14 +67597,6 @@ ) (instance (rename mux_p_5_0 "mux_p_5[0]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) ) - (instance (rename mux_p_4_15 "mux_p_4[15]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) - ) - (instance (rename mux_p_4_14 "mux_p_4[14]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) - ) - (instance (rename mux_p_4_13 "mux_p_4[13]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) - ) - (instance (rename mux_p_4_12 "mux_p_4[12]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) - ) (instance (rename mux_p_4_11 "mux_p_4[11]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) ) (instance (rename mux_p_4_10 "mux_p_4[10]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) @@ -67468,22 +67622,22 @@ (instance (rename mux_p_4_0 "mux_p_4[0]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) ) (instance (rename mux_p_1_7_3_15 "mux_p_1_7_3[15]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hE6A2C480")) + (property INIT (string "32'hE6C4A280")) ) (instance (rename mux_p_1_7_3_14 "mux_p_1_7_3[14]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hE6A2C480")) + (property INIT (string "32'hE6C4A280")) ) (instance (rename mux_p_1_7_3_13 "mux_p_1_7_3[13]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'hE6C4A280")) ) (instance (rename mux_p_1_7_3_12 "mux_p_1_7_3[12]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hE6A2C480")) + (property INIT (string "32'hE6C4A280")) ) (instance (rename mux_p_1_7_3_11 "mux_p_1_7_3[11]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hE6C4A280")) + (property INIT (string "32'hE6A2C480")) ) (instance (rename mux_p_1_7_3_10 "mux_p_1_7_3[10]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hE6C4A280")) + (property INIT (string "32'hE6A2C480")) ) (instance (rename mux_p_1_7_3_9 "mux_p_1_7_3[9]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'hE6A2C480")) @@ -67495,7 +67649,7 @@ (property INIT (string "32'hE6A2C480")) ) (instance (rename mux_p_1_7_3_6 "mux_p_1_7_3[6]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hE6C4A280")) + (property INIT (string "32'hE6A2C480")) ) (instance (rename mux_p_1_7_3_0 "mux_p_1_7_3[0]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'hE6A2C480")) @@ -67504,7 +67658,7 @@ (property INIT (string "32'hE6A2C480")) ) (instance (rename mux_p_1_7_3_4 "mux_p_1_7_3[4]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hE6A2C480")) + (property INIT (string "32'hE6C4A280")) ) (instance (rename mux_p_1_7_3_3 "mux_p_1_7_3[3]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'hE6A2C480")) @@ -67515,7 +67669,7 @@ (instance (rename mux_p_1_7_3_1 "mux_p_1_7_3[1]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'hE6A2C480")) ) - (instance mux_p_7_198 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (instance (rename mux_p_7Z0Z_6 "mux_p_7_6") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'h7F0F")) ) (instance un3_dout_r6_0_o5_2 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) @@ -67623,7 +67777,7 @@ (instance un3_dout_r3_0_o5_12 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hE8")) ) - (instance mux_p_3_196 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename mux_p_3Z0Z_4 "mux_p_3_4") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h73")) ) (instance (rename mux_p_2_6_0_15 "mux_p_2_6_0[15]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) @@ -67770,50 +67924,26 @@ (instance un4_dout_r7_5_axb_15 (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) (property INIT (string "2'h2")) ) - (instance un2_dout_r4_axb_1 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) - (property INIT (string "4'h6")) - ) - (instance un2_dout_r2_axb_10 (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) - (property INIT (string "4'h6")) - ) (instance un3_dout_r6_0_axb_8 (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'h56A9956A")) ) - (instance un3_dout_r6_0_axb_11 (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h5695A96A")) - ) - (instance un3_dout_r6_0_axb_13 (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h59A6659A")) - ) (instance un3_dout_r6_0_axb_10 (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'h5695A96A")) ) - (instance un3_dout_r6_0_axb_9 (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h59A6659A")) - ) (instance un3_dout_r3_0_axb_11 (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h56A9956A")) + (property INIT (string "32'h36C9936C")) ) (instance un3_dout_r3_0_axb_10 (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'h5695A96A")) ) - (instance un3_dout_r3_0_axb_9 (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h5695A96A")) - ) (instance un3_dout_r3_0_axb_8 (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'h59A6659A")) ) - (instance un3_dout_r3_0_axb_7 (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h56A9956A")) - ) - (instance un3_dout_r3_0_axb_5 (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h5695A96A")) - ) - (instance un3_dout_r3_0_axb_4 (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h39C6639C")) - ) (instance un3_dout_r6_0_axb_3 (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h5695A96A")) + (property INIT (string "32'h3693C96C")) + ) + (instance un3_dout_r6_0_axb_5 (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h59A6659A")) ) (instance un3_dout_r6_0_axb_4 (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'h36C9936C")) @@ -67821,35 +67951,35 @@ (instance un3_dout_r5_0_axb_2 (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h56A96A95A956956A")) ) - (instance un3_dout_r5_0_axb_14 (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h36C9936C")) - ) (instance un3_dout_r5_0_axb_5 (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h5A6969A5A596965A")) + (property INIT (string "64'h3C6969C3C396963C")) ) (instance un3_dout_r3_0_axb_13 (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h5695A96A")) + (property INIT (string "32'h3693C96C")) + ) + (instance un3_dout_r3_0_axb_12 (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h39C6639C")) ) (instance un3_dout_r5_0_axb_13 (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h5A6969A5A596965A")) + (property INIT (string "64'h3C6969C3C396963C")) ) (instance un3_dout_r5_0_axb_12 (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h566AA995A995566A")) - ) - (instance un3_dout_r5_0_axb_10 (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h56A96A95A956956A")) - ) - (instance un3_dout_r5_0_axb_4 (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h366CC993C993366C")) ) + (instance un3_dout_r5_0_axb_10 (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h36C96C93C936936C")) + ) + (instance un3_dout_r5_0_axb_4 (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h566AA995A995566A")) + ) (instance un3_dout_r5_0_axb_3 (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h56A9A9566A95956A")) + (property INIT (string "64'h36C9C9366C93936C")) ) (instance un3_dout_r5_0_axb_6 (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h56A96A95A956956A")) ) (instance un3_dout_r5_0_axb_9 (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h5A69A59669A5965A")) + (property INIT (string "64'h3C6969C3C396963C")) ) (instance un3_dout_r5_0_axb_11 (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h5AA569966996A55A")) @@ -67858,7 +67988,7 @@ (property INIT (string "64'h566AA995A995566A")) ) (instance un3_dout_r5_0_axb_7 (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h56A9A9566A95956A")) + (property INIT (string "64'h36C9C9366C93936C")) ) (instance (rename din_r1_0 "din_r1[0]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) @@ -67958,8 +68088,6 @@ ) (instance (rename dout_r6_15 "dout_r6[15]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) - (instance (rename dout_r7_0 "dout_r7[0]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) (instance (rename dout_r7_1 "dout_r7[1]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename dout_r7_2 "dout_r7[2]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) @@ -68151,6 +68279,12 @@ (instance sum_0_1_cry_15_RNO (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h9")) ) + (instance sum_0_1_axb_14 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h9")) + ) + (instance sum_0_1_axb_13 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h9")) + ) (instance sum_0_1_axb_12 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h9")) ) @@ -68166,9 +68300,6 @@ (instance sum_0_1_axb_8 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h9")) ) - (instance sum_0_1_axb_7 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h9")) - ) (instance sum_0_1_axb_6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h9")) ) @@ -68190,6 +68321,15 @@ (instance sum_0_1_axb_0 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h9")) ) + (instance un4_dout_r7_5_axb_7 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un4_dout_r7_5_axb_6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) + (instance un4_dout_r7_5_axb_5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + ) (instance un4_dout_r7_4_cry_3 (viewRef PRIM (cellRef CARRY4 (libraryRef VIRTEXR))) (property xcn_c4chain_base (integer 1)) ) @@ -68284,411 +68424,427 @@ (instance GND (viewRef PRIM (cellRef GND (libraryRef UNILIB))) ) (instance un3_dout_r3_0_axb_1_lut6_2_o6 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h96")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000357")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000361")) ) (instance un3_dout_r3_0_axb_1_lut6_2_o5 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hE8")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000357")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000361")) ) (instance un3_dout_r5_0_axb_1_lut6_2_o6 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h96")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000358")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000362")) ) (instance un3_dout_r5_0_axb_1_lut6_2_o5 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hE8")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000358")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000362")) ) (instance un3_dout_r6_0_axb_1_lut6_2_o6 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h96")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000359")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000363")) ) (instance un3_dout_r6_0_axb_1_lut6_2_o5 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hE8")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000359")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000363")) ) - (instance un3_dout_r3_0_axb_15_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance un2_dout_r4_axb_0_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000360")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000364")) ) - (instance un3_dout_r3_0_axb_15_lut6_2_o5 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h69")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000360")) + (instance un2_dout_r4_axb_0_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000364")) + ) + (instance un2_dout_r4_axb_1_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000365")) + ) + (instance un2_dout_r4_axb_1_lut6_2_o5 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h6996")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000365")) ) (instance un2_dout_r4_axb_2_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000361")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000366")) ) - (instance un2_dout_r4_axb_2_lut6_2_o5 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h6996")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000361")) + (instance un2_dout_r4_axb_2_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000366")) ) (instance un2_dout_r1_axb_0_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000362")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000367")) ) (instance un2_dout_r1_axb_0_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000362")) - ) - (instance un2_dout_r1_axb_5_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000363")) - ) - (instance un2_dout_r1_axb_5_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000363")) - ) - (instance un2_dout_r1_axb_7_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000364")) - ) - (instance un2_dout_r1_axb_7_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000364")) - ) - (instance un2_dout_r2_axb_0_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000365")) - ) - (instance un2_dout_r2_axb_0_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000365")) - ) - (instance un2_dout_r2_axb_1_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000366")) - ) - (instance un2_dout_r2_axb_1_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000366")) - ) - (instance un2_dout_r2_axb_7_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) (property HLUTNM (string "z_dsp_en_Test_lutnm000367")) ) - (instance un2_dout_r2_axb_7_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000367")) - ) - (instance un2_dout_r2_axb_9_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance un2_dout_r1_axb_12_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) (property HLUTNM (string "z_dsp_en_Test_lutnm000368")) ) - (instance un2_dout_r2_axb_9_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h6")) + (instance un2_dout_r1_axb_12_lut6_2_o5 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h366C")) (property HLUTNM (string "z_dsp_en_Test_lutnm000368")) ) - (instance un2_dout_r2_axb_13_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance un2_dout_r1_axb_13_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) (property HLUTNM (string "z_dsp_en_Test_lutnm000369")) ) - (instance un2_dout_r2_axb_13_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance un2_dout_r1_axb_13_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) (property HLUTNM (string "z_dsp_en_Test_lutnm000369")) ) + (instance un2_dout_r1_axb_15_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000370")) + ) + (instance un2_dout_r1_axb_15_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000370")) + ) + (instance un2_dout_r2_axb_3_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000371")) + ) + (instance un2_dout_r2_axb_3_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000371")) + ) + (instance un2_dout_r2_axb_4_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000372")) + ) + (instance un2_dout_r2_axb_4_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000372")) + ) + (instance un2_dout_r2_axb_5_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000373")) + ) + (instance un2_dout_r2_axb_5_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000373")) + ) + (instance un2_dout_r2_axb_6_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000374")) + ) + (instance un2_dout_r2_axb_6_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000374")) + ) + (instance un2_dout_r2_axb_10_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000375")) + ) + (instance un2_dout_r2_axb_10_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000375")) + ) (instance un2_dout_r2_axb_14_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000370")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000376")) ) (instance un2_dout_r2_axb_14_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000370")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000376")) ) (instance un2_dout_r2_axb_15_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000371")) - ) - (instance un2_dout_r2_axb_15_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000371")) - ) - (instance un3_dout_r6_0_axb_7_lut6_2_o6 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h5695A96A")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000372")) - ) - (instance un3_dout_r6_0_axb_7_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000372")) - ) - (instance un3_dout_r6_0_axb_12_lut6_2_o6 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h3693C96C")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000373")) - ) - (instance un3_dout_r6_0_axb_12_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000373")) - ) - (instance un3_dout_r3_0_axb_3_lut6_2_o6 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h3C96693C")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000374")) - ) - (instance un3_dout_r3_0_axb_3_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000374")) - ) - (instance un3_dout_r6_0_axb_14_lut6_2_o6 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h5965A69A")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000375")) - ) - (instance un3_dout_r6_0_axb_14_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000375")) - ) - (instance un3_dout_r6_0_axb_6_lut6_2_o6 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h36C9936C")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000376")) - ) - (instance un3_dout_r6_0_axb_6_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000376")) - ) - (instance un3_dout_r6_0_axb_2_lut6_2_o6 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h5695A96A")) (property HLUTNM (string "z_dsp_en_Test_lutnm000377")) ) - (instance un3_dout_r6_0_axb_2_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h6")) + (instance un2_dout_r2_axb_15_lut6_2_o5 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h69")) (property HLUTNM (string "z_dsp_en_Test_lutnm000377")) ) - (instance un3_dout_r3_0_axb_12_lut6_2_o6 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance un3_dout_r6_0_axb_13_lut6_2_o6 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'h39C6639C")) (property HLUTNM (string "z_dsp_en_Test_lutnm000378")) ) - (instance un3_dout_r3_0_axb_12_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance un3_dout_r6_0_axb_13_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) (property HLUTNM (string "z_dsp_en_Test_lutnm000378")) ) - (instance sum_0_1_axb_14_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h9")) + (instance un3_dout_r6_0_axb_12_lut6_2_o6 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h36C9936C")) (property HLUTNM (string "z_dsp_en_Test_lutnm000379")) ) - (instance sum_0_1_axb_14_lut6_2_o5 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h566A")) + (instance un3_dout_r6_0_axb_12_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) (property HLUTNM (string "z_dsp_en_Test_lutnm000379")) ) - (instance sum_0_1_axb_13_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h9")) + (instance un3_dout_r6_0_axb_9_lut6_2_o6 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h59A6659A")) (property HLUTNM (string "z_dsp_en_Test_lutnm000380")) ) - (instance sum_0_1_axb_13_lut6_2_o5 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h566A")) + (instance un3_dout_r6_0_axb_9_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) (property HLUTNM (string "z_dsp_en_Test_lutnm000380")) ) + (instance un3_dout_r3_0_axb_2_lut6_2_o6 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h5695A96A")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000381")) + ) + (instance un3_dout_r3_0_axb_2_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000381")) + ) + (instance un3_dout_r6_0_axb_14_lut6_2_o6 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h5695A96A")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000382")) + ) + (instance un3_dout_r6_0_axb_14_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000382")) + ) + (instance un3_dout_r3_0_axb_9_lut6_2_o6 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h5695A96A")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000383")) + ) + (instance un3_dout_r3_0_axb_9_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000383")) + ) + (instance un3_dout_r3_0_axb_7_lut6_2_o6 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h36C9936C")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000384")) + ) + (instance un3_dout_r3_0_axb_7_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000384")) + ) + (instance un3_dout_r3_0_axb_6_lut6_2_o6 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h5695A96A")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000385")) + ) + (instance un3_dout_r3_0_axb_6_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000385")) + ) + (instance un3_dout_r3_0_axb_5_lut6_2_o6 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h5695A96A")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000386")) + ) + (instance un3_dout_r3_0_axb_5_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000386")) + ) + (instance un3_dout_r6_0_axb_6_lut6_2_o6 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h3693C96C")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000387")) + ) + (instance un3_dout_r6_0_axb_6_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000387")) + ) + (instance un3_dout_r5_0_axb_14_lut6_2_o6 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h36C9936C")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000388")) + ) + (instance un3_dout_r5_0_axb_14_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000388")) + ) + (instance sum_0_1_axb_7_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h9")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000389")) + ) + (instance sum_0_1_axb_7_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000389")) + ) (instance un4_dout_r7_4_axb_13_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000381")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000390")) ) - (instance un4_dout_r7_4_axb_13_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000381")) + (instance un4_dout_r7_4_axb_13_lut6_2_o5 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h566A")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000390")) ) (instance un4_dout_r7_4_axb_12_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000382")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000391")) ) - (instance un4_dout_r7_4_axb_12_lut6_2_o5 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h566A")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000382")) + (instance un4_dout_r7_4_axb_12_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000391")) ) (instance un4_dout_r7_4_axb_11_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000383")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000392")) ) (instance un4_dout_r7_4_axb_11_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000383")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000392")) ) (instance un4_dout_r7_4_axb_10_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000384")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000393")) ) - (instance un4_dout_r7_4_axb_10_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000384")) + (instance un4_dout_r7_4_axb_10_lut6_2_o5 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h3693C96C")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000393")) ) (instance un4_dout_r7_4_axb_9_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000385")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000394")) ) (instance un4_dout_r7_4_axb_9_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000385")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000394")) ) (instance un4_dout_r7_4_axb_8_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000386")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000395")) ) (instance un4_dout_r7_4_axb_8_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000386")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000395")) ) (instance un4_dout_r7_4_axb_6_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000387")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000396")) ) - (instance un4_dout_r7_4_axb_6_lut6_2_o5 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h5695A96A")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000387")) + (instance un4_dout_r7_4_axb_6_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000396")) ) (instance un4_dout_r7_4_axb_5_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000388")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000397")) ) - (instance un4_dout_r7_4_axb_5_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000388")) + (instance un4_dout_r7_4_axb_5_lut6_2_o5 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h36C9936C")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000397")) ) (instance un4_dout_r7_4_axb_4_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000389")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000398")) ) (instance un4_dout_r7_4_axb_4_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000389")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000398")) ) (instance un4_dout_r7_4_axb_3_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000390")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000399")) ) (instance un4_dout_r7_4_axb_3_lut6_2_o5 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h5A96695A")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000390")) + (property INIT (string "32'h36C9936C")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000399")) ) (instance un4_dout_r7_4_axb_2_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000391")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000400")) ) (instance un4_dout_r7_4_axb_2_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000391")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000400")) ) (instance un4_dout_r7_4_axb_1_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000392")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000401")) ) (instance un4_dout_r7_4_axb_1_lut6_2_o5 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h5A96695A")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000392")) + (property INIT (string "32'h5695A96A")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000401")) ) (instance un4_dout_r7_5_axb_14_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000393")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000402")) ) - (instance un4_dout_r7_5_axb_14_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000393")) + (instance un4_dout_r7_5_axb_14_lut6_2_o5 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h566A")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000402")) ) (instance un4_dout_r7_5_axb_13_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000394")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000403")) ) (instance un4_dout_r7_5_axb_13_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000394")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000403")) ) (instance un4_dout_r7_5_axb_12_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000395")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000404")) ) (instance un4_dout_r7_5_axb_12_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000395")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000404")) ) (instance un4_dout_r7_5_axb_11_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000396")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000405")) ) (instance un4_dout_r7_5_axb_11_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000396")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000405")) ) (instance un4_dout_r7_5_axb_10_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000397")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000406")) ) (instance un4_dout_r7_5_axb_10_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000397")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000406")) ) (instance un4_dout_r7_5_axb_9_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000398")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000407")) ) (instance un4_dout_r7_5_axb_9_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000398")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000407")) ) (instance un4_dout_r7_5_axb_8_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000399")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000408")) ) (instance un4_dout_r7_5_axb_8_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000399")) - ) - (instance un4_dout_r7_5_axb_7_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000400")) - ) - (instance un4_dout_r7_5_axb_7_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000400")) - ) - (instance un4_dout_r7_5_axb_6_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000401")) - ) - (instance un4_dout_r7_5_axb_6_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000401")) - ) - (instance un4_dout_r7_5_axb_5_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000402")) - ) - (instance un4_dout_r7_5_axb_5_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000402")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000408")) ) (instance un4_dout_r7_5_axb_4_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000403")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000409")) ) - (instance un4_dout_r7_5_axb_4_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000403")) + (instance un4_dout_r7_5_axb_4_lut6_2_o5 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h39C6639C")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000409")) ) (instance un4_dout_r7_5_axb_3_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000404")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000410")) ) (instance un4_dout_r7_5_axb_3_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000404")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000410")) ) (instance un4_dout_r7_5_axb_2_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000405")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000411")) ) (instance un4_dout_r7_5_axb_2_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000405")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000411")) ) (instance un4_dout_r7_5_axb_1_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000406")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000412")) ) (instance un4_dout_r7_5_axb_1_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000406")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000412")) ) (instance un4_dout_r7_5_axb_0_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000407")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000413")) ) (instance un4_dout_r7_5_axb_0_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000407")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000413")) ) (net (rename VCCZ0 "VCC") (joined (portRef P (instanceRef VCC)) @@ -68701,11 +68857,11 @@ )) (net din_r1_14_0 (joined (portRef Q (instanceRef din_r1_0)) - (portRef I0 (instanceRef un4_dout_r7_5_axb_0_lut6_2_o5)) (portRef I0 (instanceRef un4_dout_r7_5_axb_0_lut6_2_o6)) - (portRef I0 (instanceRef un2_dout_r2_axb_0_lut6_2_o6)) + (portRef I0 (instanceRef un4_dout_r7_5_axb_1_lut6_2_o5)) (portRef I0 (instanceRef un2_dout_r1_axb_0_lut6_2_o6)) - (portRef I0 (instanceRef un2_dout_r4_axb_2_lut6_2_o5)) + (portRef I0 (instanceRef un2_dout_r4_axb_1_lut6_2_o5)) + (portRef I0 (instanceRef un2_dout_r4_axb_0_lut6_2_o6)) (portRef CYINIT (instanceRef un3_dout_r3_0_cry_3)) (portRef CYINIT (instanceRef un3_dout_r5_0_cry_3)) (portRef CYINIT (instanceRef un3_dout_r6_0_cry_3)) @@ -68714,13 +68870,38 @@ (portRef I1 (instanceRef sum_0_1_axb_0)) (portRef D (instanceRef dout_r0_0)) )) + (net (rename sum_0_1_3 "sum_0_1[3]") (joined + (portRef (member O 0) (instanceRef sum_0_1_cry_3)) + (portRef I0 (instanceRef un4_dout_r7_5_axb_0_lut6_2_o5)) + (portRef I1 (instanceRef un4_dout_r7_5_axb_2_lut6_2_o5)) + (portRef I1 (instanceRef un4_dout_r7_5_axb_2_lut6_2_o6)) + (portRef I3 (instanceRef un4_dout_r7_4_axb_1_lut6_2_o5)) + (portRef I0 (instanceRef un4_dout_r7_4_axb_1_lut6_2_o6)) + (portRef I2 (instanceRef un3_dout_r3_0_axb_2_lut6_2_o6)) + (portRef I1 (instanceRef un2_dout_r1_axb_0_lut6_2_o5)) + (portRef I1 (instanceRef un2_dout_r1_axb_0_lut6_2_o6)) + (portRef I1 (instanceRef un2_dout_r4_axb_2_lut6_2_o5)) + (portRef I1 (instanceRef un2_dout_r4_axb_2_lut6_2_o6)) + (portRef I3 (instanceRef un2_dout_r4_axb_1_lut6_2_o5)) + (portRef I2 (instanceRef un3_dout_r6_0_axb_1_lut6_2_o5)) + (portRef I2 (instanceRef un3_dout_r6_0_axb_1_lut6_2_o6)) + (portRef I1 (instanceRef un3_dout_r3_0_axb_1_lut6_2_o5)) + (portRef I1 (instanceRef un3_dout_r3_0_axb_1_lut6_2_o6)) + (portRef (member DI 1) (instanceRef un2_dout_r4_cry_3)) + (portRef (member DI 2) (instanceRef un4_dout_r7_4_cry_3)) + (portRef I2 (instanceRef un3_dout_r5_0_axb_3)) + (portRef I3 (instanceRef un3_dout_r5_0_axb_2)) + (portRef I2 (instanceRef un3_dout_r6_0_axb_3)) + (portRef I1 (instanceRef un3_dout_r5_0_o5_2)) + (portRef I1 (instanceRef un3_dout_r6_0_o5_2)) + )) (net (rename sum_0_1_1 "sum_0_1[1]") (joined (portRef (member O 2) (instanceRef sum_0_1_cry_3)) (portRef I1 (instanceRef un4_dout_r7_5_axb_0_lut6_2_o5)) (portRef I1 (instanceRef un4_dout_r7_5_axb_0_lut6_2_o6)) - (portRef I0 (instanceRef un4_dout_r7_5_axb_1_lut6_2_o5)) - (portRef I0 (instanceRef un2_dout_r2_axb_1_lut6_2_o5)) - (portRef I1 (instanceRef un2_dout_r4_axb_2_lut6_2_o5)) + (portRef I1 (instanceRef un2_dout_r4_axb_1_lut6_2_o5)) + (portRef I0 (instanceRef un2_dout_r4_axb_0_lut6_2_o5)) + (portRef I1 (instanceRef un2_dout_r4_axb_0_lut6_2_o6)) (portRef (member DI 3) (instanceRef un3_dout_r5_0_cry_3)) (portRef (member DI 3) (instanceRef un3_dout_r6_0_cry_3)) (portRef (member DI 3) (instanceRef un4_dout_r7_5_cry_3)) @@ -68793,18 +68974,18 @@ (portRef O (instanceRef un4_dout_r7_5_axb_0_lut6_2_o6)) (portRef (member S 3) (instanceRef un4_dout_r7_5_cry_3)) )) - (net un2_dout_r4_2 (joined + (net un3_dout_r5_0_axb_0 (joined (portRef O (instanceRef un4_dout_r7_5_axb_0_lut6_2_o5)) - (portRef (member S 3) (instanceRef un2_dout_r4_cry_3)) - (portRef D (instanceRef dout_r4_0)) + (portRef (member S 3) (instanceRef un3_dout_r5_0_cry_3)) )) (net din_r1_13_0 (joined (portRef Q (instanceRef din_r1_1)) (portRef I0 (instanceRef un4_dout_r7_5_axb_1_lut6_2_o6)) + (portRef I0 (instanceRef un4_dout_r7_5_axb_2_lut6_2_o5)) + (portRef I0 (instanceRef un4_dout_r7_5_axb_3_lut6_2_o5)) (portRef I1 (instanceRef un4_dout_r7_4_axb_1_lut6_2_o5)) - (portRef I0 (instanceRef un3_dout_r6_0_axb_2_lut6_2_o5)) - (portRef I1 (instanceRef un3_dout_r6_0_axb_2_lut6_2_o6)) - (portRef I0 (instanceRef un2_dout_r2_axb_1_lut6_2_o6)) + (portRef I1 (instanceRef un3_dout_r3_0_axb_2_lut6_2_o6)) + (portRef I0 (instanceRef un2_dout_r4_axb_1_lut6_2_o6)) (portRef I0 (instanceRef un3_dout_r6_0_axb_1_lut6_2_o5)) (portRef I0 (instanceRef un3_dout_r6_0_axb_1_lut6_2_o6)) (portRef I0 (instanceRef un3_dout_r5_0_axb_1_lut6_2_o5)) @@ -68814,20 +68995,20 @@ (portRef (member DI 2) (instanceRef un4_dout_r7_5_cry_3)) (portRef (member DI 2) (instanceRef un2_dout_r1_cry_3)) (portRef (member DI 2) (instanceRef un2_dout_r2_cry_3)) - (portRef I1 (instanceRef sum_0_1_axb_1)) + (portRef I0 (instanceRef sum_0_1_axb_1)) (portRef D (instanceRef dout_r0_1)) (portRef I1 (instanceRef un3_dout_r5_0_axb_2)) - (portRef I0 (instanceRef un2_dout_r4_axb_1)) )) (net (rename sum_0_1_2 "sum_0_1[2]") (joined (portRef (member O 1) (instanceRef sum_0_1_cry_3)) (portRef I1 (instanceRef un4_dout_r7_5_axb_1_lut6_2_o5)) (portRef I1 (instanceRef un4_dout_r7_5_axb_1_lut6_2_o6)) - (portRef I2 (instanceRef un3_dout_r6_0_axb_2_lut6_2_o6)) - (portRef I0 (instanceRef un2_dout_r2_axb_0_lut6_2_o5)) - (portRef I1 (instanceRef un2_dout_r2_axb_0_lut6_2_o6)) + (portRef I2 (instanceRef un4_dout_r7_4_axb_1_lut6_2_o5)) (portRef I0 (instanceRef un2_dout_r1_axb_0_lut6_2_o5)) - (portRef I2 (instanceRef un2_dout_r4_axb_2_lut6_2_o5)) + (portRef I0 (instanceRef un2_dout_r4_axb_2_lut6_2_o5)) + (portRef I2 (instanceRef un2_dout_r4_axb_1_lut6_2_o5)) + (portRef I1 (instanceRef un2_dout_r4_axb_1_lut6_2_o6)) + (portRef I1 (instanceRef un2_dout_r4_axb_0_lut6_2_o5)) (portRef I1 (instanceRef un3_dout_r6_0_axb_1_lut6_2_o5)) (portRef I1 (instanceRef un3_dout_r6_0_axb_1_lut6_2_o6)) (portRef I1 (instanceRef un3_dout_r5_0_axb_1_lut6_2_o5)) @@ -68836,114 +69017,62 @@ (portRef (member DI 2) (instanceRef un2_dout_r4_cry_3)) (portRef (member DI 3) (instanceRef un4_dout_r7_4_cry_3)) (portRef I2 (instanceRef un3_dout_r5_0_axb_2)) - (portRef I1 (instanceRef un2_dout_r4_axb_1)) )) (net un4_dout_r7_5_axb_1 (joined (portRef O (instanceRef un4_dout_r7_5_axb_1_lut6_2_o6)) (portRef (member S 2) (instanceRef un4_dout_r7_5_cry_3)) )) - (net un3_dout_r6_0_axb_0 (joined + (net un2_dout_r2_4 (joined (portRef O (instanceRef un4_dout_r7_5_axb_1_lut6_2_o5)) - (portRef (member S 3) (instanceRef un3_dout_r6_0_cry_3)) + (portRef (member S 3) (instanceRef un2_dout_r2_cry_3)) + (portRef D (instanceRef dout_r2_0)) )) (net din_r1_12_0 (joined (portRef Q (instanceRef din_r1_2)) - (portRef I0 (instanceRef un4_dout_r7_5_axb_2_lut6_2_o5)) (portRef I0 (instanceRef un4_dout_r7_5_axb_2_lut6_2_o6)) - (portRef I0 (instanceRef un4_dout_r7_5_axb_3_lut6_2_o5)) (portRef I0 (instanceRef un4_dout_r7_4_axb_1_lut6_2_o5)) - (portRef I0 (instanceRef un3_dout_r6_0_axb_2_lut6_2_o6)) - (portRef I0 (instanceRef un3_dout_r3_0_axb_3_lut6_2_o6)) + (portRef I0 (instanceRef un4_dout_r7_4_axb_2_lut6_2_o5)) + (portRef I0 (instanceRef un4_dout_r7_4_axb_3_lut6_2_o5)) + (portRef I0 (instanceRef un3_dout_r3_0_axb_2_lut6_2_o5)) + (portRef I0 (instanceRef un3_dout_r3_0_axb_2_lut6_2_o6)) (portRef I0 (instanceRef un2_dout_r4_axb_2_lut6_2_o6)) (portRef (member DI 1) (instanceRef un4_dout_r7_5_cry_3)) (portRef (member DI 1) (instanceRef un2_dout_r1_cry_3)) (portRef (member DI 1) (instanceRef un2_dout_r2_cry_3)) (portRef I0 (instanceRef sum_0_1_axb_2)) (portRef D (instanceRef dout_r0_2)) - (portRef I1 (instanceRef un3_dout_r5_0_axb_3)) + (portRef I0 (instanceRef un3_dout_r5_0_axb_3)) (portRef I0 (instanceRef un3_dout_r5_0_axb_2)) - (portRef I1 (instanceRef un3_dout_r6_0_axb_3)) + (portRef I0 (instanceRef un3_dout_r6_0_axb_3)) (portRef I0 (instanceRef un3_dout_r3_0_o5_2)) (portRef I0 (instanceRef un3_dout_r5_0_o5_2)) (portRef I0 (instanceRef un3_dout_r6_0_o5_2)) )) - (net (rename sum_0_1_3 "sum_0_1[3]") (joined - (portRef (member O 0) (instanceRef sum_0_1_cry_3)) - (portRef I1 (instanceRef un4_dout_r7_5_axb_2_lut6_2_o6)) - (portRef I4 (instanceRef un4_dout_r7_4_axb_1_lut6_2_o5)) - (portRef I1 (instanceRef un4_dout_r7_4_axb_1_lut6_2_o6)) - (portRef I3 (instanceRef un3_dout_r6_0_axb_2_lut6_2_o6)) - (portRef I1 (instanceRef un2_dout_r2_axb_1_lut6_2_o5)) - (portRef I1 (instanceRef un2_dout_r2_axb_1_lut6_2_o6)) - (portRef I1 (instanceRef un2_dout_r2_axb_0_lut6_2_o5)) - (portRef I1 (instanceRef un2_dout_r1_axb_0_lut6_2_o5)) - (portRef I1 (instanceRef un2_dout_r1_axb_0_lut6_2_o6)) - (portRef I3 (instanceRef un2_dout_r4_axb_2_lut6_2_o5)) - (portRef I1 (instanceRef un2_dout_r4_axb_2_lut6_2_o6)) - (portRef I2 (instanceRef un3_dout_r6_0_axb_1_lut6_2_o5)) - (portRef I2 (instanceRef un3_dout_r6_0_axb_1_lut6_2_o6)) - (portRef I1 (instanceRef un3_dout_r3_0_axb_1_lut6_2_o5)) - (portRef I1 (instanceRef un3_dout_r3_0_axb_1_lut6_2_o6)) - (portRef (member DI 1) (instanceRef un2_dout_r4_cry_3)) - (portRef (member DI 2) (instanceRef un4_dout_r7_4_cry_3)) - (portRef I2 (instanceRef un3_dout_r5_0_axb_3)) - (portRef I3 (instanceRef un3_dout_r5_0_axb_2)) - (portRef I2 (instanceRef un3_dout_r6_0_axb_3)) - (portRef I1 (instanceRef un3_dout_r5_0_o5_2)) - (portRef I1 (instanceRef un3_dout_r6_0_o5_2)) - )) - (net (rename sum_0_1_5 "sum_0_1[5]") (joined - (portRef (member O 2) (instanceRef sum_0_1_cry_7)) - (portRef I1 (instanceRef un4_dout_r7_5_axb_2_lut6_2_o5)) - (portRef I1 (instanceRef un4_dout_r7_5_axb_4_lut6_2_o5)) - (portRef I1 (instanceRef un4_dout_r7_5_axb_4_lut6_2_o6)) - (portRef I2 (instanceRef un4_dout_r7_4_axb_1_lut6_2_o5)) - (portRef I1 (instanceRef un4_dout_r7_4_axb_2_lut6_2_o5)) - (portRef I1 (instanceRef un4_dout_r7_4_axb_2_lut6_2_o6)) - (portRef I4 (instanceRef un4_dout_r7_4_axb_3_lut6_2_o5)) - (portRef I1 (instanceRef un4_dout_r7_4_axb_3_lut6_2_o6)) - (portRef I3 (instanceRef un3_dout_r3_0_axb_3_lut6_2_o6)) - (portRef (member DI 3) (instanceRef un2_dout_r4_cry_7)) - (portRef (member DI 0) (instanceRef un4_dout_r7_4_cry_3)) - (portRef I5 (instanceRef un3_dout_r5_0_axb_3)) - (portRef I5 (instanceRef un3_dout_r5_0_axb_4)) - (portRef I4 (instanceRef un3_dout_r5_0_axb_5)) - (portRef I5 (instanceRef un3_dout_r5_0_axb_2)) - (portRef I4 (instanceRef un3_dout_r6_0_axb_4)) - (portRef I4 (instanceRef un3_dout_r6_0_axb_3)) - (portRef I4 (instanceRef un3_dout_r3_0_axb_4)) - (portRef I2 (instanceRef un3_dout_r3_0_o5_3)) - (portRef I2 (instanceRef un3_dout_r3_0_o5_2)) - (portRef I2 (instanceRef un3_dout_r5_0_o5_4)) - (portRef I2 (instanceRef un3_dout_r5_0_o5_2)) - (portRef I2 (instanceRef un3_dout_r6_0_o5_4)) - (portRef I2 (instanceRef un3_dout_r6_0_o5_3)) - )) (net un4_dout_r7_5_axb_2 (joined (portRef O (instanceRef un4_dout_r7_5_axb_2_lut6_2_o6)) (portRef (member S 1) (instanceRef un4_dout_r7_5_cry_3)) )) - (net un2_dout_r1_axb_2 (joined + (net un2_dout_r2_axb_1 (joined (portRef O (instanceRef un4_dout_r7_5_axb_2_lut6_2_o5)) - (portRef (member S 1) (instanceRef un2_dout_r1_cry_3)) + (portRef (member S 2) (instanceRef un2_dout_r2_cry_3)) )) (net din_r1_11_0 (joined (portRef Q (instanceRef din_r1_3)) (portRef I0 (instanceRef un4_dout_r7_5_axb_3_lut6_2_o6)) - (portRef I0 (instanceRef un4_dout_r7_5_axb_5_lut6_2_o5)) - (portRef I0 (instanceRef un4_dout_r7_4_axb_2_lut6_2_o5)) - (portRef I0 (instanceRef un3_dout_r3_0_axb_3_lut6_2_o5)) - (portRef I1 (instanceRef un3_dout_r3_0_axb_3_lut6_2_o6)) + (portRef I0 (instanceRef un4_dout_r7_5_axb_4_lut6_2_o5)) + (portRef I1 (instanceRef un4_dout_r7_4_axb_3_lut6_2_o5)) + (portRef I0 (instanceRef un4_dout_r7_4_axb_4_lut6_2_o5)) + (portRef I0 (instanceRef un2_dout_r2_axb_3_lut6_2_o5)) + (portRef I0 (instanceRef un2_dout_r2_axb_3_lut6_2_o6)) (portRef (member DI 0) (instanceRef un4_dout_r7_5_cry_3)) (portRef (member DI 0) (instanceRef un2_dout_r1_cry_3)) (portRef (member DI 0) (instanceRef un2_dout_r2_cry_3)) - (portRef I0 (instanceRef sum_0_1_axb_3)) + (portRef I1 (instanceRef sum_0_1_axb_3)) (portRef D (instanceRef dout_r0_3)) - (portRef I0 (instanceRef un3_dout_r5_0_axb_3)) - (portRef I0 (instanceRef un3_dout_r5_0_axb_4)) + (portRef I1 (instanceRef un3_dout_r5_0_axb_3)) + (portRef I1 (instanceRef un3_dout_r5_0_axb_4)) (portRef I0 (instanceRef un3_dout_r6_0_axb_4)) - (portRef I0 (instanceRef un3_dout_r6_0_axb_3)) - (portRef I0 (instanceRef un3_dout_r3_0_axb_4)) + (portRef I1 (instanceRef un3_dout_r6_0_axb_3)) (portRef I0 (instanceRef un3_dout_r3_0_o5_3)) (portRef I0 (instanceRef un3_dout_r5_0_o5_3)) (portRef I0 (instanceRef un3_dout_r6_0_o5_3)) @@ -68952,13 +69081,13 @@ (portRef (member O 3) (instanceRef sum_0_1_cry_7)) (portRef I1 (instanceRef un4_dout_r7_5_axb_3_lut6_2_o5)) (portRef I1 (instanceRef un4_dout_r7_5_axb_3_lut6_2_o6)) - (portRef I3 (instanceRef un4_dout_r7_4_axb_1_lut6_2_o5)) - (portRef I0 (instanceRef un4_dout_r7_4_axb_1_lut6_2_o6)) + (portRef I4 (instanceRef un4_dout_r7_4_axb_1_lut6_2_o5)) + (portRef I1 (instanceRef un4_dout_r7_4_axb_1_lut6_2_o6)) (portRef I0 (instanceRef un4_dout_r7_4_axb_2_lut6_2_o6)) - (portRef I1 (instanceRef un3_dout_r6_0_axb_2_lut6_2_o5)) - (portRef I4 (instanceRef un3_dout_r6_0_axb_2_lut6_2_o6)) - (portRef I1 (instanceRef un3_dout_r3_0_axb_3_lut6_2_o5)) - (portRef I4 (instanceRef un3_dout_r3_0_axb_3_lut6_2_o6)) + (portRef I2 (instanceRef un4_dout_r7_4_axb_3_lut6_2_o5)) + (portRef I1 (instanceRef un3_dout_r3_0_axb_2_lut6_2_o5)) + (portRef I3 (instanceRef un3_dout_r3_0_axb_2_lut6_2_o6)) + (portRef I1 (instanceRef un2_dout_r2_axb_3_lut6_2_o5)) (portRef I2 (instanceRef un3_dout_r5_0_axb_1_lut6_2_o5)) (portRef I2 (instanceRef un3_dout_r5_0_axb_1_lut6_2_o6)) (portRef I2 (instanceRef un3_dout_r3_0_axb_1_lut6_2_o5)) @@ -68979,80 +69108,51 @@ (portRef O (instanceRef un4_dout_r7_5_axb_3_lut6_2_o6)) (portRef (member S 0) (instanceRef un4_dout_r7_5_cry_3)) )) - (net un2_dout_r2_axb_2 (joined + (net un2_dout_r1_axb_1 (joined (portRef O (instanceRef un4_dout_r7_5_axb_3_lut6_2_o5)) - (portRef (member S 1) (instanceRef un2_dout_r2_cry_3)) + (portRef (member S 2) (instanceRef un2_dout_r1_cry_3)) )) (net din_r1_10_0 (joined (portRef Q (instanceRef din_r1_4)) - (portRef I0 (instanceRef un4_dout_r7_5_axb_4_lut6_2_o5)) + (portRef I1 (instanceRef un4_dout_r7_5_axb_4_lut6_2_o5)) (portRef I0 (instanceRef un4_dout_r7_5_axb_4_lut6_2_o6)) - (portRef I1 (instanceRef un4_dout_r7_4_axb_3_lut6_2_o5)) - (portRef I0 (instanceRef un4_dout_r7_4_axb_4_lut6_2_o5)) - (portRef I0 (instanceRef un4_dout_r7_4_axb_5_lut6_2_o5)) + (portRef I1 (instanceRef un3_dout_r3_0_axb_5_lut6_2_o6)) + (portRef I0 (instanceRef un2_dout_r2_axb_5_lut6_2_o5)) + (portRef I0 (instanceRef un2_dout_r2_axb_4_lut6_2_o5)) + (portRef I0 (instanceRef un2_dout_r2_axb_4_lut6_2_o6)) (portRef (member DI 3) (instanceRef un4_dout_r7_5_cry_7)) (portRef (member DI 3) (instanceRef un2_dout_r1_cry_7)) (portRef (member DI 3) (instanceRef un2_dout_r2_cry_7)) (portRef I0 (instanceRef sum_0_1_axb_4)) (portRef D (instanceRef dout_r0_4)) - (portRef I1 (instanceRef un3_dout_r5_0_axb_4)) - (portRef I1 (instanceRef un3_dout_r5_0_axb_5)) + (portRef I0 (instanceRef un3_dout_r5_0_axb_4)) + (portRef I0 (instanceRef un3_dout_r5_0_axb_5)) (portRef I1 (instanceRef un3_dout_r6_0_axb_4)) - (portRef I1 (instanceRef un3_dout_r3_0_axb_4)) - (portRef I1 (instanceRef un3_dout_r3_0_axb_5)) + (portRef I1 (instanceRef un3_dout_r6_0_axb_5)) (portRef I0 (instanceRef un3_dout_r3_0_o5_4)) (portRef I0 (instanceRef un3_dout_r5_0_o5_4)) (portRef I0 (instanceRef un3_dout_r6_0_o5_4)) )) - (net un4_dout_r7_5_axb_4 (joined - (portRef O (instanceRef un4_dout_r7_5_axb_4_lut6_2_o6)) - (portRef (member S 3) (instanceRef un4_dout_r7_5_cry_7)) - )) - (net un2_dout_r4_axb_4 (joined - (portRef O (instanceRef un4_dout_r7_5_axb_4_lut6_2_o5)) - (portRef (member S 3) (instanceRef un2_dout_r4_cry_7)) - )) - (net din_r1_9_0 (joined - (portRef Q (instanceRef din_r1_5)) - (portRef I0 (instanceRef un4_dout_r7_5_axb_5_lut6_2_o6)) - (portRef I0 (instanceRef un4_dout_r7_5_axb_6_lut6_2_o5)) - (portRef I0 (instanceRef un4_dout_r7_4_axb_3_lut6_2_o5)) - (portRef I1 (instanceRef un4_dout_r7_4_axb_6_lut6_2_o5)) - (portRef I0 (instanceRef un3_dout_r6_0_axb_6_lut6_2_o6)) - (portRef I0 (instanceRef un2_dout_r1_axb_5_lut6_2_o5)) - (portRef I0 (instanceRef un2_dout_r1_axb_5_lut6_2_o6)) - (portRef (member DI 2) (instanceRef un4_dout_r7_5_cry_7)) - (portRef (member DI 2) (instanceRef un2_dout_r1_cry_7)) - (portRef (member DI 2) (instanceRef un2_dout_r2_cry_7)) - (portRef I1 (instanceRef sum_0_1_axb_5)) - (portRef D (instanceRef dout_r0_5)) - (portRef I1 (instanceRef un3_dout_r5_0_axb_6)) - (portRef I0 (instanceRef un3_dout_r5_0_axb_5)) - (portRef I0 (instanceRef un3_dout_r3_0_axb_5)) - (portRef I0 (instanceRef un3_dout_r3_0_o5_5)) - (portRef I0 (instanceRef un3_dout_r5_0_o5_5)) - (portRef I0 (instanceRef un3_dout_r6_0_o5_5)) - )) (net (rename sum_0_1_6 "sum_0_1[6]") (joined (portRef (member O 1) (instanceRef sum_0_1_cry_7)) - (portRef I1 (instanceRef un4_dout_r7_5_axb_5_lut6_2_o5)) - (portRef I1 (instanceRef un4_dout_r7_5_axb_5_lut6_2_o6)) + (portRef I2 (instanceRef un4_dout_r7_5_axb_4_lut6_2_o5)) (portRef I3 (instanceRef un4_dout_r7_4_axb_3_lut6_2_o5)) (portRef I0 (instanceRef un4_dout_r7_4_axb_3_lut6_2_o6)) (portRef I1 (instanceRef un4_dout_r7_4_axb_4_lut6_2_o5)) (portRef I0 (instanceRef un4_dout_r7_4_axb_4_lut6_2_o6)) (portRef I2 (instanceRef un3_dout_r6_0_axb_6_lut6_2_o6)) - (portRef I2 (instanceRef un3_dout_r3_0_axb_3_lut6_2_o6)) - (portRef I1 (instanceRef un2_dout_r1_axb_5_lut6_2_o5)) + (portRef I1 (instanceRef un3_dout_r3_0_axb_5_lut6_2_o5)) + (portRef I2 (instanceRef un3_dout_r3_0_axb_5_lut6_2_o6)) + (portRef I1 (instanceRef un2_dout_r2_axb_4_lut6_2_o6)) (portRef (member DI 2) (instanceRef un2_dout_r4_cry_7)) (portRef (member DI 3) (instanceRef un4_dout_r7_4_cry_7)) + (portRef I1 (instanceRef un4_dout_r7_5_axb_5)) (portRef I2 (instanceRef un3_dout_r5_0_axb_6)) (portRef I4 (instanceRef un3_dout_r5_0_axb_3)) (portRef I3 (instanceRef un3_dout_r5_0_axb_4)) (portRef I2 (instanceRef un3_dout_r5_0_axb_5)) (portRef I3 (instanceRef un3_dout_r6_0_axb_4)) - (portRef I2 (instanceRef un3_dout_r3_0_axb_4)) - (portRef I2 (instanceRef un3_dout_r3_0_axb_5)) + (portRef I2 (instanceRef un3_dout_r6_0_axb_5)) (portRef I1 (instanceRef un3_dout_r3_0_o5_4)) (portRef I1 (instanceRef un3_dout_r3_0_o5_3)) (portRef I1 (instanceRef un3_dout_r5_0_o5_5)) @@ -69060,55 +69160,26 @@ (portRef I1 (instanceRef un3_dout_r6_0_o5_5)) (portRef I1 (instanceRef un3_dout_r6_0_o5_4)) )) - (net un4_dout_r7_5_axb_5 (joined - (portRef O (instanceRef un4_dout_r7_5_axb_5_lut6_2_o6)) - (portRef (member S 2) (instanceRef un4_dout_r7_5_cry_7)) - )) - (net un2_dout_r1_axb_3 (joined - (portRef O (instanceRef un4_dout_r7_5_axb_5_lut6_2_o5)) - (portRef (member S 0) (instanceRef un2_dout_r1_cry_3)) - )) - (net din_r1_8_0 (joined - (portRef Q (instanceRef din_r1_6)) - (portRef I0 (instanceRef un4_dout_r7_5_axb_6_lut6_2_o6)) - (portRef I0 (instanceRef un4_dout_r7_5_axb_7_lut6_2_o5)) - (portRef I0 (instanceRef un4_dout_r7_4_axb_6_lut6_2_o5)) - (portRef I0 (instanceRef un3_dout_r6_0_axb_6_lut6_2_o5)) - (portRef I1 (instanceRef un3_dout_r6_0_axb_6_lut6_2_o6)) - (portRef I0 (instanceRef un3_dout_r6_0_axb_7_lut6_2_o5)) - (portRef I1 (instanceRef un3_dout_r6_0_axb_7_lut6_2_o6)) - (portRef (member DI 1) (instanceRef un4_dout_r7_5_cry_7)) - (portRef (member DI 1) (instanceRef un2_dout_r1_cry_7)) - (portRef (member DI 1) (instanceRef un2_dout_r2_cry_7)) - (portRef I0 (instanceRef sum_0_1_axb_6)) - (portRef D (instanceRef dout_r0_6)) - (portRef I1 (instanceRef un3_dout_r5_0_axb_7)) - (portRef I0 (instanceRef un3_dout_r5_0_axb_6)) - (portRef I1 (instanceRef un3_dout_r3_0_axb_7)) - (portRef I0 (instanceRef un3_dout_r3_0_o5_6)) - (portRef I0 (instanceRef un3_dout_r5_0_o5_6)) - (portRef I0 (instanceRef un3_dout_r6_0_o5_6)) - )) (net (rename sum_0_1_7 "sum_0_1[7]") (joined (portRef (member O 0) (instanceRef sum_0_1_cry_7)) - (portRef I1 (instanceRef un4_dout_r7_5_axb_6_lut6_2_o5)) - (portRef I1 (instanceRef un4_dout_r7_5_axb_6_lut6_2_o6)) - (portRef I2 (instanceRef un4_dout_r7_4_axb_3_lut6_2_o5)) + (portRef I3 (instanceRef un4_dout_r7_5_axb_4_lut6_2_o5)) (portRef I1 (instanceRef un4_dout_r7_4_axb_4_lut6_2_o6)) - (portRef I1 (instanceRef un4_dout_r7_4_axb_5_lut6_2_o5)) + (portRef I2 (instanceRef un4_dout_r7_4_axb_5_lut6_2_o5)) (portRef I0 (instanceRef un4_dout_r7_4_axb_5_lut6_2_o6)) - (portRef I2 (instanceRef un4_dout_r7_4_axb_6_lut6_2_o5)) (portRef I1 (instanceRef un3_dout_r6_0_axb_6_lut6_2_o5)) - (portRef I4 (instanceRef un3_dout_r6_0_axb_6_lut6_2_o6)) - (portRef I2 (instanceRef un3_dout_r6_0_axb_7_lut6_2_o6)) + (portRef I3 (instanceRef un3_dout_r6_0_axb_6_lut6_2_o6)) + (portRef I3 (instanceRef un3_dout_r3_0_axb_5_lut6_2_o6)) + (portRef I2 (instanceRef un3_dout_r3_0_axb_6_lut6_2_o6)) + (portRef I1 (instanceRef un2_dout_r2_axb_5_lut6_2_o5)) + (portRef I1 (instanceRef un2_dout_r2_axb_5_lut6_2_o6)) (portRef (member DI 1) (instanceRef un2_dout_r4_cry_7)) (portRef (member DI 2) (instanceRef un4_dout_r7_4_cry_7)) + (portRef I1 (instanceRef un4_dout_r7_5_axb_6)) (portRef I2 (instanceRef un3_dout_r5_0_axb_7)) (portRef I3 (instanceRef un3_dout_r5_0_axb_6)) (portRef I4 (instanceRef un3_dout_r5_0_axb_4)) (portRef I3 (instanceRef un3_dout_r5_0_axb_5)) - (portRef I3 (instanceRef un3_dout_r3_0_axb_4)) - (portRef I3 (instanceRef un3_dout_r3_0_axb_5)) + (portRef I3 (instanceRef un3_dout_r6_0_axb_5)) (portRef I1 (instanceRef un3_dout_r3_0_o5_5)) (portRef I2 (instanceRef un3_dout_r3_0_o5_4)) (portRef I1 (instanceRef un3_dout_r5_0_o5_6)) @@ -69116,137 +69187,102 @@ (portRef I1 (instanceRef un3_dout_r6_0_o5_6)) (portRef I2 (instanceRef un3_dout_r6_0_o5_5)) )) - (net un4_dout_r7_5_axb_6 (joined - (portRef O (instanceRef un4_dout_r7_5_axb_6_lut6_2_o6)) - (portRef (member S 1) (instanceRef un4_dout_r7_5_cry_7)) + (net (rename sum_0_1_5 "sum_0_1[5]") (joined + (portRef (member O 2) (instanceRef sum_0_1_cry_7)) + (portRef I4 (instanceRef un4_dout_r7_5_axb_4_lut6_2_o5)) + (portRef I1 (instanceRef un4_dout_r7_5_axb_4_lut6_2_o6)) + (portRef I1 (instanceRef un4_dout_r7_4_axb_2_lut6_2_o5)) + (portRef I1 (instanceRef un4_dout_r7_4_axb_2_lut6_2_o6)) + (portRef I4 (instanceRef un4_dout_r7_4_axb_3_lut6_2_o5)) + (portRef I1 (instanceRef un4_dout_r7_4_axb_3_lut6_2_o6)) + (portRef I4 (instanceRef un3_dout_r3_0_axb_2_lut6_2_o6)) + (portRef I1 (instanceRef un2_dout_r2_axb_4_lut6_2_o5)) + (portRef I1 (instanceRef un2_dout_r2_axb_3_lut6_2_o6)) + (portRef (member DI 3) (instanceRef un2_dout_r4_cry_7)) + (portRef (member DI 0) (instanceRef un4_dout_r7_4_cry_3)) + (portRef I5 (instanceRef un3_dout_r5_0_axb_3)) + (portRef I5 (instanceRef un3_dout_r5_0_axb_4)) + (portRef I4 (instanceRef un3_dout_r5_0_axb_5)) + (portRef I5 (instanceRef un3_dout_r5_0_axb_2)) + (portRef I4 (instanceRef un3_dout_r6_0_axb_4)) + (portRef I4 (instanceRef un3_dout_r6_0_axb_5)) + (portRef I4 (instanceRef un3_dout_r6_0_axb_3)) + (portRef I2 (instanceRef un3_dout_r3_0_o5_3)) + (portRef I2 (instanceRef un3_dout_r3_0_o5_2)) + (portRef I2 (instanceRef un3_dout_r5_0_o5_4)) + (portRef I2 (instanceRef un3_dout_r5_0_o5_2)) + (portRef I2 (instanceRef un3_dout_r6_0_o5_4)) + (portRef I2 (instanceRef un3_dout_r6_0_o5_3)) )) - (net un2_dout_r2_axb_5 (joined - (portRef O (instanceRef un4_dout_r7_5_axb_6_lut6_2_o5)) - (portRef (member S 2) (instanceRef un2_dout_r2_cry_7)) + (net un4_dout_r7_5_axb_4 (joined + (portRef O (instanceRef un4_dout_r7_5_axb_4_lut6_2_o6)) + (portRef (member S 3) (instanceRef un4_dout_r7_5_cry_7)) + )) + (net un3_dout_r3_0_axb_4 (joined + (portRef O (instanceRef un4_dout_r7_5_axb_4_lut6_2_o5)) + (portRef (member S 3) (instanceRef un3_dout_r3_0_cry_7)) )) (net din_r1_7_0 (joined (portRef Q (instanceRef din_r1_7)) - (portRef I0 (instanceRef un4_dout_r7_5_axb_7_lut6_2_o6)) - (portRef I0 (instanceRef un3_dout_r6_0_axb_7_lut6_2_o6)) - (portRef I0 (instanceRef un2_dout_r2_axb_7_lut6_2_o6)) - (portRef I0 (instanceRef un2_dout_r1_axb_7_lut6_2_o5)) - (portRef I0 (instanceRef un2_dout_r1_axb_7_lut6_2_o6)) + (portRef I0 (instanceRef un4_dout_r7_5_axb_8_lut6_2_o5)) + (portRef I1 (instanceRef un4_dout_r7_4_axb_5_lut6_2_o5)) + (portRef I0 (instanceRef sum_0_1_axb_7_lut6_2_o5)) + (portRef I1 (instanceRef sum_0_1_axb_7_lut6_2_o6)) + (portRef I0 (instanceRef un3_dout_r3_0_axb_7_lut6_2_o5)) + (portRef I1 (instanceRef un3_dout_r3_0_axb_7_lut6_2_o6)) (portRef (member DI 0) (instanceRef un4_dout_r7_5_cry_7)) (portRef (member DI 0) (instanceRef un2_dout_r1_cry_7)) (portRef (member DI 0) (instanceRef un2_dout_r2_cry_7)) - (portRef I0 (instanceRef sum_0_1_axb_7)) + (portRef I0 (instanceRef un4_dout_r7_5_axb_7)) (portRef D (instanceRef dout_r0_7)) - (portRef I0 (instanceRef un3_dout_r5_0_axb_7)) + (portRef I1 (instanceRef un3_dout_r5_0_axb_7)) (portRef I1 (instanceRef un3_dout_r5_0_axb_8)) - (portRef I0 (instanceRef un3_dout_r3_0_axb_7)) (portRef I1 (instanceRef un3_dout_r3_0_axb_8)) (portRef I1 (instanceRef un3_dout_r6_0_axb_8)) (portRef I0 (instanceRef un3_dout_r3_0_o5_7)) (portRef I0 (instanceRef un3_dout_r5_0_o5_7)) (portRef I0 (instanceRef un3_dout_r6_0_o5_7)) )) - (net (rename sum_0_1_8 "sum_0_1[8]") (joined - (portRef (member O 3) (instanceRef sum_0_1_cry_11)) - (portRef I1 (instanceRef un4_dout_r7_5_axb_7_lut6_2_o5)) - (portRef I1 (instanceRef un4_dout_r7_5_axb_7_lut6_2_o6)) - (portRef I1 (instanceRef un4_dout_r7_4_axb_5_lut6_2_o6)) - (portRef I3 (instanceRef un4_dout_r7_4_axb_6_lut6_2_o5)) - (portRef I0 (instanceRef un4_dout_r7_4_axb_6_lut6_2_o6)) - (portRef I3 (instanceRef un3_dout_r6_0_axb_6_lut6_2_o6)) - (portRef I3 (instanceRef un3_dout_r6_0_axb_7_lut6_2_o6)) - (portRef I1 (instanceRef un2_dout_r1_axb_7_lut6_2_o5)) - (portRef I1 (instanceRef un2_dout_r1_axb_5_lut6_2_o6)) - (portRef (member DI 0) (instanceRef un2_dout_r4_cry_7)) - (portRef (member DI 1) (instanceRef un4_dout_r7_4_cry_7)) - (portRef I3 (instanceRef un3_dout_r5_0_axb_7)) - (portRef I2 (instanceRef un3_dout_r5_0_axb_8)) - (portRef I4 (instanceRef un3_dout_r5_0_axb_6)) - (portRef I5 (instanceRef un3_dout_r5_0_axb_5)) - (portRef I4 (instanceRef un3_dout_r3_0_axb_5)) - (portRef I2 (instanceRef un3_dout_r3_0_axb_7)) - (portRef I2 (instanceRef un3_dout_r6_0_axb_8)) - (portRef I1 (instanceRef un3_dout_r3_0_o5_6)) - (portRef I2 (instanceRef un3_dout_r3_0_o5_5)) - (portRef I1 (instanceRef un3_dout_r5_0_o5_7)) - (portRef I2 (instanceRef un3_dout_r5_0_o5_5)) - (portRef I1 (instanceRef un3_dout_r6_0_o5_7)) - (portRef I2 (instanceRef un3_dout_r6_0_o5_6)) - )) - (net un4_dout_r7_5_axb_7 (joined - (portRef O (instanceRef un4_dout_r7_5_axb_7_lut6_2_o6)) - (portRef (member S 0) (instanceRef un4_dout_r7_5_cry_7)) - )) - (net un2_dout_r2_axb_6 (joined - (portRef O (instanceRef un4_dout_r7_5_axb_7_lut6_2_o5)) - (portRef (member S 1) (instanceRef un2_dout_r2_cry_7)) - )) (net din_r1_6_0 (joined (portRef Q (instanceRef din_r1_8)) (portRef I0 (instanceRef un4_dout_r7_5_axb_8_lut6_2_o6)) (portRef I0 (instanceRef un4_dout_r7_5_axb_9_lut6_2_o5)) - (portRef I0 (instanceRef un4_dout_r7_5_axb_10_lut6_2_o5)) - (portRef I0 (instanceRef un2_dout_r2_axb_7_lut6_2_o5)) + (portRef I0 (instanceRef un4_dout_r7_4_axb_9_lut6_2_o5)) + (portRef I1 (instanceRef un3_dout_r3_0_axb_9_lut6_2_o6)) + (portRef I0 (instanceRef un3_dout_r6_0_axb_9_lut6_2_o5)) + (portRef I1 (instanceRef un3_dout_r6_0_axb_9_lut6_2_o6)) (portRef (member DI 3) (instanceRef un4_dout_r7_5_cry_11)) (portRef (member DI 3) (instanceRef un2_dout_r1_cry_11)) (portRef (member DI 3) (instanceRef un2_dout_r2_cry_11)) (portRef I0 (instanceRef sum_0_1_axb_8)) (portRef D (instanceRef dout_r0_8)) (portRef I0 (instanceRef un3_dout_r5_0_axb_8)) - (portRef I1 (instanceRef un3_dout_r5_0_axb_9)) + (portRef I0 (instanceRef un3_dout_r5_0_axb_9)) (portRef I0 (instanceRef un3_dout_r3_0_axb_8)) - (portRef I1 (instanceRef un3_dout_r3_0_axb_9)) - (portRef I1 (instanceRef un3_dout_r6_0_axb_9)) (portRef I0 (instanceRef un3_dout_r6_0_axb_8)) (portRef I0 (instanceRef un3_dout_r3_0_o5_8)) (portRef I0 (instanceRef un3_dout_r5_0_o5_8)) (portRef I0 (instanceRef un3_dout_r6_0_o5_8)) )) - (net (rename sum_0_1_10 "sum_0_1[10]") (joined - (portRef (member O 1) (instanceRef sum_0_1_cry_11)) - (portRef I0 (instanceRef un4_dout_r7_5_axb_8_lut6_2_o5)) - (portRef I1 (instanceRef un4_dout_r7_5_axb_9_lut6_2_o5)) - (portRef I1 (instanceRef un4_dout_r7_5_axb_9_lut6_2_o6)) - (portRef I1 (instanceRef un4_dout_r7_4_axb_8_lut6_2_o5)) - (portRef I1 (instanceRef un4_dout_r7_4_axb_8_lut6_2_o6)) - (portRef I1 (instanceRef un2_dout_r1_axb_7_lut6_2_o6)) - (portRef (member DI 2) (instanceRef un2_dout_r4_cry_11)) - (portRef (member DI 3) (instanceRef un4_dout_r7_4_cry_11)) - (portRef I4 (instanceRef un3_dout_r5_0_axb_7)) - (portRef I3 (instanceRef un3_dout_r5_0_axb_8)) - (portRef I2 (instanceRef un3_dout_r5_0_axb_9)) - (portRef I2 (instanceRef un3_dout_r5_0_axb_10)) - (portRef I3 (instanceRef un3_dout_r3_0_axb_7)) - (portRef I2 (instanceRef un3_dout_r3_0_axb_8)) - (portRef I2 (instanceRef un3_dout_r3_0_axb_9)) - (portRef I2 (instanceRef un3_dout_r6_0_axb_9)) - (portRef I2 (instanceRef un3_dout_r6_0_axb_10)) - (portRef I3 (instanceRef un3_dout_r6_0_axb_8)) - (portRef I1 (instanceRef un3_dout_r3_0_o5_8)) - (portRef I1 (instanceRef un3_dout_r3_0_o5_7)) - (portRef I1 (instanceRef un3_dout_r5_0_o5_9)) - (portRef I2 (instanceRef un3_dout_r5_0_o5_7)) - (portRef I1 (instanceRef un3_dout_r6_0_o5_9)) - (portRef I1 (instanceRef un3_dout_r6_0_o5_8)) - )) (net (rename sum_0_1_9 "sum_0_1[9]") (joined (portRef (member O 2) (instanceRef sum_0_1_cry_11)) (portRef I1 (instanceRef un4_dout_r7_5_axb_8_lut6_2_o5)) (portRef I1 (instanceRef un4_dout_r7_5_axb_8_lut6_2_o6)) - (portRef I4 (instanceRef un4_dout_r7_4_axb_6_lut6_2_o5)) + (portRef I3 (instanceRef un4_dout_r7_4_axb_5_lut6_2_o5)) + (portRef I1 (instanceRef un4_dout_r7_4_axb_6_lut6_2_o5)) (portRef I1 (instanceRef un4_dout_r7_4_axb_6_lut6_2_o6)) - (portRef I1 (instanceRef un3_dout_r6_0_axb_7_lut6_2_o5)) - (portRef I4 (instanceRef un3_dout_r6_0_axb_7_lut6_2_o6)) - (portRef I1 (instanceRef un2_dout_r2_axb_7_lut6_2_o5)) - (portRef I1 (instanceRef un2_dout_r2_axb_7_lut6_2_o6)) + (portRef I1 (instanceRef un3_dout_r3_0_axb_6_lut6_2_o5)) + (portRef I4 (instanceRef un3_dout_r3_0_axb_6_lut6_2_o6)) + (portRef I4 (instanceRef un3_dout_r3_0_axb_7_lut6_2_o6)) + (portRef I1 (instanceRef un3_dout_r6_0_axb_9_lut6_2_o5)) + (portRef I4 (instanceRef un3_dout_r6_0_axb_9_lut6_2_o6)) (portRef (member DI 3) (instanceRef un2_dout_r4_cry_11)) (portRef (member DI 0) (instanceRef un4_dout_r7_4_cry_7)) (portRef I5 (instanceRef un3_dout_r5_0_axb_7)) (portRef I5 (instanceRef un3_dout_r5_0_axb_8)) - (portRef I5 (instanceRef un3_dout_r5_0_axb_9)) + (portRef I4 (instanceRef un3_dout_r5_0_axb_9)) (portRef I5 (instanceRef un3_dout_r5_0_axb_6)) - (portRef I4 (instanceRef un3_dout_r3_0_axb_7)) (portRef I4 (instanceRef un3_dout_r3_0_axb_8)) - (portRef I4 (instanceRef un3_dout_r6_0_axb_9)) (portRef I4 (instanceRef un3_dout_r6_0_axb_8)) (portRef I2 (instanceRef un3_dout_r3_0_o5_7)) (portRef I2 (instanceRef un3_dout_r3_0_o5_6)) @@ -69259,31 +69295,58 @@ (portRef O (instanceRef un4_dout_r7_5_axb_8_lut6_2_o6)) (portRef (member S 3) (instanceRef un4_dout_r7_5_cry_11)) )) - (net un4_dout_r7_4_axb_7 (joined + (net un2_dout_r2_axb_7 (joined (portRef O (instanceRef un4_dout_r7_5_axb_8_lut6_2_o5)) - (portRef (member S 0) (instanceRef un4_dout_r7_4_cry_7)) + (portRef (member S 0) (instanceRef un2_dout_r2_cry_7)) )) (net din_r1_5_0 (joined (portRef Q (instanceRef din_r1_9)) (portRef I0 (instanceRef un4_dout_r7_5_axb_9_lut6_2_o6)) - (portRef I0 (instanceRef un4_dout_r7_5_axb_11_lut6_2_o5)) (portRef I0 (instanceRef un4_dout_r7_4_axb_8_lut6_2_o5)) - (portRef I0 (instanceRef un2_dout_r2_axb_9_lut6_2_o6)) + (portRef I0 (instanceRef un3_dout_r3_0_axb_9_lut6_2_o5)) + (portRef I0 (instanceRef un3_dout_r3_0_axb_9_lut6_2_o6)) + (portRef I0 (instanceRef un3_dout_r6_0_axb_9_lut6_2_o6)) + (portRef I0 (instanceRef un2_dout_r2_axb_10_lut6_2_o5)) (portRef (member DI 2) (instanceRef un4_dout_r7_5_cry_11)) (portRef (member DI 2) (instanceRef un2_dout_r1_cry_11)) (portRef (member DI 2) (instanceRef un2_dout_r2_cry_11)) (portRef I0 (instanceRef sum_0_1_axb_9)) (portRef D (instanceRef dout_r0_9)) - (portRef I0 (instanceRef un3_dout_r5_0_axb_9)) - (portRef I1 (instanceRef un3_dout_r5_0_axb_10)) - (portRef I0 (instanceRef un3_dout_r3_0_axb_9)) + (portRef I1 (instanceRef un3_dout_r5_0_axb_9)) + (portRef I0 (instanceRef un3_dout_r5_0_axb_10)) (portRef I1 (instanceRef un3_dout_r3_0_axb_10)) - (portRef I0 (instanceRef un3_dout_r6_0_axb_9)) (portRef I1 (instanceRef un3_dout_r6_0_axb_10)) (portRef I0 (instanceRef un3_dout_r3_0_o5_9)) (portRef I0 (instanceRef un3_dout_r5_0_o5_9)) (portRef I0 (instanceRef un3_dout_r6_0_o5_9)) )) + (net (rename sum_0_1_10 "sum_0_1[10]") (joined + (portRef (member O 1) (instanceRef sum_0_1_cry_11)) + (portRef I1 (instanceRef un4_dout_r7_5_axb_9_lut6_2_o5)) + (portRef I1 (instanceRef un4_dout_r7_5_axb_9_lut6_2_o6)) + (portRef I0 (instanceRef un4_dout_r7_4_axb_6_lut6_2_o5)) + (portRef I0 (instanceRef un4_dout_r7_4_axb_8_lut6_2_o6)) + (portRef I1 (instanceRef sum_0_1_axb_7_lut6_2_o5)) + (portRef I3 (instanceRef un3_dout_r3_0_axb_7_lut6_2_o6)) + (portRef I1 (instanceRef un3_dout_r3_0_axb_9_lut6_2_o5)) + (portRef I2 (instanceRef un3_dout_r3_0_axb_9_lut6_2_o6)) + (portRef I2 (instanceRef un3_dout_r6_0_axb_9_lut6_2_o6)) + (portRef (member DI 2) (instanceRef un2_dout_r4_cry_11)) + (portRef (member DI 3) (instanceRef un4_dout_r7_4_cry_11)) + (portRef I4 (instanceRef un3_dout_r5_0_axb_7)) + (portRef I3 (instanceRef un3_dout_r5_0_axb_8)) + (portRef I2 (instanceRef un3_dout_r5_0_axb_9)) + (portRef I2 (instanceRef un3_dout_r5_0_axb_10)) + (portRef I2 (instanceRef un3_dout_r3_0_axb_8)) + (portRef I2 (instanceRef un3_dout_r6_0_axb_10)) + (portRef I3 (instanceRef un3_dout_r6_0_axb_8)) + (portRef I1 (instanceRef un3_dout_r3_0_o5_8)) + (portRef I1 (instanceRef un3_dout_r3_0_o5_7)) + (portRef I2 (instanceRef un3_dout_r5_0_o5_9)) + (portRef I2 (instanceRef un3_dout_r5_0_o5_7)) + (portRef I1 (instanceRef un3_dout_r6_0_o5_9)) + (portRef I1 (instanceRef un3_dout_r6_0_o5_8)) + )) (net un4_dout_r7_5_axb_9 (joined (portRef O (instanceRef un4_dout_r7_5_axb_9_lut6_2_o6)) (portRef (member S 2) (instanceRef un4_dout_r7_5_cry_11)) @@ -69294,21 +69357,21 @@ )) (net din_r1_4_0 (joined (portRef Q (instanceRef din_r1_10)) + (portRef I0 (instanceRef un4_dout_r7_5_axb_10_lut6_2_o5)) (portRef I0 (instanceRef un4_dout_r7_5_axb_10_lut6_2_o6)) - (portRef I0 (instanceRef un4_dout_r7_5_axb_12_lut6_2_o5)) - (portRef I0 (instanceRef un2_dout_r2_axb_9_lut6_2_o5)) + (portRef I0 (instanceRef un4_dout_r7_4_axb_10_lut6_2_o5)) + (portRef I0 (instanceRef un4_dout_r7_4_axb_11_lut6_2_o5)) + (portRef I0 (instanceRef un2_dout_r2_axb_10_lut6_2_o6)) (portRef (member DI 1) (instanceRef un4_dout_r7_5_cry_11)) (portRef (member DI 1) (instanceRef un2_dout_r1_cry_11)) (portRef (member DI 1) (instanceRef un2_dout_r2_cry_11)) (portRef I0 (instanceRef sum_0_1_axb_10)) (portRef D (instanceRef dout_r0_10)) (portRef I1 (instanceRef un3_dout_r5_0_axb_11)) - (portRef I0 (instanceRef un3_dout_r5_0_axb_10)) + (portRef I1 (instanceRef un3_dout_r5_0_axb_10)) (portRef I0 (instanceRef un3_dout_r3_0_axb_10)) - (portRef I1 (instanceRef un3_dout_r3_0_axb_11)) + (portRef I0 (instanceRef un3_dout_r3_0_axb_11)) (portRef I0 (instanceRef un3_dout_r6_0_axb_10)) - (portRef I1 (instanceRef un3_dout_r6_0_axb_11)) - (portRef I0 (instanceRef un2_dout_r2_axb_10)) (portRef I0 (instanceRef un3_dout_r3_0_o5_10)) (portRef I0 (instanceRef un3_dout_r5_0_o5_10)) (portRef I0 (instanceRef un3_dout_r6_0_o5_10)) @@ -69317,10 +69380,13 @@ (portRef (member O 0) (instanceRef sum_0_1_cry_11)) (portRef I1 (instanceRef un4_dout_r7_5_axb_10_lut6_2_o5)) (portRef I1 (instanceRef un4_dout_r7_5_axb_10_lut6_2_o6)) - (portRef I0 (instanceRef un4_dout_r7_4_axb_8_lut6_2_o6)) + (portRef I1 (instanceRef un4_dout_r7_4_axb_8_lut6_2_o5)) + (portRef I1 (instanceRef un4_dout_r7_4_axb_8_lut6_2_o6)) + (portRef I1 (instanceRef un4_dout_r7_4_axb_9_lut6_2_o5)) (portRef I0 (instanceRef un4_dout_r7_4_axb_9_lut6_2_o6)) - (portRef I1 (instanceRef un2_dout_r2_axb_9_lut6_2_o5)) - (portRef I1 (instanceRef un2_dout_r2_axb_9_lut6_2_o6)) + (portRef I2 (instanceRef un4_dout_r7_4_axb_10_lut6_2_o5)) + (portRef I3 (instanceRef un3_dout_r3_0_axb_9_lut6_2_o6)) + (portRef I3 (instanceRef un3_dout_r6_0_axb_9_lut6_2_o6)) (portRef (member DI 1) (instanceRef un2_dout_r4_cry_11)) (portRef (member DI 2) (instanceRef un4_dout_r7_4_cry_11)) (portRef I4 (instanceRef un3_dout_r5_0_axb_8)) @@ -69328,11 +69394,8 @@ (portRef I3 (instanceRef un3_dout_r5_0_axb_9)) (portRef I3 (instanceRef un3_dout_r5_0_axb_10)) (portRef I3 (instanceRef un3_dout_r3_0_axb_8)) - (portRef I3 (instanceRef un3_dout_r3_0_axb_9)) (portRef I2 (instanceRef un3_dout_r3_0_axb_10)) - (portRef I3 (instanceRef un3_dout_r6_0_axb_9)) (portRef I3 (instanceRef un3_dout_r6_0_axb_10)) - (portRef I2 (instanceRef un3_dout_r6_0_axb_11)) (portRef I1 (instanceRef un3_dout_r3_0_o5_9)) (portRef I2 (instanceRef un3_dout_r3_0_o5_8)) (portRef I1 (instanceRef un3_dout_r5_0_o5_10)) @@ -69344,27 +69407,27 @@ (portRef O (instanceRef un4_dout_r7_5_axb_10_lut6_2_o6)) (portRef (member S 1) (instanceRef un4_dout_r7_5_cry_11)) )) - (net un2_dout_r1_axb_8 (joined + (net un2_dout_r4_axb_10 (joined (portRef O (instanceRef un4_dout_r7_5_axb_10_lut6_2_o5)) - (portRef (member S 3) (instanceRef un2_dout_r1_cry_11)) + (portRef (member S 1) (instanceRef un2_dout_r4_cry_11)) )) (net din_r1_3_0 (joined (portRef Q (instanceRef din_r1_11)) + (portRef I0 (instanceRef un4_dout_r7_5_axb_11_lut6_2_o5)) (portRef I0 (instanceRef un4_dout_r7_5_axb_11_lut6_2_o6)) - (portRef I0 (instanceRef un4_dout_r7_4_axb_9_lut6_2_o5)) - (portRef I0 (instanceRef un4_dout_r7_4_axb_10_lut6_2_o5)) - (portRef I0 (instanceRef un4_dout_r7_4_axb_11_lut6_2_o5)) - (portRef I0 (instanceRef un3_dout_r3_0_axb_12_lut6_2_o6)) + (portRef I0 (instanceRef un4_dout_r7_5_axb_12_lut6_2_o5)) + (portRef I1 (instanceRef un4_dout_r7_4_axb_10_lut6_2_o5)) + (portRef I0 (instanceRef un4_dout_r7_4_axb_12_lut6_2_o5)) (portRef I0 (instanceRef un3_dout_r6_0_axb_12_lut6_2_o6)) (portRef (member DI 0) (instanceRef un4_dout_r7_5_cry_11)) (portRef (member DI 0) (instanceRef un2_dout_r1_cry_11)) (portRef (member DI 0) (instanceRef un2_dout_r2_cry_11)) - (portRef I1 (instanceRef sum_0_1_axb_11)) + (portRef I0 (instanceRef sum_0_1_axb_11)) (portRef D (instanceRef dout_r0_11)) (portRef I0 (instanceRef un3_dout_r5_0_axb_11)) - (portRef I1 (instanceRef un3_dout_r5_0_axb_12)) - (portRef I0 (instanceRef un3_dout_r3_0_axb_11)) - (portRef I0 (instanceRef un3_dout_r6_0_axb_11)) + (portRef I0 (instanceRef un3_dout_r5_0_axb_12)) + (portRef I0 (instanceRef un3_dout_r3_0_axb_12)) + (portRef I1 (instanceRef un3_dout_r3_0_axb_11)) (portRef I0 (instanceRef un3_dout_r3_0_o5_11)) (portRef I0 (instanceRef un3_dout_r5_0_o5_11)) (portRef I0 (instanceRef un3_dout_r6_0_o5_11)) @@ -69373,26 +69436,26 @@ (portRef (member O 3) (instanceRef sum_0_1_cry_15)) (portRef I1 (instanceRef un4_dout_r7_5_axb_11_lut6_2_o5)) (portRef I1 (instanceRef un4_dout_r7_5_axb_11_lut6_2_o6)) - (portRef I1 (instanceRef un4_dout_r7_4_axb_9_lut6_2_o5)) (portRef I1 (instanceRef un4_dout_r7_4_axb_9_lut6_2_o6)) + (portRef I3 (instanceRef un4_dout_r7_4_axb_10_lut6_2_o5)) (portRef I0 (instanceRef un4_dout_r7_4_axb_10_lut6_2_o6)) + (portRef I4 (instanceRef un3_dout_r3_0_axb_9_lut6_2_o6)) (portRef I2 (instanceRef un3_dout_r6_0_axb_12_lut6_2_o6)) + (portRef I1 (instanceRef un2_dout_r2_axb_10_lut6_2_o5)) + (portRef I1 (instanceRef un2_dout_r2_axb_10_lut6_2_o6)) (portRef (member DI 0) (instanceRef un2_dout_r4_cry_11)) (portRef (member DI 1) (instanceRef un4_dout_r7_4_cry_11)) (portRef I2 (instanceRef un3_dout_r5_0_axb_11)) - (portRef I4 (instanceRef un3_dout_r5_0_axb_9)) + (portRef I5 (instanceRef un3_dout_r5_0_axb_9)) (portRef I4 (instanceRef un3_dout_r5_0_axb_10)) (portRef I2 (instanceRef un3_dout_r5_0_axb_12)) - (portRef I4 (instanceRef un3_dout_r3_0_axb_9)) (portRef I3 (instanceRef un3_dout_r3_0_axb_10)) (portRef I2 (instanceRef un3_dout_r3_0_axb_11)) (portRef I4 (instanceRef un3_dout_r6_0_axb_10)) - (portRef I3 (instanceRef un3_dout_r6_0_axb_11)) - (portRef I1 (instanceRef un2_dout_r2_axb_10)) (portRef I1 (instanceRef un3_dout_r3_0_o5_10)) (portRef I2 (instanceRef un3_dout_r3_0_o5_9)) (portRef I1 (instanceRef un3_dout_r5_0_o5_11)) - (portRef I2 (instanceRef un3_dout_r5_0_o5_9)) + (portRef I1 (instanceRef un3_dout_r5_0_o5_9)) (portRef I1 (instanceRef un3_dout_r6_0_o5_11)) (portRef I2 (instanceRef un3_dout_r6_0_o5_10)) )) @@ -69400,27 +69463,27 @@ (portRef O (instanceRef un4_dout_r7_5_axb_11_lut6_2_o6)) (portRef (member S 0) (instanceRef un4_dout_r7_5_cry_11)) )) - (net un2_dout_r1_axb_9 (joined + (net un2_dout_r4_axb_11 (joined (portRef O (instanceRef un4_dout_r7_5_axb_11_lut6_2_o5)) - (portRef (member S 2) (instanceRef un2_dout_r1_cry_11)) + (portRef (member S 0) (instanceRef un2_dout_r4_cry_11)) )) (net din_r1_2_0 (joined (portRef Q (instanceRef din_r1_12)) (portRef I0 (instanceRef un4_dout_r7_5_axb_12_lut6_2_o6)) - (portRef I0 (instanceRef un4_dout_r7_5_axb_14_lut6_2_o5)) - (portRef I0 (instanceRef un3_dout_r3_0_axb_12_lut6_2_o5)) - (portRef I1 (instanceRef un3_dout_r3_0_axb_12_lut6_2_o6)) + (portRef I0 (instanceRef un4_dout_r7_5_axb_13_lut6_2_o5)) (portRef I0 (instanceRef un3_dout_r6_0_axb_12_lut6_2_o5)) (portRef I1 (instanceRef un3_dout_r6_0_axb_12_lut6_2_o6)) + (portRef I0 (instanceRef un3_dout_r6_0_axb_13_lut6_2_o6)) + (portRef I0 (instanceRef un2_dout_r1_axb_12_lut6_2_o6)) (portRef (member DI 3) (instanceRef un4_dout_r7_5_s_15)) (portRef (member DI 3) (instanceRef un2_dout_r1_s_15)) (portRef (member DI 3) (instanceRef un2_dout_r2_s_15)) (portRef I0 (instanceRef sum_0_1_axb_12)) (portRef D (instanceRef dout_r0_12)) - (portRef I0 (instanceRef un3_dout_r5_0_axb_12)) - (portRef I1 (instanceRef un3_dout_r5_0_axb_13)) - (portRef I1 (instanceRef un3_dout_r3_0_axb_13)) - (portRef I1 (instanceRef un3_dout_r6_0_axb_13)) + (portRef I1 (instanceRef un3_dout_r5_0_axb_12)) + (portRef I0 (instanceRef un3_dout_r5_0_axb_13)) + (portRef I1 (instanceRef un3_dout_r3_0_axb_12)) + (portRef I0 (instanceRef un3_dout_r3_0_axb_13)) (portRef I0 (instanceRef un3_dout_r3_0_o5_12)) (portRef I0 (instanceRef un3_dout_r5_0_o5_12)) (portRef I0 (instanceRef un3_dout_r6_0_o5_12)) @@ -69429,22 +69492,22 @@ (portRef (member O 2) (instanceRef sum_0_1_cry_15)) (portRef I1 (instanceRef un4_dout_r7_5_axb_12_lut6_2_o5)) (portRef I1 (instanceRef un4_dout_r7_5_axb_12_lut6_2_o6)) - (portRef I1 (instanceRef un4_dout_r7_4_axb_10_lut6_2_o5)) + (portRef I4 (instanceRef un4_dout_r7_4_axb_10_lut6_2_o5)) (portRef I1 (instanceRef un4_dout_r7_4_axb_10_lut6_2_o6)) + (portRef I1 (instanceRef un4_dout_r7_4_axb_11_lut6_2_o5)) (portRef I1 (instanceRef un4_dout_r7_4_axb_11_lut6_2_o6)) - (portRef I1 (instanceRef un3_dout_r3_0_axb_12_lut6_2_o5)) - (portRef I4 (instanceRef un3_dout_r3_0_axb_12_lut6_2_o6)) - (portRef I3 (instanceRef un3_dout_r6_0_axb_12_lut6_2_o6)) + (portRef I1 (instanceRef un3_dout_r6_0_axb_12_lut6_2_o5)) + (portRef I4 (instanceRef un3_dout_r6_0_axb_12_lut6_2_o6)) + (portRef I4 (instanceRef un3_dout_r6_0_axb_13_lut6_2_o6)) (portRef (member DI 3) (instanceRef un2_dout_r4_s_15)) (portRef (member DI 0) (instanceRef un4_dout_r7_4_cry_11)) (portRef I5 (instanceRef un3_dout_r5_0_axb_11)) (portRef I5 (instanceRef un3_dout_r5_0_axb_10)) (portRef I5 (instanceRef un3_dout_r5_0_axb_12)) (portRef I4 (instanceRef un3_dout_r5_0_axb_13)) + (portRef I4 (instanceRef un3_dout_r3_0_axb_12)) (portRef I4 (instanceRef un3_dout_r3_0_axb_10)) (portRef I4 (instanceRef un3_dout_r3_0_axb_11)) - (portRef I4 (instanceRef un3_dout_r6_0_axb_13)) - (portRef I4 (instanceRef un3_dout_r6_0_axb_11)) (portRef I2 (instanceRef un3_dout_r3_0_o5_11)) (portRef I2 (instanceRef un3_dout_r3_0_o5_10)) (portRef I2 (instanceRef un3_dout_r5_0_o5_12)) @@ -69456,50 +69519,50 @@ (portRef O (instanceRef un4_dout_r7_5_axb_12_lut6_2_o6)) (portRef (member S 3) (instanceRef un4_dout_r7_5_s_15)) )) - (net un2_dout_r1_axb_10 (joined + (net un2_dout_r2_axb_11 (joined (portRef O (instanceRef un4_dout_r7_5_axb_12_lut6_2_o5)) - (portRef (member S 1) (instanceRef un2_dout_r1_cry_11)) + (portRef (member S 0) (instanceRef un2_dout_r2_cry_11)) )) (net din_r1_1_0 (joined (portRef Q (instanceRef din_r1_13)) - (portRef I0 (instanceRef un4_dout_r7_5_axb_13_lut6_2_o5)) (portRef I0 (instanceRef un4_dout_r7_5_axb_13_lut6_2_o6)) - (portRef I1 (instanceRef sum_0_1_axb_13_lut6_2_o5)) - (portRef I1 (instanceRef sum_0_1_axb_13_lut6_2_o6)) + (portRef I1 (instanceRef un4_dout_r7_4_axb_13_lut6_2_o5)) + (portRef I0 (instanceRef un3_dout_r5_0_axb_14_lut6_2_o6)) (portRef I0 (instanceRef un3_dout_r6_0_axb_14_lut6_2_o5)) (portRef I1 (instanceRef un3_dout_r6_0_axb_14_lut6_2_o6)) - (portRef I0 (instanceRef un2_dout_r2_axb_13_lut6_2_o6)) + (portRef I0 (instanceRef un3_dout_r6_0_axb_13_lut6_2_o5)) + (portRef I1 (instanceRef un3_dout_r6_0_axb_13_lut6_2_o6)) + (portRef I0 (instanceRef un2_dout_r1_axb_13_lut6_2_o6)) (portRef (member DI 2) (instanceRef un4_dout_r7_5_s_15)) (portRef (member DI 2) (instanceRef un2_dout_r1_s_15)) (portRef (member DI 2) (instanceRef un2_dout_r2_s_15)) + (portRef I0 (instanceRef sum_0_1_axb_13)) (portRef D (instanceRef dout_r0_13)) - (portRef I0 (instanceRef un3_dout_r5_0_axb_13)) - (portRef I0 (instanceRef un3_dout_r3_0_axb_13)) - (portRef I0 (instanceRef un3_dout_r5_0_axb_14)) - (portRef I0 (instanceRef un3_dout_r6_0_axb_13)) + (portRef I1 (instanceRef un3_dout_r5_0_axb_13)) + (portRef I1 (instanceRef un3_dout_r3_0_axb_13)) (portRef I0 (instanceRef un3_dout_r5_0_o5_13)) (portRef I0 (instanceRef un3_dout_r6_0_o5_13)) )) (net (rename sum_0_1_14 "sum_0_1[14]") (joined (portRef (member O 1) (instanceRef sum_0_1_cry_15)) + (portRef I1 (instanceRef un4_dout_r7_5_axb_13_lut6_2_o5)) (portRef I1 (instanceRef un4_dout_r7_5_axb_13_lut6_2_o6)) - (portRef I1 (instanceRef un4_dout_r7_4_axb_11_lut6_2_o5)) (portRef I0 (instanceRef un4_dout_r7_4_axb_11_lut6_2_o6)) + (portRef I1 (instanceRef un4_dout_r7_4_axb_12_lut6_2_o5)) (portRef I0 (instanceRef un4_dout_r7_4_axb_12_lut6_2_o6)) - (portRef I2 (instanceRef un3_dout_r3_0_axb_12_lut6_2_o6)) - (portRef I1 (instanceRef un3_dout_r6_0_axb_14_lut6_2_o5)) - (portRef I3 (instanceRef un3_dout_r6_0_axb_14_lut6_2_o6)) - (portRef I1 (instanceRef un3_dout_r6_0_axb_12_lut6_2_o5)) - (portRef I4 (instanceRef un3_dout_r6_0_axb_12_lut6_2_o6)) + (portRef I2 (instanceRef un3_dout_r5_0_axb_14_lut6_2_o6)) + (portRef I2 (instanceRef un3_dout_r6_0_axb_14_lut6_2_o6)) + (portRef I3 (instanceRef un3_dout_r6_0_axb_12_lut6_2_o6)) + (portRef I1 (instanceRef un3_dout_r6_0_axb_13_lut6_2_o5)) + (portRef I2 (instanceRef un3_dout_r6_0_axb_13_lut6_2_o6)) (portRef (member DI 2) (instanceRef un2_dout_r4_s_15)) (portRef (member DI 3) (instanceRef un4_dout_r7_4_cry_13_outext)) (portRef I3 (instanceRef un3_dout_r5_0_axb_11)) (portRef I3 (instanceRef un3_dout_r5_0_axb_12)) (portRef I2 (instanceRef un3_dout_r5_0_axb_13)) + (portRef I2 (instanceRef un3_dout_r3_0_axb_12)) (portRef I2 (instanceRef un3_dout_r3_0_axb_13)) - (portRef I2 (instanceRef un3_dout_r5_0_axb_14)) (portRef I3 (instanceRef un3_dout_r3_0_axb_11)) - (portRef I2 (instanceRef un3_dout_r6_0_axb_13)) (portRef I1 (instanceRef un3_dout_r3_0_o5_12)) (portRef I1 (instanceRef un3_dout_r3_0_o5_11)) (portRef I1 (instanceRef un3_dout_r5_0_o5_13)) @@ -69507,235 +69570,282 @@ (portRef I1 (instanceRef un3_dout_r6_0_o5_13)) (portRef I1 (instanceRef un3_dout_r6_0_o5_12)) )) - (net (rename sum_0_1_16 "sum_0_1[16]") (joined - (portRef O (instanceRef un3_dout_r3_0_axb_15_lut6_2_o5)) - (portRef I1 (instanceRef un4_dout_r7_5_axb_13_lut6_2_o5)) - (portRef I3 (instanceRef un4_dout_r7_4_axb_12_lut6_2_o5)) - (portRef I1 (instanceRef un4_dout_r7_4_axb_13_lut6_2_o5)) - (portRef I1 (instanceRef un4_dout_r7_4_axb_13_lut6_2_o6)) - (portRef I3 (instanceRef sum_0_1_axb_13_lut6_2_o5)) - (portRef I3 (instanceRef sum_0_1_axb_14_lut6_2_o5)) - (portRef I4 (instanceRef un3_dout_r6_0_axb_14_lut6_2_o6)) - (portRef I1 (instanceRef un2_dout_r2_axb_15_lut6_2_o5)) - (portRef I1 (instanceRef un2_dout_r2_axb_15_lut6_2_o6)) - (portRef I1 (instanceRef un2_dout_r2_axb_14_lut6_2_o5)) - (portRef I1 (instanceRef un2_dout_r2_axb_14_lut6_2_o6)) - (portRef I1 (instanceRef un3_dout_r3_0_axb_15_lut6_2_o6)) - (portRef I5 (instanceRef un3_dout_r5_0_axb_13)) - (portRef I4 (instanceRef un3_dout_r3_0_axb_13)) - (portRef I4 (instanceRef un3_dout_r5_0_axb_14)) - (portRef I2 (instanceRef un3_dout_r5_0_o5_13)) - )) (net un4_dout_r7_5_axb_13 (joined (portRef O (instanceRef un4_dout_r7_5_axb_13_lut6_2_o6)) (portRef (member S 2) (instanceRef un4_dout_r7_5_s_15)) )) - (net un2_dout_r1_axb_13 (joined + (net un2_dout_r2_axb_12 (joined (portRef O (instanceRef un4_dout_r7_5_axb_13_lut6_2_o5)) - (portRef (member S 2) (instanceRef un2_dout_r1_s_15)) + (portRef (member S 3) (instanceRef un2_dout_r2_s_15)) + )) + (net (rename din_r1Z0Z_15 "din_r1_15") (joined + (portRef Q (instanceRef din_r1_15)) + (portRef I0 (instanceRef un4_dout_r7_5_axb_14_lut6_2_o5)) + (portRef I1 (instanceRef un2_dout_r2_axb_15_lut6_2_o5)) + (portRef I0 (instanceRef un2_dout_r2_axb_15_lut6_2_o6)) + (portRef I0 (instanceRef un2_dout_r1_axb_15_lut6_2_o5)) + (portRef I0 (instanceRef un2_dout_r1_axb_15_lut6_2_o6)) + (portRef I0 (instanceRef un2_dout_r1_axb_13_lut6_2_o5)) + (portRef I1 (instanceRef un2_dout_r1_axb_12_lut6_2_o5)) + (portRef I0 (instanceRef sum_0_1_cry_15_RNO)) + (portRef D (instanceRef dout_r0_15)) + (portRef I0 (instanceRef un4_dout_r7_5_axb_15)) )) (net din_r1_0_0 (joined (portRef Q (instanceRef din_r1_14)) + (portRef I1 (instanceRef un4_dout_r7_5_axb_14_lut6_2_o5)) (portRef I0 (instanceRef un4_dout_r7_5_axb_14_lut6_2_o6)) - (portRef I1 (instanceRef un4_dout_r7_4_axb_12_lut6_2_o5)) (portRef I0 (instanceRef un4_dout_r7_4_axb_13_lut6_2_o5)) - (portRef I0 (instanceRef sum_0_1_axb_13_lut6_2_o5)) - (portRef I1 (instanceRef sum_0_1_axb_14_lut6_2_o5)) - (portRef I1 (instanceRef sum_0_1_axb_14_lut6_2_o6)) + (portRef I0 (instanceRef un3_dout_r5_0_axb_14_lut6_2_o5)) + (portRef I1 (instanceRef un3_dout_r5_0_axb_14_lut6_2_o6)) (portRef I0 (instanceRef un3_dout_r6_0_axb_14_lut6_2_o6)) + (portRef I0 (instanceRef un2_dout_r2_axb_14_lut6_2_o5)) (portRef I0 (instanceRef un2_dout_r2_axb_14_lut6_2_o6)) - (portRef I0 (instanceRef un2_dout_r2_axb_13_lut6_2_o5)) + (portRef I0 (instanceRef un2_dout_r1_axb_12_lut6_2_o5)) (portRef (member DI 1) (instanceRef un3_dout_r3_0_s_15)) (portRef (member DI 1) (instanceRef un4_dout_r7_5_s_15)) (portRef (member DI 1) (instanceRef un2_dout_r1_s_15)) (portRef (member DI 1) (instanceRef un2_dout_r2_s_15)) + (portRef I0 (instanceRef sum_0_1_axb_14)) (portRef D (instanceRef dout_r0_14)) - (portRef I1 (instanceRef un3_dout_r5_0_axb_14)) )) (net (rename sum_0_1_15 "sum_0_1[15]") (joined (portRef (member O 0) (instanceRef sum_0_1_cry_15)) - (portRef I1 (instanceRef un4_dout_r7_5_axb_14_lut6_2_o5)) + (portRef I2 (instanceRef un4_dout_r7_5_axb_14_lut6_2_o5)) (portRef I1 (instanceRef un4_dout_r7_5_axb_14_lut6_2_o6)) - (portRef I2 (instanceRef un4_dout_r7_4_axb_12_lut6_2_o5)) (portRef I1 (instanceRef un4_dout_r7_4_axb_12_lut6_2_o6)) + (portRef I2 (instanceRef un4_dout_r7_4_axb_13_lut6_2_o5)) (portRef I0 (instanceRef un4_dout_r7_4_axb_13_lut6_2_o6)) - (portRef I2 (instanceRef sum_0_1_axb_13_lut6_2_o5)) - (portRef I2 (instanceRef sum_0_1_axb_14_lut6_2_o5)) - (portRef I3 (instanceRef un3_dout_r3_0_axb_12_lut6_2_o6)) - (portRef I2 (instanceRef un3_dout_r6_0_axb_14_lut6_2_o6)) - (portRef I1 (instanceRef un2_dout_r2_axb_13_lut6_2_o5)) - (portRef I1 (instanceRef un2_dout_r2_axb_13_lut6_2_o6)) + (portRef I1 (instanceRef un3_dout_r5_0_axb_14_lut6_2_o5)) + (portRef I3 (instanceRef un3_dout_r5_0_axb_14_lut6_2_o6)) + (portRef I1 (instanceRef un3_dout_r6_0_axb_14_lut6_2_o5)) + (portRef I3 (instanceRef un3_dout_r6_0_axb_14_lut6_2_o6)) + (portRef I3 (instanceRef un3_dout_r6_0_axb_13_lut6_2_o6)) + (portRef I2 (instanceRef un2_dout_r1_axb_12_lut6_2_o5)) + (portRef I1 (instanceRef un2_dout_r1_axb_12_lut6_2_o6)) (portRef (member DI 1) (instanceRef un2_dout_r4_s_15)) (portRef (member DI 2) (instanceRef un4_dout_r7_4_cry_13_outext)) (portRef I4 (instanceRef un3_dout_r5_0_axb_12)) (portRef I3 (instanceRef un3_dout_r5_0_axb_13)) + (portRef I3 (instanceRef un3_dout_r3_0_axb_12)) (portRef I3 (instanceRef un3_dout_r3_0_axb_13)) - (portRef I3 (instanceRef un3_dout_r5_0_axb_14)) - (portRef I3 (instanceRef un3_dout_r6_0_axb_13)) (portRef I2 (instanceRef un3_dout_r3_0_o5_12)) (portRef I1 (instanceRef un3_dout_r5_0_o5_12)) (portRef I2 (instanceRef un3_dout_r6_0_o5_13)) )) + (net (rename sum_0_1_16 "sum_0_1[16]") (joined + (portRef O (instanceRef un2_dout_r2_axb_15_lut6_2_o5)) + (portRef I3 (instanceRef un4_dout_r7_5_axb_14_lut6_2_o5)) + (portRef I3 (instanceRef un4_dout_r7_4_axb_13_lut6_2_o5)) + (portRef I1 (instanceRef un4_dout_r7_4_axb_13_lut6_2_o6)) + (portRef I4 (instanceRef un3_dout_r5_0_axb_14_lut6_2_o6)) + (portRef I4 (instanceRef un3_dout_r6_0_axb_14_lut6_2_o6)) + (portRef I1 (instanceRef un2_dout_r2_axb_15_lut6_2_o6)) + (portRef I1 (instanceRef un2_dout_r2_axb_14_lut6_2_o5)) + (portRef I1 (instanceRef un2_dout_r2_axb_14_lut6_2_o6)) + (portRef I1 (instanceRef un2_dout_r1_axb_15_lut6_2_o5)) + (portRef I1 (instanceRef un2_dout_r1_axb_15_lut6_2_o6)) + (portRef I1 (instanceRef un2_dout_r1_axb_13_lut6_2_o5)) + (portRef I1 (instanceRef un2_dout_r1_axb_13_lut6_2_o6)) + (portRef I3 (instanceRef un2_dout_r1_axb_12_lut6_2_o5)) + (portRef I5 (instanceRef un3_dout_r5_0_axb_13)) + (portRef I4 (instanceRef un3_dout_r3_0_axb_13)) + (portRef I2 (instanceRef un3_dout_r5_0_o5_13)) + )) (net un4_dout_r7_5_axb_14 (joined (portRef O (instanceRef un4_dout_r7_5_axb_14_lut6_2_o6)) (portRef (member S 1) (instanceRef un4_dout_r7_5_s_15)) )) - (net un2_dout_r1_axb_12 (joined + (net un3_dout_r6_0_axb_15 (joined (portRef O (instanceRef un4_dout_r7_5_axb_14_lut6_2_o5)) - (portRef (member S 3) (instanceRef un2_dout_r1_s_15)) + (portRef (member S 0) (instanceRef un3_dout_r6_0_s_15)) )) (net un4_dout_r7_4_axb_1 (joined (portRef O (instanceRef un4_dout_r7_4_axb_1_lut6_2_o6)) (portRef (member S 2) (instanceRef un4_dout_r7_4_cry_3)) )) - (net un3_dout_r3_0_axb_2 (joined + (net un3_dout_r6_0_axb_2 (joined (portRef O (instanceRef un4_dout_r7_4_axb_1_lut6_2_o5)) - (portRef (member S 1) (instanceRef un3_dout_r3_0_cry_3)) + (portRef (member S 1) (instanceRef un3_dout_r6_0_cry_3)) )) (net un4_dout_r7_4_axb_2 (joined (portRef O (instanceRef un4_dout_r7_4_axb_2_lut6_2_o6)) (portRef (member S 1) (instanceRef un4_dout_r7_4_cry_3)) )) - (net un2_dout_r2_axb_3 (joined + (net un2_dout_r1_axb_2 (joined (portRef O (instanceRef un4_dout_r7_4_axb_2_lut6_2_o5)) - (portRef (member S 0) (instanceRef un2_dout_r2_cry_3)) + (portRef (member S 1) (instanceRef un2_dout_r1_cry_3)) )) (net un4_dout_r7_4_axb_3 (joined (portRef O (instanceRef un4_dout_r7_4_axb_3_lut6_2_o6)) (portRef (member S 0) (instanceRef un4_dout_r7_4_cry_3)) )) - (net un3_dout_r6_0_axb_5 (joined + (net un3_dout_r3_0_axb_3 (joined (portRef O (instanceRef un4_dout_r7_4_axb_3_lut6_2_o5)) - (portRef (member S 2) (instanceRef un3_dout_r6_0_cry_7)) + (portRef (member S 0) (instanceRef un3_dout_r3_0_cry_3)) )) (net un4_dout_r7_4_axb_4 (joined (portRef O (instanceRef un4_dout_r7_4_axb_4_lut6_2_o6)) (portRef (member S 3) (instanceRef un4_dout_r7_4_cry_7)) )) - (net un2_dout_r2_axb_4 (joined + (net un2_dout_r1_axb_3 (joined (portRef O (instanceRef un4_dout_r7_4_axb_4_lut6_2_o5)) - (portRef (member S 3) (instanceRef un2_dout_r2_cry_7)) + (portRef (member S 0) (instanceRef un2_dout_r1_cry_3)) + )) + (net din_r1_8_0 (joined + (portRef Q (instanceRef din_r1_6)) + (portRef I0 (instanceRef un4_dout_r7_4_axb_5_lut6_2_o5)) + (portRef I0 (instanceRef un3_dout_r6_0_axb_6_lut6_2_o5)) + (portRef I1 (instanceRef un3_dout_r6_0_axb_6_lut6_2_o6)) + (portRef I0 (instanceRef un3_dout_r3_0_axb_6_lut6_2_o5)) + (portRef I0 (instanceRef un3_dout_r3_0_axb_6_lut6_2_o6)) + (portRef I0 (instanceRef un3_dout_r3_0_axb_7_lut6_2_o6)) + (portRef I0 (instanceRef un2_dout_r2_axb_6_lut6_2_o6)) + (portRef (member DI 1) (instanceRef un4_dout_r7_5_cry_7)) + (portRef (member DI 1) (instanceRef un2_dout_r1_cry_7)) + (portRef (member DI 1) (instanceRef un2_dout_r2_cry_7)) + (portRef I0 (instanceRef un4_dout_r7_5_axb_6)) + (portRef I0 (instanceRef sum_0_1_axb_6)) + (portRef D (instanceRef dout_r0_6)) + (portRef I0 (instanceRef un3_dout_r5_0_axb_7)) + (portRef I0 (instanceRef un3_dout_r5_0_axb_6)) + (portRef I0 (instanceRef un3_dout_r3_0_o5_6)) + (portRef I0 (instanceRef un3_dout_r5_0_o5_6)) + (portRef I0 (instanceRef un3_dout_r6_0_o5_6)) + )) + (net (rename sum_0_1_8 "sum_0_1[8]") (joined + (portRef (member O 3) (instanceRef sum_0_1_cry_11)) + (portRef I4 (instanceRef un4_dout_r7_4_axb_5_lut6_2_o5)) + (portRef I1 (instanceRef un4_dout_r7_4_axb_5_lut6_2_o6)) + (portRef I0 (instanceRef un4_dout_r7_4_axb_6_lut6_2_o6)) + (portRef I4 (instanceRef un3_dout_r6_0_axb_6_lut6_2_o6)) + (portRef I4 (instanceRef un3_dout_r3_0_axb_5_lut6_2_o6)) + (portRef I3 (instanceRef un3_dout_r3_0_axb_6_lut6_2_o6)) + (portRef I1 (instanceRef un3_dout_r3_0_axb_7_lut6_2_o5)) + (portRef I2 (instanceRef un3_dout_r3_0_axb_7_lut6_2_o6)) + (portRef I1 (instanceRef un2_dout_r2_axb_6_lut6_2_o5)) + (portRef I1 (instanceRef un2_dout_r2_axb_6_lut6_2_o6)) + (portRef (member DI 0) (instanceRef un2_dout_r4_cry_7)) + (portRef (member DI 1) (instanceRef un4_dout_r7_4_cry_7)) + (portRef I1 (instanceRef un4_dout_r7_5_axb_7)) + (portRef I3 (instanceRef un3_dout_r5_0_axb_7)) + (portRef I2 (instanceRef un3_dout_r5_0_axb_8)) + (portRef I4 (instanceRef un3_dout_r5_0_axb_6)) + (portRef I5 (instanceRef un3_dout_r5_0_axb_5)) + (portRef I2 (instanceRef un3_dout_r6_0_axb_8)) + (portRef I1 (instanceRef un3_dout_r3_0_o5_6)) + (portRef I2 (instanceRef un3_dout_r3_0_o5_5)) + (portRef I1 (instanceRef un3_dout_r5_0_o5_7)) + (portRef I2 (instanceRef un3_dout_r5_0_o5_5)) + (portRef I1 (instanceRef un3_dout_r6_0_o5_7)) + (portRef I2 (instanceRef un3_dout_r6_0_o5_6)) )) (net un4_dout_r7_4_axb_5 (joined (portRef O (instanceRef un4_dout_r7_4_axb_5_lut6_2_o6)) (portRef (member S 2) (instanceRef un4_dout_r7_4_cry_7)) )) - (net un2_dout_r1_axb_4 (joined + (net un3_dout_r6_0_axb_7 (joined (portRef O (instanceRef un4_dout_r7_4_axb_5_lut6_2_o5)) - (portRef (member S 3) (instanceRef un2_dout_r1_cry_7)) + (portRef (member S 0) (instanceRef un3_dout_r6_0_cry_7)) )) (net un4_dout_r7_4_axb_6 (joined (portRef O (instanceRef un4_dout_r7_4_axb_6_lut6_2_o6)) (portRef (member S 1) (instanceRef un4_dout_r7_4_cry_7)) )) - (net un3_dout_r3_0_axb_6 (joined + (net un4_dout_r7_4_axb_7 (joined (portRef O (instanceRef un4_dout_r7_4_axb_6_lut6_2_o5)) - (portRef (member S 1) (instanceRef un3_dout_r3_0_cry_7)) + (portRef (member S 0) (instanceRef un4_dout_r7_4_cry_7)) )) (net un4_dout_r7_4_axb_8 (joined (portRef O (instanceRef un4_dout_r7_4_axb_8_lut6_2_o6)) (portRef (member S 3) (instanceRef un4_dout_r7_4_cry_11)) )) - (net un2_dout_r4_axb_9 (joined + (net un2_dout_r2_axb_9 (joined (portRef O (instanceRef un4_dout_r7_4_axb_8_lut6_2_o5)) - (portRef (member S 2) (instanceRef un2_dout_r4_cry_11)) + (portRef (member S 2) (instanceRef un2_dout_r2_cry_11)) )) (net un4_dout_r7_4_axb_9 (joined (portRef O (instanceRef un4_dout_r7_4_axb_9_lut6_2_o6)) (portRef (member S 2) (instanceRef un4_dout_r7_4_cry_11)) )) - (net un2_dout_r4_axb_11 (joined + (net un2_dout_r1_axb_8 (joined (portRef O (instanceRef un4_dout_r7_4_axb_9_lut6_2_o5)) - (portRef (member S 0) (instanceRef un2_dout_r4_cry_11)) + (portRef (member S 3) (instanceRef un2_dout_r1_cry_11)) )) (net un4_dout_r7_4_axb_10 (joined (portRef O (instanceRef un4_dout_r7_4_axb_10_lut6_2_o6)) (portRef (member S 1) (instanceRef un4_dout_r7_4_cry_11)) )) - (net un2_dout_r2_axb_11 (joined + (net un3_dout_r6_0_axb_11 (joined (portRef O (instanceRef un4_dout_r7_4_axb_10_lut6_2_o5)) - (portRef (member S 0) (instanceRef un2_dout_r2_cry_11)) + (portRef (member S 0) (instanceRef un3_dout_r6_0_cry_11)) )) (net un4_dout_r7_4_axb_11 (joined (portRef O (instanceRef un4_dout_r7_4_axb_11_lut6_2_o6)) (portRef (member S 0) (instanceRef un4_dout_r7_4_cry_11)) )) - (net un2_dout_r1_axb_11 (joined + (net un2_dout_r1_axb_10 (joined (portRef O (instanceRef un4_dout_r7_4_axb_11_lut6_2_o5)) - (portRef (member S 0) (instanceRef un2_dout_r1_cry_11)) - )) - (net (rename din_r1Z0Z_15 "din_r1_15") (joined - (portRef Q (instanceRef din_r1_15)) - (portRef I0 (instanceRef un4_dout_r7_4_axb_12_lut6_2_o5)) - (portRef I0 (instanceRef sum_0_1_axb_14_lut6_2_o5)) - (portRef I0 (instanceRef un2_dout_r2_axb_15_lut6_2_o5)) - (portRef I0 (instanceRef un2_dout_r2_axb_15_lut6_2_o6)) - (portRef I0 (instanceRef un2_dout_r2_axb_14_lut6_2_o5)) - (portRef I1 (instanceRef un3_dout_r3_0_axb_15_lut6_2_o5)) - (portRef I0 (instanceRef un3_dout_r3_0_axb_15_lut6_2_o6)) - (portRef I0 (instanceRef sum_0_1_cry_15_RNO)) - (portRef D (instanceRef dout_r0_15)) - (portRef I0 (instanceRef un4_dout_r7_5_axb_15)) + (portRef (member S 1) (instanceRef un2_dout_r1_cry_11)) )) (net un4_dout_r7_4_axb_12 (joined (portRef O (instanceRef un4_dout_r7_4_axb_12_lut6_2_o6)) (portRef (member S 3) (instanceRef un4_dout_r7_4_cry_13_outext)) )) - (net un3_dout_r6_0_axb_15 (joined + (net un2_dout_r1_axb_11 (joined (portRef O (instanceRef un4_dout_r7_4_axb_12_lut6_2_o5)) - (portRef (member S 0) (instanceRef un3_dout_r6_0_s_15)) + (portRef (member S 0) (instanceRef un2_dout_r1_cry_11)) )) (net un4_dout_r7_4_axb_13 (joined (portRef O (instanceRef un4_dout_r7_4_axb_13_lut6_2_o6)) (portRef (member S 2) (instanceRef un4_dout_r7_4_cry_13_outext)) )) - (net un2_dout_r1_axb_14 (joined - (portRef O (instanceRef un4_dout_r7_4_axb_13_lut6_2_o5)) - (portRef (member S 1) (instanceRef un2_dout_r1_s_15)) - )) - (net (rename iir_out_1 "IIR_out_1") (joined - (portRef IIR_out_1) - (portRef I0 (instanceRef sum_0_1_axb_13_lut6_2_o6)) - (portRef (member DI 2) (instanceRef sum_0_1_cry_15)) - (portRef D (instanceRef din_r1_13)) - )) - (net sum_0_1_axb_13 (joined - (portRef O (instanceRef sum_0_1_axb_13_lut6_2_o6)) - (portRef (member S 2) (instanceRef sum_0_1_cry_15)) - )) (net un3_dout_r3_0_axb_14 (joined - (portRef O (instanceRef sum_0_1_axb_13_lut6_2_o5)) + (portRef O (instanceRef un4_dout_r7_4_axb_13_lut6_2_o5)) (portRef (member S 1) (instanceRef un3_dout_r3_0_s_15)) )) - (net (rename iir_out_0 "IIR_out_0") (joined - (portRef IIR_out_0) - (portRef I0 (instanceRef sum_0_1_axb_14_lut6_2_o6)) - (portRef (member DI 1) (instanceRef sum_0_1_cry_15)) - (portRef D (instanceRef din_r1_14)) + (net (rename iir_out_7 "IIR_out_7") (joined + (portRef IIR_out_7) + (portRef I0 (instanceRef sum_0_1_axb_7_lut6_2_o6)) + (portRef (member DI 0) (instanceRef sum_0_1_cry_7)) + (portRef D (instanceRef din_r1_7)) )) - (net sum_0_1_axb_14 (joined - (portRef O (instanceRef sum_0_1_axb_14_lut6_2_o6)) - (portRef (member S 1) (instanceRef sum_0_1_cry_15)) + (net sum_0_1_axb_7 (joined + (portRef O (instanceRef sum_0_1_axb_7_lut6_2_o6)) + (portRef (member S 0) (instanceRef sum_0_1_cry_7)) )) - (net un3_dout_r5_0_axb_15 (joined - (portRef O (instanceRef sum_0_1_axb_14_lut6_2_o5)) - (portRef (member S 0) (instanceRef un3_dout_r5_0_s_15)) + (net un2_dout_r1_axb_7 (joined + (portRef O (instanceRef sum_0_1_axb_7_lut6_2_o5)) + (portRef (member S 0) (instanceRef un2_dout_r1_cry_7)) )) - (net un3_dout_r3_0_axb_12 (joined - (portRef O (instanceRef un3_dout_r3_0_axb_12_lut6_2_o6)) - (portRef (member S 3) (instanceRef un3_dout_r3_0_s_15)) + (net un3_dout_r5_0_axb_14 (joined + (portRef O (instanceRef un3_dout_r5_0_axb_14_lut6_2_o6)) + (portRef (member S 1) (instanceRef un3_dout_r5_0_s_15)) )) - (net un2_dout_r4_axb_12 (joined - (portRef O (instanceRef un3_dout_r3_0_axb_12_lut6_2_o5)) - (portRef (member S 3) (instanceRef un2_dout_r4_s_15)) + (net un2_dout_r4_axb_14 (joined + (portRef O (instanceRef un3_dout_r5_0_axb_14_lut6_2_o5)) + (portRef (member S 1) (instanceRef un2_dout_r4_s_15)) )) - (net un3_dout_r6_0_axb_2 (joined - (portRef O (instanceRef un3_dout_r6_0_axb_2_lut6_2_o6)) - (portRef (member S 1) (instanceRef un3_dout_r6_0_cry_3)) - )) - (net un2_dout_r1_axb_1 (joined - (portRef O (instanceRef un3_dout_r6_0_axb_2_lut6_2_o5)) - (portRef (member S 2) (instanceRef un2_dout_r1_cry_3)) + (net din_r1_9_0 (joined + (portRef Q (instanceRef din_r1_5)) + (portRef I0 (instanceRef un3_dout_r6_0_axb_6_lut6_2_o6)) + (portRef I0 (instanceRef un3_dout_r3_0_axb_5_lut6_2_o5)) + (portRef I0 (instanceRef un3_dout_r3_0_axb_5_lut6_2_o6)) + (portRef I1 (instanceRef un3_dout_r3_0_axb_6_lut6_2_o6)) + (portRef I0 (instanceRef un2_dout_r2_axb_6_lut6_2_o5)) + (portRef I0 (instanceRef un2_dout_r2_axb_5_lut6_2_o6)) + (portRef (member DI 2) (instanceRef un4_dout_r7_5_cry_7)) + (portRef (member DI 2) (instanceRef un2_dout_r1_cry_7)) + (portRef (member DI 2) (instanceRef un2_dout_r2_cry_7)) + (portRef I0 (instanceRef un4_dout_r7_5_axb_5)) + (portRef I0 (instanceRef sum_0_1_axb_5)) + (portRef D (instanceRef dout_r0_5)) + (portRef I1 (instanceRef un3_dout_r5_0_axb_6)) + (portRef I1 (instanceRef un3_dout_r5_0_axb_5)) + (portRef I0 (instanceRef un3_dout_r6_0_axb_5)) + (portRef I0 (instanceRef un3_dout_r3_0_o5_5)) + (portRef I0 (instanceRef un3_dout_r5_0_o5_5)) + (portRef I0 (instanceRef un3_dout_r6_0_o5_5)) )) (net un3_dout_r6_0_axb_6 (joined (portRef O (instanceRef un3_dout_r6_0_axb_6_lut6_2_o6)) @@ -69745,111 +69855,164 @@ (portRef O (instanceRef un3_dout_r6_0_axb_6_lut6_2_o5)) (portRef (member S 1) (instanceRef un2_dout_r4_cry_7)) )) + (net un3_dout_r3_0_axb_5 (joined + (portRef O (instanceRef un3_dout_r3_0_axb_5_lut6_2_o6)) + (portRef (member S 2) (instanceRef un3_dout_r3_0_cry_7)) + )) + (net un2_dout_r4_axb_5 (joined + (portRef O (instanceRef un3_dout_r3_0_axb_5_lut6_2_o5)) + (portRef (member S 2) (instanceRef un2_dout_r4_cry_7)) + )) + (net un3_dout_r3_0_axb_6 (joined + (portRef O (instanceRef un3_dout_r3_0_axb_6_lut6_2_o6)) + (portRef (member S 1) (instanceRef un3_dout_r3_0_cry_7)) + )) + (net un2_dout_r1_axb_6 (joined + (portRef O (instanceRef un3_dout_r3_0_axb_6_lut6_2_o5)) + (portRef (member S 1) (instanceRef un2_dout_r1_cry_7)) + )) + (net un3_dout_r3_0_axb_7 (joined + (portRef O (instanceRef un3_dout_r3_0_axb_7_lut6_2_o6)) + (portRef (member S 0) (instanceRef un3_dout_r3_0_cry_7)) + )) + (net un2_dout_r4_axb_7 (joined + (portRef O (instanceRef un3_dout_r3_0_axb_7_lut6_2_o5)) + (portRef (member S 0) (instanceRef un2_dout_r4_cry_7)) + )) + (net un3_dout_r3_0_axb_9 (joined + (portRef O (instanceRef un3_dout_r3_0_axb_9_lut6_2_o6)) + (portRef (member S 2) (instanceRef un3_dout_r3_0_cry_11)) + )) + (net un2_dout_r4_axb_9 (joined + (portRef O (instanceRef un3_dout_r3_0_axb_9_lut6_2_o5)) + (portRef (member S 2) (instanceRef un2_dout_r4_cry_11)) + )) (net un3_dout_r6_0_axb_14 (joined (portRef O (instanceRef un3_dout_r6_0_axb_14_lut6_2_o6)) (portRef (member S 1) (instanceRef un3_dout_r6_0_s_15)) )) - (net un2_dout_r4_axb_13 (joined + (net un2_dout_r2_axb_13 (joined (portRef O (instanceRef un3_dout_r6_0_axb_14_lut6_2_o5)) - (portRef (member S 2) (instanceRef un2_dout_r4_s_15)) + (portRef (member S 2) (instanceRef un2_dout_r2_s_15)) )) - (net un3_dout_r3_0_axb_3 (joined - (portRef O (instanceRef un3_dout_r3_0_axb_3_lut6_2_o6)) - (portRef (member S 0) (instanceRef un3_dout_r3_0_cry_3)) + (net un3_dout_r3_0_axb_2 (joined + (portRef O (instanceRef un3_dout_r3_0_axb_2_lut6_2_o6)) + (portRef (member S 1) (instanceRef un3_dout_r3_0_cry_3)) )) - (net un2_dout_r4_axb_3 (joined - (portRef O (instanceRef un3_dout_r3_0_axb_3_lut6_2_o5)) - (portRef (member S 0) (instanceRef un2_dout_r4_cry_3)) + (net un2_dout_r2_axb_2 (joined + (portRef O (instanceRef un3_dout_r3_0_axb_2_lut6_2_o5)) + (portRef (member S 1) (instanceRef un2_dout_r2_cry_3)) + )) + (net un3_dout_r6_0_axb_9 (joined + (portRef O (instanceRef un3_dout_r6_0_axb_9_lut6_2_o6)) + (portRef (member S 2) (instanceRef un3_dout_r6_0_cry_11)) + )) + (net un2_dout_r4_axb_8 (joined + (portRef O (instanceRef un3_dout_r6_0_axb_9_lut6_2_o5)) + (portRef (member S 3) (instanceRef un2_dout_r4_cry_11)) )) (net un3_dout_r6_0_axb_12 (joined (portRef O (instanceRef un3_dout_r6_0_axb_12_lut6_2_o6)) (portRef (member S 3) (instanceRef un3_dout_r6_0_s_15)) )) - (net un2_dout_r2_axb_12 (joined + (net un2_dout_r4_axb_12 (joined (portRef O (instanceRef un3_dout_r6_0_axb_12_lut6_2_o5)) - (portRef (member S 3) (instanceRef un2_dout_r2_s_15)) + (portRef (member S 3) (instanceRef un2_dout_r4_s_15)) )) - (net un3_dout_r6_0_axb_7 (joined - (portRef O (instanceRef un3_dout_r6_0_axb_7_lut6_2_o6)) - (portRef (member S 0) (instanceRef un3_dout_r6_0_cry_7)) + (net un3_dout_r6_0_axb_13 (joined + (portRef O (instanceRef un3_dout_r6_0_axb_13_lut6_2_o6)) + (portRef (member S 2) (instanceRef un3_dout_r6_0_s_15)) )) - (net un2_dout_r1_axb_6 (joined - (portRef O (instanceRef un3_dout_r6_0_axb_7_lut6_2_o5)) - (portRef (member S 1) (instanceRef un2_dout_r1_cry_7)) + (net un2_dout_r4_axb_13 (joined + (portRef O (instanceRef un3_dout_r6_0_axb_13_lut6_2_o5)) + (portRef (member S 2) (instanceRef un2_dout_r4_s_15)) + )) + (net (rename iir_out "IIR_out") (joined + (portRef IIR_out) + (portRef I0 (instanceRef un2_dout_r2_axb_15_lut6_2_o5)) + (portRef (member DI 0) (instanceRef sum_0_1_cry_15)) + (portRef I1 (instanceRef sum_0_1_cry_15_RNO)) + (portRef D (instanceRef din_r1_15)) + )) + (net (rename sum_0_1_cryZ0Z_15 "sum_0_1_cry_15") (joined + (portRef (member CO 0) (instanceRef sum_0_1_cry_15)) + (portRef I2 (instanceRef un2_dout_r2_axb_15_lut6_2_o5)) )) (net un2_dout_r2_axb_15 (joined (portRef O (instanceRef un2_dout_r2_axb_15_lut6_2_o6)) (portRef (member S 0) (instanceRef un2_dout_r2_s_15)) )) - (net un2_dout_r4_axb_15 (joined - (portRef O (instanceRef un2_dout_r2_axb_15_lut6_2_o5)) - (portRef (member S 0) (instanceRef un2_dout_r4_s_15)) - )) (net un2_dout_r2_axb_14 (joined (portRef O (instanceRef un2_dout_r2_axb_14_lut6_2_o6)) (portRef (member S 1) (instanceRef un2_dout_r2_s_15)) )) - (net un2_dout_r1_axb_15 (joined + (net un2_dout_r1_axb_14 (joined (portRef O (instanceRef un2_dout_r2_axb_14_lut6_2_o5)) - (portRef (member S 0) (instanceRef un2_dout_r1_s_15)) + (portRef (member S 1) (instanceRef un2_dout_r1_s_15)) )) - (net un2_dout_r2_axb_13 (joined - (portRef O (instanceRef un2_dout_r2_axb_13_lut6_2_o6)) - (portRef (member S 2) (instanceRef un2_dout_r2_s_15)) + (net un2_dout_r2_axb_10 (joined + (portRef O (instanceRef un2_dout_r2_axb_10_lut6_2_o6)) + (portRef (member S 1) (instanceRef un2_dout_r2_cry_11)) )) - (net un2_dout_r4_axb_14 (joined - (portRef O (instanceRef un2_dout_r2_axb_13_lut6_2_o5)) - (portRef (member S 1) (instanceRef un2_dout_r4_s_15)) + (net un2_dout_r1_axb_9 (joined + (portRef O (instanceRef un2_dout_r2_axb_10_lut6_2_o5)) + (portRef (member S 2) (instanceRef un2_dout_r1_cry_11)) )) - (net un2_dout_r2_axb_9 (joined - (portRef O (instanceRef un2_dout_r2_axb_9_lut6_2_o6)) - (portRef (member S 2) (instanceRef un2_dout_r2_cry_11)) - )) - (net un2_dout_r4_axb_10 (joined - (portRef O (instanceRef un2_dout_r2_axb_9_lut6_2_o5)) - (portRef (member S 1) (instanceRef un2_dout_r4_cry_11)) - )) - (net un2_dout_r2_axb_7 (joined - (portRef O (instanceRef un2_dout_r2_axb_7_lut6_2_o6)) - (portRef (member S 0) (instanceRef un2_dout_r2_cry_7)) - )) - (net un2_dout_r4_axb_8 (joined - (portRef O (instanceRef un2_dout_r2_axb_7_lut6_2_o5)) - (portRef (member S 3) (instanceRef un2_dout_r4_cry_11)) - )) - (net un2_dout_r2_axb_1 (joined - (portRef O (instanceRef un2_dout_r2_axb_1_lut6_2_o6)) - (portRef (member S 2) (instanceRef un2_dout_r2_cry_3)) - )) - (net un3_dout_r5_0_axb_0 (joined - (portRef O (instanceRef un2_dout_r2_axb_1_lut6_2_o5)) - (portRef (member S 3) (instanceRef un3_dout_r5_0_cry_3)) - )) - (net un2_dout_r2_4 (joined - (portRef O (instanceRef un2_dout_r2_axb_0_lut6_2_o6)) - (portRef (member S 3) (instanceRef un2_dout_r2_cry_3)) - (portRef D (instanceRef dout_r2_0)) - )) - (net (rename un4_dout_r7_4_0 "un4_dout_r7_4[0]") (joined - (portRef O (instanceRef un2_dout_r2_axb_0_lut6_2_o5)) - (portRef (member DI 3) (instanceRef un4_dout_r7_cry_3)) - (portRef (member S 3) (instanceRef un4_dout_r7_4_cry_3)) - )) - (net un2_dout_r1_axb_7 (joined - (portRef O (instanceRef un2_dout_r1_axb_7_lut6_2_o6)) - (portRef (member S 0) (instanceRef un2_dout_r1_cry_7)) - )) - (net un2_dout_r4_axb_7 (joined - (portRef O (instanceRef un2_dout_r1_axb_7_lut6_2_o5)) - (portRef (member S 0) (instanceRef un2_dout_r4_cry_7)) + (net un2_dout_r2_axb_6 (joined + (portRef O (instanceRef un2_dout_r2_axb_6_lut6_2_o6)) + (portRef (member S 1) (instanceRef un2_dout_r2_cry_7)) )) (net un2_dout_r1_axb_5 (joined - (portRef O (instanceRef un2_dout_r1_axb_5_lut6_2_o6)) + (portRef O (instanceRef un2_dout_r2_axb_6_lut6_2_o5)) (portRef (member S 2) (instanceRef un2_dout_r1_cry_7)) )) - (net un2_dout_r4_axb_5 (joined - (portRef O (instanceRef un2_dout_r1_axb_5_lut6_2_o5)) - (portRef (member S 2) (instanceRef un2_dout_r4_cry_7)) + (net un2_dout_r2_axb_5 (joined + (portRef O (instanceRef un2_dout_r2_axb_5_lut6_2_o6)) + (portRef (member S 2) (instanceRef un2_dout_r2_cry_7)) + )) + (net un2_dout_r1_axb_4 (joined + (portRef O (instanceRef un2_dout_r2_axb_5_lut6_2_o5)) + (portRef (member S 3) (instanceRef un2_dout_r1_cry_7)) + )) + (net un2_dout_r2_axb_4 (joined + (portRef O (instanceRef un2_dout_r2_axb_4_lut6_2_o6)) + (portRef (member S 3) (instanceRef un2_dout_r2_cry_7)) + )) + (net un2_dout_r4_axb_4 (joined + (portRef O (instanceRef un2_dout_r2_axb_4_lut6_2_o5)) + (portRef (member S 3) (instanceRef un2_dout_r4_cry_7)) + )) + (net un2_dout_r2_axb_3 (joined + (portRef O (instanceRef un2_dout_r2_axb_3_lut6_2_o6)) + (portRef (member S 0) (instanceRef un2_dout_r2_cry_3)) + )) + (net un2_dout_r4_axb_3 (joined + (portRef O (instanceRef un2_dout_r2_axb_3_lut6_2_o5)) + (portRef (member S 0) (instanceRef un2_dout_r4_cry_3)) + )) + (net un2_dout_r1_axb_15 (joined + (portRef O (instanceRef un2_dout_r1_axb_15_lut6_2_o6)) + (portRef (member S 0) (instanceRef un2_dout_r1_s_15)) + )) + (net un3_dout_r3_0_axb_15 (joined + (portRef O (instanceRef un2_dout_r1_axb_15_lut6_2_o5)) + (portRef (member S 0) (instanceRef un3_dout_r3_0_s_15)) + )) + (net un2_dout_r1_axb_13 (joined + (portRef O (instanceRef un2_dout_r1_axb_13_lut6_2_o6)) + (portRef (member S 2) (instanceRef un2_dout_r1_s_15)) + )) + (net un2_dout_r4_axb_15 (joined + (portRef O (instanceRef un2_dout_r1_axb_13_lut6_2_o5)) + (portRef (member S 0) (instanceRef un2_dout_r4_s_15)) + )) + (net un2_dout_r1_axb_12 (joined + (portRef O (instanceRef un2_dout_r1_axb_12_lut6_2_o6)) + (portRef (member S 3) (instanceRef un2_dout_r1_s_15)) + )) + (net un3_dout_r5_0_axb_15 (joined + (portRef O (instanceRef un2_dout_r1_axb_12_lut6_2_o5)) + (portRef (member S 0) (instanceRef un3_dout_r5_0_s_15)) )) (net un2_dout_r1_3 (joined (portRef O (instanceRef un2_dout_r1_axb_0_lut6_2_o6)) @@ -69864,25 +70027,28 @@ (portRef O (instanceRef un2_dout_r4_axb_2_lut6_2_o6)) (portRef (member S 1) (instanceRef un2_dout_r4_cry_3)) )) - (net un4_dout_r7_8 (joined + (net (rename un4_dout_r7_4_0 "un4_dout_r7_4[0]") (joined (portRef O (instanceRef un2_dout_r4_axb_2_lut6_2_o5)) + (portRef (member DI 3) (instanceRef un4_dout_r7_cry_3)) + (portRef (member S 3) (instanceRef un4_dout_r7_4_cry_3)) + )) + (net un2_dout_r4_axb_1 (joined + (portRef O (instanceRef un2_dout_r4_axb_1_lut6_2_o6)) + (portRef (member S 2) (instanceRef un2_dout_r4_cry_3)) + )) + (net (rename un4_dout_r7Z0Z_8 "un4_dout_r7_8") (joined + (portRef O (instanceRef un2_dout_r4_axb_1_lut6_2_o5)) (portRef (member S 3) (instanceRef un4_dout_r7_cry_3)) - (portRef D (instanceRef dout_r7_0)) + (portRef I2 (instanceRef un4_dout_r7_8)) )) - (net (rename iir_out "IIR_out") (joined - (portRef IIR_out) - (portRef I0 (instanceRef un3_dout_r3_0_axb_15_lut6_2_o5)) - (portRef (member DI 0) (instanceRef sum_0_1_cry_15)) - (portRef I1 (instanceRef sum_0_1_cry_15_RNO)) - (portRef D (instanceRef din_r1_15)) + (net un2_dout_r4_2 (joined + (portRef O (instanceRef un2_dout_r4_axb_0_lut6_2_o6)) + (portRef (member S 3) (instanceRef un2_dout_r4_cry_3)) + (portRef D (instanceRef dout_r4_0)) )) - (net (rename sum_0_1_cryZ0Z_15 "sum_0_1_cry_15") (joined - (portRef (member CO 0) (instanceRef sum_0_1_cry_15)) - (portRef I2 (instanceRef un3_dout_r3_0_axb_15_lut6_2_o5)) - )) - (net un3_dout_r3_0_axb_15 (joined - (portRef O (instanceRef un3_dout_r3_0_axb_15_lut6_2_o6)) - (portRef (member S 0) (instanceRef un3_dout_r3_0_s_15)) + (net un3_dout_r6_0_axb_0 (joined + (portRef O (instanceRef un2_dout_r4_axb_0_lut6_2_o5)) + (portRef (member S 3) (instanceRef un3_dout_r6_0_cry_3)) )) (net un3_dout_r6_0_axb_1 (joined (portRef O (instanceRef un3_dout_r6_0_axb_1_lut6_2_o6)) @@ -69908,13 +70074,15 @@ (portRef O (instanceRef un3_dout_r3_0_axb_1_lut6_2_o5)) (portRef (member DI 1) (instanceRef un3_dout_r3_0_cry_3)) )) - (net (rename dout_1_15 "dout_1[15]") (joined - (portRef Q (instanceRef mux_p_1_15)) - (portRef (member dout_1 0)) + (net (rename doutni_4_12 "doutNI_4[12]") (joined + (portRef Q (instanceRef mux_p_4_12)) + (portRef (member doutNI_4 3)) )) - (net (rename mux_p_1_7_15 "mux_p_1_7[15]") (joined - (portRef LO (instanceRef mux_p_1_7_3_15)) - (portRef D (instanceRef mux_p_1_15)) + (net (rename dout_r4Z0Z_12 "dout_r4[12]") (joined + (portRef Q (instanceRef dout_r4_12)) + (portRef I2 (instanceRef mux_p_2_6_0_12)) + (portRef I3 (instanceRef mux_p_1_7_3_12)) + (portRef D (instanceRef mux_p_4_12)) )) (net clk (joined (portRef clk) @@ -70012,7 +70180,6 @@ (portRef C (instanceRef dout_r7_3)) (portRef C (instanceRef dout_r7_2)) (portRef C (instanceRef dout_r7_1)) - (portRef C (instanceRef dout_r7_0)) (portRef C (instanceRef dout_r6_15)) (portRef C (instanceRef dout_r6_14)) (portRef C (instanceRef dout_r6_13)) @@ -70074,10 +70241,6 @@ (portRef C (instanceRef mux_p_4_9)) (portRef C (instanceRef mux_p_4_10)) (portRef C (instanceRef mux_p_4_11)) - (portRef C (instanceRef mux_p_4_12)) - (portRef C (instanceRef mux_p_4_13)) - (portRef C (instanceRef mux_p_4_14)) - (portRef C (instanceRef mux_p_4_15)) (portRef C (instanceRef mux_p_5_0)) (portRef C (instanceRef mux_p_5_1)) (portRef C (instanceRef mux_p_5_2)) @@ -70090,10 +70253,6 @@ (portRef C (instanceRef mux_p_5_9)) (portRef C (instanceRef mux_p_5_10)) (portRef C (instanceRef mux_p_5_11)) - (portRef C (instanceRef mux_p_5_12)) - (portRef C (instanceRef mux_p_5_13)) - (portRef C (instanceRef mux_p_5_14)) - (portRef C (instanceRef mux_p_5_15)) (portRef C (instanceRef mux_p_6_0)) (portRef C (instanceRef mux_p_6_1)) (portRef C (instanceRef mux_p_6_2)) @@ -70190,6 +70349,373 @@ (portRef C (instanceRef mux_p_1_13)) (portRef C (instanceRef mux_p_1_14)) (portRef C (instanceRef mux_p_1_15)) + (portRef C (instanceRef dout_r7_0)) + (portRef C (instanceRef mux_p_5_15)) + (portRef C (instanceRef mux_p_5_14)) + (portRef C (instanceRef mux_p_5_13)) + (portRef C (instanceRef mux_p_5_12)) + (portRef C (instanceRef mux_p_4_15)) + (portRef C (instanceRef mux_p_4_14)) + (portRef C (instanceRef mux_p_4_13)) + (portRef C (instanceRef mux_p_4_12)) + )) + (net (rename mux_p_7Z1Z_6 "mux_p_7_6") (joined + (portRef O (instanceRef mux_p_7Z0Z_6)) + (portRef R (instanceRef mux_p_4_0)) + (portRef R (instanceRef mux_p_4_1)) + (portRef R (instanceRef mux_p_4_2)) + (portRef R (instanceRef mux_p_4_3)) + (portRef R (instanceRef mux_p_4_4)) + (portRef R (instanceRef mux_p_4_5)) + (portRef R (instanceRef mux_p_4_6)) + (portRef R (instanceRef mux_p_4_7)) + (portRef R (instanceRef mux_p_4_8)) + (portRef R (instanceRef mux_p_4_9)) + (portRef R (instanceRef mux_p_4_10)) + (portRef R (instanceRef mux_p_4_11)) + (portRef R (instanceRef mux_p_5_0)) + (portRef R (instanceRef mux_p_5_1)) + (portRef R (instanceRef mux_p_5_2)) + (portRef R (instanceRef mux_p_5_3)) + (portRef R (instanceRef mux_p_5_4)) + (portRef R (instanceRef mux_p_5_5)) + (portRef R (instanceRef mux_p_5_6)) + (portRef R (instanceRef mux_p_5_7)) + (portRef R (instanceRef mux_p_5_8)) + (portRef R (instanceRef mux_p_5_9)) + (portRef R (instanceRef mux_p_5_10)) + (portRef R (instanceRef mux_p_5_11)) + (portRef R (instanceRef mux_p_6_0)) + (portRef R (instanceRef mux_p_6_1)) + (portRef R (instanceRef mux_p_6_2)) + (portRef R (instanceRef mux_p_6_3)) + (portRef R (instanceRef mux_p_6_4)) + (portRef R (instanceRef mux_p_6_5)) + (portRef R (instanceRef mux_p_6_6)) + (portRef R (instanceRef mux_p_6_7)) + (portRef R (instanceRef mux_p_6_8)) + (portRef R (instanceRef mux_p_6_9)) + (portRef R (instanceRef mux_p_6_10)) + (portRef R (instanceRef mux_p_6_11)) + (portRef R (instanceRef mux_p_6_12)) + (portRef R (instanceRef mux_p_6_13)) + (portRef R (instanceRef mux_p_6_14)) + (portRef R (instanceRef mux_p_6_15)) + (portRef R (instanceRef mux_p_7_0)) + (portRef R (instanceRef mux_p_7_1)) + (portRef R (instanceRef mux_p_7_2)) + (portRef R (instanceRef mux_p_7_3)) + (portRef R (instanceRef mux_p_7_4)) + (portRef R (instanceRef mux_p_7_5)) + (portRef R (instanceRef mux_p_7_6)) + (portRef R (instanceRef mux_p_7_7)) + (portRef R (instanceRef mux_p_7_8)) + (portRef R (instanceRef mux_p_7_9)) + (portRef R (instanceRef mux_p_7_10)) + (portRef R (instanceRef mux_p_7_11)) + (portRef R (instanceRef mux_p_7_12)) + (portRef R (instanceRef mux_p_7_13)) + (portRef R (instanceRef mux_p_7_14)) + (portRef R (instanceRef mux_p_7_15)) + (portRef R (instanceRef mux_p_5_15)) + (portRef R (instanceRef mux_p_5_14)) + (portRef R (instanceRef mux_p_5_13)) + (portRef R (instanceRef mux_p_5_12)) + (portRef R (instanceRef mux_p_4_15)) + (portRef R (instanceRef mux_p_4_14)) + (portRef R (instanceRef mux_p_4_13)) + (portRef R (instanceRef mux_p_4_12)) + )) + (net en_rep_2 (joined + (portRef en_rep_2) + (portRef CE (instanceRef dout_r4_12)) + (portRef CE (instanceRef dout_r4_11)) + (portRef CE (instanceRef dout_r4_10)) + (portRef CE (instanceRef dout_r4_9)) + (portRef CE (instanceRef dout_r4_8)) + (portRef CE (instanceRef dout_r4_7)) + (portRef CE (instanceRef dout_r4_6)) + (portRef CE (instanceRef dout_r4_5)) + (portRef CE (instanceRef dout_r4_4)) + (portRef CE (instanceRef dout_r1_11)) + (portRef CE (instanceRef dout_r1_10)) + (portRef CE (instanceRef dout_r1_9)) + (portRef CE (instanceRef dout_r1_8)) + (portRef CE (instanceRef dout_r1_7)) + (portRef CE (instanceRef dout_r1_6)) + (portRef CE (instanceRef dout_r1_5)) + (portRef CE (instanceRef dout_r1_4)) + (portRef CE (instanceRef dout_r4_15)) + (portRef CE (instanceRef dout_r4_14)) + (portRef CE (instanceRef dout_r4_13)) + (portRef CE (instanceRef dout_r2_10)) + (portRef CE (instanceRef dout_r2_9)) + (portRef CE (instanceRef dout_r2_8)) + (portRef CE (instanceRef dout_r2_7)) + (portRef CE (instanceRef dout_r2_6)) + (portRef CE (instanceRef dout_r2_5)) + (portRef CE (instanceRef dout_r2_4)) + (portRef CE (instanceRef dout_r1_15)) + (portRef CE (instanceRef dout_r1_14)) + (portRef CE (instanceRef dout_r1_13)) + (portRef CE (instanceRef dout_r1_12)) + (portRef CE (instanceRef dout_r3_9)) + (portRef CE (instanceRef dout_r3_8)) + (portRef CE (instanceRef dout_r3_7)) + (portRef CE (instanceRef dout_r3_6)) + (portRef CE (instanceRef dout_r3_5)) + (portRef CE (instanceRef dout_r3_4)) + (portRef CE (instanceRef dout_r2_15)) + (portRef CE (instanceRef dout_r2_14)) + (portRef CE (instanceRef dout_r2_13)) + (portRef CE (instanceRef dout_r2_12)) + (portRef CE (instanceRef dout_r2_11)) + (portRef CE (instanceRef dout_r5_8)) + (portRef CE (instanceRef dout_r5_7)) + (portRef CE (instanceRef dout_r5_6)) + (portRef CE (instanceRef dout_r5_5)) + (portRef CE (instanceRef dout_r5_4)) + (portRef CE (instanceRef dout_r3_15)) + (portRef CE (instanceRef dout_r3_14)) + (portRef CE (instanceRef dout_r3_13)) + (portRef CE (instanceRef dout_r3_12)) + (portRef CE (instanceRef dout_r3_11)) + (portRef CE (instanceRef dout_r3_10)) + (portRef CE (instanceRef dout_r6_7)) + (portRef CE (instanceRef dout_r6_6)) + (portRef CE (instanceRef dout_r6_5)) + (portRef CE (instanceRef dout_r6_4)) + (portRef CE (instanceRef dout_r5_11)) + (portRef CE (instanceRef dout_r5_10)) + (portRef CE (instanceRef dout_r5_9)) + (portRef CE (instanceRef dout_r7_6)) + (portRef CE (instanceRef dout_r7_5)) + (portRef CE (instanceRef dout_r7_4)) + (portRef CE (instanceRef dout_r7_3)) + (portRef CE (instanceRef dout_r7_2)) + (portRef CE (instanceRef dout_r7_1)) + (portRef CE (instanceRef dout_r6_15)) + (portRef CE (instanceRef dout_r6_14)) + (portRef CE (instanceRef dout_r6_13)) + (portRef CE (instanceRef dout_r6_12)) + (portRef CE (instanceRef dout_r6_11)) + (portRef CE (instanceRef dout_r6_10)) + (portRef CE (instanceRef dout_r6_9)) + (portRef CE (instanceRef dout_r6_8)) + (portRef CE (instanceRef dout_r7_15)) + (portRef CE (instanceRef dout_r7_14)) + (portRef CE (instanceRef dout_r7_13)) + (portRef CE (instanceRef dout_r7_12)) + (portRef CE (instanceRef dout_r7_11)) + (portRef CE (instanceRef dout_r7_10)) + (portRef CE (instanceRef dout_r7_9)) + (portRef CE (instanceRef dout_r7_8)) + (portRef CE (instanceRef dout_r7_7)) + (portRef CE (instanceRef dout_r0_11)) + (portRef CE (instanceRef dout_r0_10)) + (portRef CE (instanceRef dout_r0_9)) + (portRef CE (instanceRef dout_r0_8)) + (portRef CE (instanceRef dout_r0_7)) + (portRef CE (instanceRef dout_r0_6)) + (portRef CE (instanceRef dout_r0_5)) + (portRef CE (instanceRef dout_r0_4)) + (portRef CE (instanceRef din_r1_15)) + (portRef CE (instanceRef din_r1_12)) + (portRef CE (instanceRef din_r1_11)) + (portRef CE (instanceRef din_r1_10)) + (portRef CE (instanceRef din_r1_9)) + (portRef CE (instanceRef din_r1_8)) + (portRef CE (instanceRef din_r1_7)) + (portRef CE (instanceRef din_r1_6)) + (portRef CE (instanceRef din_r1_5)) + (portRef CE (instanceRef din_r1_4)) + (portRef I2 (instanceRef mux_p_3Z0Z_4)) + (portRef I3 (instanceRef mux_p_7Z0Z_6)) + (portRef CE (instanceRef mux_p_4_4)) + (portRef CE (instanceRef mux_p_4_5)) + (portRef CE (instanceRef mux_p_4_6)) + (portRef CE (instanceRef mux_p_4_7)) + (portRef CE (instanceRef mux_p_4_8)) + (portRef CE (instanceRef mux_p_4_9)) + (portRef CE (instanceRef mux_p_4_10)) + (portRef CE (instanceRef mux_p_4_11)) + (portRef CE (instanceRef mux_p_5_4)) + (portRef CE (instanceRef mux_p_5_5)) + (portRef CE (instanceRef mux_p_5_6)) + (portRef CE (instanceRef mux_p_5_7)) + (portRef CE (instanceRef mux_p_5_8)) + (portRef CE (instanceRef mux_p_5_9)) + (portRef CE (instanceRef mux_p_5_10)) + (portRef CE (instanceRef mux_p_5_11)) + (portRef CE (instanceRef mux_p_6_4)) + (portRef CE (instanceRef mux_p_6_5)) + (portRef CE (instanceRef mux_p_6_6)) + (portRef CE (instanceRef mux_p_6_7)) + (portRef CE (instanceRef mux_p_6_8)) + (portRef CE (instanceRef mux_p_6_9)) + (portRef CE (instanceRef mux_p_6_10)) + (portRef CE (instanceRef mux_p_6_11)) + (portRef CE (instanceRef mux_p_6_12)) + (portRef CE (instanceRef mux_p_6_13)) + (portRef CE (instanceRef mux_p_6_14)) + (portRef CE (instanceRef mux_p_6_15)) + (portRef CE (instanceRef mux_p_7_0)) + (portRef CE (instanceRef mux_p_7_1)) + (portRef CE (instanceRef mux_p_7_2)) + (portRef CE (instanceRef mux_p_7_3)) + (portRef CE (instanceRef mux_p_7_4)) + (portRef CE (instanceRef mux_p_7_5)) + (portRef CE (instanceRef mux_p_7_6)) + (portRef CE (instanceRef mux_p_7_7)) + (portRef CE (instanceRef mux_p_7_8)) + (portRef CE (instanceRef mux_p_7_9)) + (portRef CE (instanceRef mux_p_7_10)) + (portRef CE (instanceRef mux_p_7_11)) + (portRef CE (instanceRef mux_p_7_12)) + (portRef CE (instanceRef mux_p_7_13)) + (portRef CE (instanceRef mux_p_7_14)) + (portRef CE (instanceRef mux_p_7_15)) + (portRef CE (instanceRef mux_p_2_4)) + (portRef CE (instanceRef mux_p_2_5)) + (portRef CE (instanceRef mux_p_2_6)) + (portRef CE (instanceRef mux_p_2_7)) + (portRef CE (instanceRef mux_p_2_8)) + (portRef CE (instanceRef mux_p_2_9)) + (portRef CE (instanceRef mux_p_2_10)) + (portRef CE (instanceRef mux_p_2_11)) + (portRef CE (instanceRef mux_p_2_12)) + (portRef CE (instanceRef mux_p_2_13)) + (portRef CE (instanceRef mux_p_2_14)) + (portRef CE (instanceRef mux_p_2_15)) + (portRef CE (instanceRef mux_p_3_4)) + (portRef CE (instanceRef mux_p_3_5)) + (portRef CE (instanceRef mux_p_3_6)) + (portRef CE (instanceRef mux_p_3_7)) + (portRef CE (instanceRef mux_p_3_8)) + (portRef CE (instanceRef mux_p_3_9)) + (portRef CE (instanceRef mux_p_3_10)) + (portRef CE (instanceRef mux_p_3_11)) + (portRef CE (instanceRef mux_p_3_12)) + (portRef CE (instanceRef mux_p_3_13)) + (portRef CE (instanceRef mux_p_3_14)) + (portRef CE (instanceRef mux_p_3_15)) + (portRef CE (instanceRef mux_p_0_4)) + (portRef CE (instanceRef mux_p_0_5)) + (portRef CE (instanceRef mux_p_0_6)) + (portRef CE (instanceRef mux_p_0_7)) + (portRef CE (instanceRef mux_p_0_8)) + (portRef CE (instanceRef mux_p_0_9)) + (portRef CE (instanceRef mux_p_0_10)) + (portRef CE (instanceRef mux_p_0_11)) + (portRef CE (instanceRef mux_p_1_4)) + (portRef CE (instanceRef mux_p_1_5)) + (portRef CE (instanceRef mux_p_1_6)) + (portRef CE (instanceRef mux_p_1_7)) + (portRef CE (instanceRef mux_p_1_8)) + (portRef CE (instanceRef mux_p_1_9)) + (portRef CE (instanceRef mux_p_1_10)) + (portRef CE (instanceRef mux_p_1_11)) + (portRef CE (instanceRef mux_p_1_12)) + (portRef CE (instanceRef mux_p_1_13)) + (portRef CE (instanceRef mux_p_1_14)) + (portRef CE (instanceRef mux_p_1_15)) + (portRef I1 (instanceRef un4_dout_r7_8)) + (portRef CE (instanceRef mux_p_4_15)) + (portRef CE (instanceRef mux_p_4_14)) + (portRef CE (instanceRef mux_p_4_13)) + (portRef CE (instanceRef mux_p_4_12)) + )) + (net (rename doutni_4_13 "doutNI_4[13]") (joined + (portRef Q (instanceRef mux_p_4_13)) + (portRef (member doutNI_4 2)) + )) + (net (rename dout_r4Z0Z_13 "dout_r4[13]") (joined + (portRef Q (instanceRef dout_r4_13)) + (portRef I2 (instanceRef mux_p_2_6_0_13)) + (portRef I3 (instanceRef mux_p_1_7_3_13)) + (portRef D (instanceRef mux_p_4_13)) + )) + (net (rename doutni_4_14 "doutNI_4[14]") (joined + (portRef Q (instanceRef mux_p_4_14)) + (portRef (member doutNI_4 1)) + )) + (net (rename dout_r4Z0Z_14 "dout_r4[14]") (joined + (portRef Q (instanceRef dout_r4_14)) + (portRef I2 (instanceRef mux_p_2_6_0_14)) + (portRef I3 (instanceRef mux_p_1_7_3_14)) + (portRef D (instanceRef mux_p_4_14)) + )) + (net (rename doutni_4_15 "doutNI_4[15]") (joined + (portRef Q (instanceRef mux_p_4_15)) + (portRef (member doutNI_4 0)) + )) + (net (rename dout_r4Z0Z_15 "dout_r4[15]") (joined + (portRef Q (instanceRef dout_r4_15)) + (portRef I2 (instanceRef mux_p_2_6_0_15)) + (portRef I3 (instanceRef mux_p_1_7_3_15)) + (portRef D (instanceRef mux_p_4_15)) + )) + (net (rename doutni_5_12 "doutNI_5[12]") (joined + (portRef Q (instanceRef mux_p_5_12)) + (portRef (member doutNI_5 3)) + )) + (net (rename dout_r5Z0Z_12 "dout_r5[12]") (joined + (portRef Q (instanceRef dout_r5_12)) + (portRef D (instanceRef mux_p_5_12)) + )) + (net en_rep_3 (joined + (portRef en_rep_3) + (portRef CE (instanceRef dout_r5_15)) + (portRef CE (instanceRef dout_r5_14)) + (portRef CE (instanceRef dout_r5_13)) + (portRef CE (instanceRef dout_r5_12)) + (portRef CE (instanceRef dout_r0_15)) + (portRef CE (instanceRef dout_r0_14)) + (portRef CE (instanceRef dout_r0_13)) + (portRef CE (instanceRef dout_r0_12)) + (portRef CE (instanceRef din_r1_14)) + (portRef CE (instanceRef din_r1_13)) + (portRef CE (instanceRef mux_p_0_12)) + (portRef CE (instanceRef mux_p_0_13)) + (portRef CE (instanceRef mux_p_0_14)) + (portRef CE (instanceRef mux_p_0_15)) + (portRef CE (instanceRef mux_p_5_15)) + (portRef CE (instanceRef mux_p_5_14)) + (portRef CE (instanceRef mux_p_5_13)) + (portRef CE (instanceRef mux_p_5_12)) + )) + (net (rename doutni_5_13 "doutNI_5[13]") (joined + (portRef Q (instanceRef mux_p_5_13)) + (portRef (member doutNI_5 2)) + )) + (net (rename dout_r5Z0Z_13 "dout_r5[13]") (joined + (portRef Q (instanceRef dout_r5_13)) + (portRef D (instanceRef mux_p_5_13)) + )) + (net (rename doutni_5_14 "doutNI_5[14]") (joined + (portRef Q (instanceRef mux_p_5_14)) + (portRef (member doutNI_5 1)) + )) + (net (rename dout_r5Z0Z_14 "dout_r5[14]") (joined + (portRef Q (instanceRef dout_r5_14)) + (portRef D (instanceRef mux_p_5_14)) + )) + (net (rename doutni_5_15 "doutNI_5[15]") (joined + (portRef Q (instanceRef mux_p_5_15)) + (portRef (member doutNI_5 0)) + )) + (net (rename dout_r5Z0Z_15 "dout_r5[15]") (joined + (portRef Q (instanceRef dout_r5_15)) + (portRef D (instanceRef mux_p_5_15)) + )) + (net (rename dout_r7Z0Z_0 "dout_r7[0]") (joined + (portRef Q (instanceRef dout_r7_0)) + (portRef D (instanceRef mux_p_7_0)) + (portRef I0 (instanceRef un4_dout_r7_8)) + )) + (net un4_dout_r7_8_0 (joined + (portRef LO (instanceRef un4_dout_r7_8)) + (portRef D (instanceRef dout_r7_0)) )) (net rstn_i (joined (portRef rstn_i) @@ -70287,7 +70813,6 @@ (portRef CLR (instanceRef dout_r7_3)) (portRef CLR (instanceRef dout_r7_2)) (portRef CLR (instanceRef dout_r7_1)) - (portRef CLR (instanceRef dout_r7_0)) (portRef CLR (instanceRef dout_r6_15)) (portRef CLR (instanceRef dout_r6_14)) (portRef CLR (instanceRef dout_r6_13)) @@ -70369,542 +70894,337 @@ (portRef R (instanceRef mux_p_1_13)) (portRef R (instanceRef mux_p_1_14)) (portRef R (instanceRef mux_p_1_15)) + (portRef CLR (instanceRef dout_r7_0)) )) - (net en_rep_1 (joined - (portRef en_rep_1) - (portRef CE (instanceRef dout_r4_12)) - (portRef CE (instanceRef dout_r4_11)) - (portRef CE (instanceRef dout_r4_10)) - (portRef CE (instanceRef dout_r4_9)) - (portRef CE (instanceRef dout_r4_8)) - (portRef CE (instanceRef dout_r4_7)) - (portRef CE (instanceRef dout_r4_6)) - (portRef CE (instanceRef dout_r4_5)) - (portRef CE (instanceRef dout_r4_4)) - (portRef CE (instanceRef dout_r4_3)) - (portRef CE (instanceRef dout_r4_2)) - (portRef CE (instanceRef dout_r4_1)) - (portRef CE (instanceRef dout_r4_0)) - (portRef CE (instanceRef dout_r1_11)) - (portRef CE (instanceRef dout_r1_10)) - (portRef CE (instanceRef dout_r1_9)) - (portRef CE (instanceRef dout_r1_8)) - (portRef CE (instanceRef dout_r1_7)) - (portRef CE (instanceRef dout_r1_6)) - (portRef CE (instanceRef dout_r1_5)) - (portRef CE (instanceRef dout_r1_4)) - (portRef CE (instanceRef dout_r1_3)) - (portRef CE (instanceRef dout_r1_2)) - (portRef CE (instanceRef dout_r1_1)) - (portRef CE (instanceRef dout_r1_0)) - (portRef CE (instanceRef dout_r4_15)) - (portRef CE (instanceRef dout_r4_14)) - (portRef CE (instanceRef dout_r4_13)) - (portRef CE (instanceRef dout_r2_10)) - (portRef CE (instanceRef dout_r2_9)) - (portRef CE (instanceRef dout_r2_8)) - (portRef CE (instanceRef dout_r2_7)) - (portRef CE (instanceRef dout_r2_6)) - (portRef CE (instanceRef dout_r2_5)) - (portRef CE (instanceRef dout_r2_4)) - (portRef CE (instanceRef dout_r2_3)) - (portRef CE (instanceRef dout_r2_2)) - (portRef CE (instanceRef dout_r2_1)) - (portRef CE (instanceRef dout_r2_0)) - (portRef CE (instanceRef dout_r1_15)) - (portRef CE (instanceRef dout_r1_14)) - (portRef CE (instanceRef dout_r1_13)) - (portRef CE (instanceRef dout_r1_12)) - (portRef CE (instanceRef dout_r3_9)) - (portRef CE (instanceRef dout_r3_8)) - (portRef CE (instanceRef dout_r3_7)) - (portRef CE (instanceRef dout_r3_6)) - (portRef CE (instanceRef dout_r3_5)) - (portRef CE (instanceRef dout_r3_4)) - (portRef CE (instanceRef dout_r3_3)) - (portRef CE (instanceRef dout_r3_2)) - (portRef CE (instanceRef dout_r3_1)) - (portRef CE (instanceRef dout_r3_0)) - (portRef CE (instanceRef dout_r2_15)) - (portRef CE (instanceRef dout_r2_14)) - (portRef CE (instanceRef dout_r2_13)) - (portRef CE (instanceRef dout_r2_12)) - (portRef CE (instanceRef dout_r2_11)) - (portRef CE (instanceRef dout_r5_8)) - (portRef CE (instanceRef dout_r5_7)) - (portRef CE (instanceRef dout_r5_6)) - (portRef CE (instanceRef dout_r5_5)) - (portRef CE (instanceRef dout_r5_4)) - (portRef CE (instanceRef dout_r5_3)) - (portRef CE (instanceRef dout_r5_2)) - (portRef CE (instanceRef dout_r5_1)) - (portRef CE (instanceRef dout_r5_0)) - (portRef CE (instanceRef dout_r3_15)) - (portRef CE (instanceRef dout_r3_14)) - (portRef CE (instanceRef dout_r3_13)) - (portRef CE (instanceRef dout_r3_12)) - (portRef CE (instanceRef dout_r3_11)) - (portRef CE (instanceRef dout_r3_10)) - (portRef CE (instanceRef dout_r6_7)) - (portRef CE (instanceRef dout_r6_6)) - (portRef CE (instanceRef dout_r6_5)) - (portRef CE (instanceRef dout_r6_4)) - (portRef CE (instanceRef dout_r6_3)) - (portRef CE (instanceRef dout_r6_2)) - (portRef CE (instanceRef dout_r6_1)) - (portRef CE (instanceRef dout_r6_0)) - (portRef CE (instanceRef dout_r5_15)) - (portRef CE (instanceRef dout_r5_14)) - (portRef CE (instanceRef dout_r5_13)) - (portRef CE (instanceRef dout_r5_12)) - (portRef CE (instanceRef dout_r5_11)) - (portRef CE (instanceRef dout_r5_10)) - (portRef CE (instanceRef dout_r5_9)) - (portRef CE (instanceRef dout_r7_6)) - (portRef CE (instanceRef dout_r7_5)) - (portRef CE (instanceRef dout_r7_4)) - (portRef CE (instanceRef dout_r7_3)) - (portRef CE (instanceRef dout_r7_2)) - (portRef CE (instanceRef dout_r7_1)) - (portRef CE (instanceRef dout_r7_0)) - (portRef CE (instanceRef dout_r6_15)) - (portRef CE (instanceRef dout_r6_14)) - (portRef CE (instanceRef dout_r6_13)) - (portRef CE (instanceRef dout_r6_12)) - (portRef CE (instanceRef dout_r6_11)) - (portRef CE (instanceRef dout_r6_10)) - (portRef CE (instanceRef dout_r6_9)) - (portRef CE (instanceRef dout_r6_8)) - (portRef CE (instanceRef dout_r7_15)) - (portRef CE (instanceRef dout_r7_14)) - (portRef CE (instanceRef dout_r7_13)) - (portRef CE (instanceRef dout_r7_12)) - (portRef CE (instanceRef dout_r7_11)) - (portRef CE (instanceRef dout_r7_10)) - (portRef CE (instanceRef dout_r7_9)) - (portRef CE (instanceRef dout_r7_8)) - (portRef CE (instanceRef dout_r7_7)) - (portRef CE (instanceRef dout_r0_15)) - (portRef CE (instanceRef dout_r0_14)) - (portRef CE (instanceRef dout_r0_13)) - (portRef CE (instanceRef dout_r0_12)) - (portRef CE (instanceRef dout_r0_11)) - (portRef CE (instanceRef dout_r0_10)) - (portRef CE (instanceRef dout_r0_9)) - (portRef CE (instanceRef dout_r0_8)) - (portRef CE (instanceRef dout_r0_7)) - (portRef CE (instanceRef dout_r0_6)) - (portRef CE (instanceRef dout_r0_5)) - (portRef CE (instanceRef dout_r0_4)) - (portRef CE (instanceRef dout_r0_3)) - (portRef CE (instanceRef dout_r0_2)) - (portRef CE (instanceRef dout_r0_1)) - (portRef CE (instanceRef dout_r0_0)) - (portRef CE (instanceRef din_r1_15)) - (portRef CE (instanceRef din_r1_14)) - (portRef CE (instanceRef din_r1_13)) - (portRef CE (instanceRef din_r1_12)) - (portRef CE (instanceRef din_r1_11)) - (portRef CE (instanceRef din_r1_10)) - (portRef CE (instanceRef din_r1_9)) - (portRef CE (instanceRef din_r1_8)) - (portRef CE (instanceRef din_r1_7)) - (portRef CE (instanceRef din_r1_6)) - (portRef CE (instanceRef din_r1_5)) - (portRef CE (instanceRef din_r1_4)) - (portRef CE (instanceRef din_r1_3)) - (portRef CE (instanceRef din_r1_2)) - (portRef CE (instanceRef din_r1_1)) - (portRef CE (instanceRef din_r1_0)) - (portRef I2 (instanceRef mux_p_3_196)) - (portRef I3 (instanceRef mux_p_7_198)) - (portRef CE (instanceRef mux_p_4_0)) - (portRef CE (instanceRef mux_p_4_1)) - (portRef CE (instanceRef mux_p_4_2)) - (portRef CE (instanceRef mux_p_4_3)) - (portRef CE (instanceRef mux_p_4_4)) - (portRef CE (instanceRef mux_p_4_5)) - (portRef CE (instanceRef mux_p_4_6)) - (portRef CE (instanceRef mux_p_4_7)) - (portRef CE (instanceRef mux_p_4_8)) - (portRef CE (instanceRef mux_p_4_9)) - (portRef CE (instanceRef mux_p_4_10)) - (portRef CE (instanceRef mux_p_4_11)) - (portRef CE (instanceRef mux_p_4_12)) - (portRef CE (instanceRef mux_p_4_13)) - (portRef CE (instanceRef mux_p_4_14)) - (portRef CE (instanceRef mux_p_4_15)) - (portRef CE (instanceRef mux_p_5_0)) - (portRef CE (instanceRef mux_p_5_1)) - (portRef CE (instanceRef mux_p_5_2)) - (portRef CE (instanceRef mux_p_5_3)) - (portRef CE (instanceRef mux_p_5_4)) - (portRef CE (instanceRef mux_p_5_5)) - (portRef CE (instanceRef mux_p_5_6)) - (portRef CE (instanceRef mux_p_5_7)) - (portRef CE (instanceRef mux_p_5_8)) - (portRef CE (instanceRef mux_p_5_9)) - (portRef CE (instanceRef mux_p_5_10)) - (portRef CE (instanceRef mux_p_5_11)) - (portRef CE (instanceRef mux_p_5_12)) - (portRef CE (instanceRef mux_p_5_13)) - (portRef CE (instanceRef mux_p_5_14)) - (portRef CE (instanceRef mux_p_5_15)) - (portRef CE (instanceRef mux_p_6_0)) - (portRef CE (instanceRef mux_p_6_1)) - (portRef CE (instanceRef mux_p_6_2)) - (portRef CE (instanceRef mux_p_6_3)) - (portRef CE (instanceRef mux_p_6_4)) - (portRef CE (instanceRef mux_p_6_5)) - (portRef CE (instanceRef mux_p_6_6)) - (portRef CE (instanceRef mux_p_6_7)) - (portRef CE (instanceRef mux_p_6_8)) - (portRef CE (instanceRef mux_p_6_9)) - (portRef CE (instanceRef mux_p_6_10)) - (portRef CE (instanceRef mux_p_6_11)) - (portRef CE (instanceRef mux_p_6_12)) - (portRef CE (instanceRef mux_p_6_13)) - (portRef CE (instanceRef mux_p_6_14)) - (portRef CE (instanceRef mux_p_6_15)) - (portRef CE (instanceRef mux_p_7_0)) - (portRef CE (instanceRef mux_p_7_1)) - (portRef CE (instanceRef mux_p_7_2)) - (portRef CE (instanceRef mux_p_7_3)) - (portRef CE (instanceRef mux_p_7_4)) - (portRef CE (instanceRef mux_p_7_5)) - (portRef CE (instanceRef mux_p_7_6)) - (portRef CE (instanceRef mux_p_7_7)) - (portRef CE (instanceRef mux_p_7_8)) - (portRef CE (instanceRef mux_p_7_9)) - (portRef CE (instanceRef mux_p_7_10)) - (portRef CE (instanceRef mux_p_7_11)) - (portRef CE (instanceRef mux_p_7_12)) - (portRef CE (instanceRef mux_p_7_13)) - (portRef CE (instanceRef mux_p_7_14)) - (portRef CE (instanceRef mux_p_7_15)) - (portRef CE (instanceRef mux_p_2_0)) - (portRef CE (instanceRef mux_p_2_1)) - (portRef CE (instanceRef mux_p_2_2)) - (portRef CE (instanceRef mux_p_2_3)) - (portRef CE (instanceRef mux_p_2_4)) - (portRef CE (instanceRef mux_p_2_5)) - (portRef CE (instanceRef mux_p_2_6)) - (portRef CE (instanceRef mux_p_2_7)) - (portRef CE (instanceRef mux_p_2_8)) - (portRef CE (instanceRef mux_p_2_9)) - (portRef CE (instanceRef mux_p_2_10)) - (portRef CE (instanceRef mux_p_2_11)) - (portRef CE (instanceRef mux_p_2_12)) - (portRef CE (instanceRef mux_p_2_13)) - (portRef CE (instanceRef mux_p_2_14)) - (portRef CE (instanceRef mux_p_2_15)) - (portRef CE (instanceRef mux_p_3_0)) - (portRef CE (instanceRef mux_p_3_1)) - (portRef CE (instanceRef mux_p_3_2)) - (portRef CE (instanceRef mux_p_3_3)) - (portRef CE (instanceRef mux_p_3_4)) - (portRef CE (instanceRef mux_p_3_5)) - (portRef CE (instanceRef mux_p_3_6)) - (portRef CE (instanceRef mux_p_3_7)) - (portRef CE (instanceRef mux_p_3_8)) - (portRef CE (instanceRef mux_p_3_9)) - (portRef CE (instanceRef mux_p_3_10)) - (portRef CE (instanceRef mux_p_3_11)) - (portRef CE (instanceRef mux_p_3_12)) - (portRef CE (instanceRef mux_p_3_13)) - (portRef CE (instanceRef mux_p_3_14)) - (portRef CE (instanceRef mux_p_3_15)) - (portRef CE (instanceRef mux_p_0_0)) - (portRef CE (instanceRef mux_p_0_1)) - (portRef CE (instanceRef mux_p_0_2)) - (portRef CE (instanceRef mux_p_0_3)) - (portRef CE (instanceRef mux_p_0_4)) - (portRef CE (instanceRef mux_p_0_5)) - (portRef CE (instanceRef mux_p_0_6)) - (portRef CE (instanceRef mux_p_0_7)) - (portRef CE (instanceRef mux_p_0_8)) - (portRef CE (instanceRef mux_p_0_9)) - (portRef CE (instanceRef mux_p_0_10)) - (portRef CE (instanceRef mux_p_0_11)) - (portRef CE (instanceRef mux_p_0_12)) - (portRef CE (instanceRef mux_p_0_13)) - (portRef CE (instanceRef mux_p_0_14)) - (portRef CE (instanceRef mux_p_0_15)) - (portRef CE (instanceRef mux_p_1_0)) - (portRef CE (instanceRef mux_p_1_1)) - (portRef CE (instanceRef mux_p_1_2)) - (portRef CE (instanceRef mux_p_1_3)) - (portRef CE (instanceRef mux_p_1_4)) - (portRef CE (instanceRef mux_p_1_5)) - (portRef CE (instanceRef mux_p_1_6)) - (portRef CE (instanceRef mux_p_1_7)) - (portRef CE (instanceRef mux_p_1_8)) - (portRef CE (instanceRef mux_p_1_9)) - (portRef CE (instanceRef mux_p_1_10)) - (portRef CE (instanceRef mux_p_1_11)) - (portRef CE (instanceRef mux_p_1_12)) - (portRef CE (instanceRef mux_p_1_13)) - (portRef CE (instanceRef mux_p_1_14)) - (portRef CE (instanceRef mux_p_1_15)) + (net (rename doutni_1_15 "doutNI_1[15]") (joined + (portRef Q (instanceRef mux_p_1_15)) + (portRef (member doutNI_1 0)) )) - (net (rename dout_1_14 "dout_1[14]") (joined + (net (rename mux_p_1_7_15 "mux_p_1_7[15]") (joined + (portRef LO (instanceRef mux_p_1_7_3_15)) + (portRef D (instanceRef mux_p_1_15)) + )) + (net (rename doutni_1_14 "doutNI_1[14]") (joined (portRef Q (instanceRef mux_p_1_14)) - (portRef (member dout_1 1)) + (portRef (member doutNI_1 1)) )) (net (rename mux_p_1_7_14 "mux_p_1_7[14]") (joined (portRef LO (instanceRef mux_p_1_7_3_14)) (portRef D (instanceRef mux_p_1_14)) )) - (net (rename dout_1_13 "dout_1[13]") (joined + (net (rename doutni_1_13 "doutNI_1[13]") (joined (portRef Q (instanceRef mux_p_1_13)) - (portRef (member dout_1 2)) + (portRef (member doutNI_1 2)) )) (net (rename mux_p_1_7_13 "mux_p_1_7[13]") (joined (portRef LO (instanceRef mux_p_1_7_3_13)) (portRef D (instanceRef mux_p_1_13)) )) - (net (rename dout_1_12 "dout_1[12]") (joined + (net (rename doutni_1_12 "doutNI_1[12]") (joined (portRef Q (instanceRef mux_p_1_12)) - (portRef (member dout_1 3)) + (portRef (member doutNI_1 3)) )) (net (rename mux_p_1_7_12 "mux_p_1_7[12]") (joined (portRef LO (instanceRef mux_p_1_7_3_12)) (portRef D (instanceRef mux_p_1_12)) )) - (net (rename dout_1_11 "dout_1[11]") (joined + (net (rename doutni_1_11 "doutNI_1[11]") (joined (portRef Q (instanceRef mux_p_1_11)) - (portRef (member dout_1 4)) + (portRef (member doutNI_1 4)) )) (net (rename mux_p_1_7_11 "mux_p_1_7[11]") (joined (portRef LO (instanceRef mux_p_1_7_3_11)) (portRef D (instanceRef mux_p_1_11)) )) - (net (rename dout_1_10 "dout_1[10]") (joined + (net (rename doutni_1_10 "doutNI_1[10]") (joined (portRef Q (instanceRef mux_p_1_10)) - (portRef (member dout_1 5)) + (portRef (member doutNI_1 5)) )) (net (rename mux_p_1_7_10 "mux_p_1_7[10]") (joined (portRef LO (instanceRef mux_p_1_7_3_10)) (portRef D (instanceRef mux_p_1_10)) )) - (net (rename dout_1_9 "dout_1[9]") (joined + (net (rename doutni_1_9 "doutNI_1[9]") (joined (portRef Q (instanceRef mux_p_1_9)) - (portRef (member dout_1 6)) + (portRef (member doutNI_1 6)) )) (net (rename mux_p_1_7_9 "mux_p_1_7[9]") (joined (portRef LO (instanceRef mux_p_1_7_3_9)) (portRef D (instanceRef mux_p_1_9)) )) - (net (rename dout_1_8 "dout_1[8]") (joined + (net (rename doutni_1_8 "doutNI_1[8]") (joined (portRef Q (instanceRef mux_p_1_8)) - (portRef (member dout_1 7)) + (portRef (member doutNI_1 7)) )) (net (rename mux_p_1_7_8 "mux_p_1_7[8]") (joined (portRef LO (instanceRef mux_p_1_7_3_8)) (portRef D (instanceRef mux_p_1_8)) )) - (net (rename dout_1_7 "dout_1[7]") (joined + (net (rename doutni_1_7 "doutNI_1[7]") (joined (portRef Q (instanceRef mux_p_1_7)) - (portRef (member dout_1 8)) + (portRef (member doutNI_1 8)) )) (net (rename mux_p_1_7_7 "mux_p_1_7[7]") (joined (portRef LO (instanceRef mux_p_1_7_3_7)) (portRef D (instanceRef mux_p_1_7)) )) - (net (rename dout_1_6 "dout_1[6]") (joined + (net (rename doutni_1_6 "doutNI_1[6]") (joined (portRef Q (instanceRef mux_p_1_6)) - (portRef (member dout_1 9)) + (portRef (member doutNI_1 9)) )) (net (rename mux_p_1_7_6 "mux_p_1_7[6]") (joined (portRef LO (instanceRef mux_p_1_7_3_6)) (portRef D (instanceRef mux_p_1_6)) )) - (net (rename dout_1_5 "dout_1[5]") (joined + (net (rename doutni_1_5 "doutNI_1[5]") (joined (portRef Q (instanceRef mux_p_1_5)) - (portRef (member dout_1 10)) + (portRef (member doutNI_1 10)) )) (net (rename mux_p_1_7_5 "mux_p_1_7[5]") (joined (portRef LO (instanceRef mux_p_1_7_3_5)) (portRef D (instanceRef mux_p_1_5)) )) - (net (rename dout_1_4 "dout_1[4]") (joined + (net (rename doutni_1_4 "doutNI_1[4]") (joined (portRef Q (instanceRef mux_p_1_4)) - (portRef (member dout_1 11)) + (portRef (member doutNI_1 11)) )) (net (rename mux_p_1_7_4 "mux_p_1_7[4]") (joined (portRef LO (instanceRef mux_p_1_7_3_4)) (portRef D (instanceRef mux_p_1_4)) )) - (net (rename dout_1_3 "dout_1[3]") (joined + (net (rename doutni_1_3 "doutNI_1[3]") (joined (portRef Q (instanceRef mux_p_1_3)) - (portRef (member dout_1 12)) + (portRef (member doutNI_1 12)) )) (net (rename mux_p_1_7_3 "mux_p_1_7[3]") (joined (portRef LO (instanceRef mux_p_1_7_3_3)) (portRef D (instanceRef mux_p_1_3)) )) - (net (rename dout_1_2 "dout_1[2]") (joined + (net en_rep_0 (joined + (portRef en_rep_0) + (portRef CE (instanceRef dout_r4_3)) + (portRef CE (instanceRef dout_r4_2)) + (portRef CE (instanceRef dout_r4_1)) + (portRef CE (instanceRef dout_r4_0)) + (portRef CE (instanceRef dout_r1_3)) + (portRef CE (instanceRef dout_r1_2)) + (portRef CE (instanceRef dout_r1_1)) + (portRef CE (instanceRef dout_r1_0)) + (portRef CE (instanceRef dout_r2_3)) + (portRef CE (instanceRef dout_r2_2)) + (portRef CE (instanceRef dout_r2_1)) + (portRef CE (instanceRef dout_r2_0)) + (portRef CE (instanceRef dout_r3_3)) + (portRef CE (instanceRef dout_r3_2)) + (portRef CE (instanceRef dout_r3_1)) + (portRef CE (instanceRef dout_r3_0)) + (portRef CE (instanceRef dout_r5_3)) + (portRef CE (instanceRef dout_r5_2)) + (portRef CE (instanceRef dout_r5_1)) + (portRef CE (instanceRef dout_r5_0)) + (portRef CE (instanceRef dout_r6_3)) + (portRef CE (instanceRef dout_r6_2)) + (portRef CE (instanceRef dout_r6_1)) + (portRef CE (instanceRef dout_r6_0)) + (portRef CE (instanceRef dout_r0_3)) + (portRef CE (instanceRef dout_r0_2)) + (portRef CE (instanceRef dout_r0_1)) + (portRef CE (instanceRef dout_r0_0)) + (portRef CE (instanceRef din_r1_3)) + (portRef CE (instanceRef din_r1_2)) + (portRef CE (instanceRef din_r1_1)) + (portRef CE (instanceRef din_r1_0)) + (portRef CE (instanceRef mux_p_4_0)) + (portRef CE (instanceRef mux_p_4_1)) + (portRef CE (instanceRef mux_p_4_2)) + (portRef CE (instanceRef mux_p_4_3)) + (portRef CE (instanceRef mux_p_5_0)) + (portRef CE (instanceRef mux_p_5_1)) + (portRef CE (instanceRef mux_p_5_2)) + (portRef CE (instanceRef mux_p_5_3)) + (portRef CE (instanceRef mux_p_6_0)) + (portRef CE (instanceRef mux_p_6_1)) + (portRef CE (instanceRef mux_p_6_2)) + (portRef CE (instanceRef mux_p_6_3)) + (portRef CE (instanceRef mux_p_2_0)) + (portRef CE (instanceRef mux_p_2_1)) + (portRef CE (instanceRef mux_p_2_2)) + (portRef CE (instanceRef mux_p_2_3)) + (portRef CE (instanceRef mux_p_3_0)) + (portRef CE (instanceRef mux_p_3_1)) + (portRef CE (instanceRef mux_p_3_2)) + (portRef CE (instanceRef mux_p_3_3)) + (portRef CE (instanceRef mux_p_0_0)) + (portRef CE (instanceRef mux_p_0_1)) + (portRef CE (instanceRef mux_p_0_2)) + (portRef CE (instanceRef mux_p_0_3)) + (portRef CE (instanceRef mux_p_1_0)) + (portRef CE (instanceRef mux_p_1_1)) + (portRef CE (instanceRef mux_p_1_2)) + (portRef CE (instanceRef mux_p_1_3)) + )) + (net (rename doutni_1_2 "doutNI_1[2]") (joined (portRef Q (instanceRef mux_p_1_2)) - (portRef (member dout_1 13)) + (portRef (member doutNI_1 13)) )) (net (rename mux_p_1_7_2 "mux_p_1_7[2]") (joined (portRef LO (instanceRef mux_p_1_7_3_2)) (portRef D (instanceRef mux_p_1_2)) )) - (net (rename dout_1_1 "dout_1[1]") (joined + (net (rename doutni_1_1 "doutNI_1[1]") (joined (portRef Q (instanceRef mux_p_1_1)) - (portRef (member dout_1 14)) + (portRef (member doutNI_1 14)) )) (net (rename mux_p_1_7_1 "mux_p_1_7[1]") (joined (portRef LO (instanceRef mux_p_1_7_3_1)) (portRef D (instanceRef mux_p_1_1)) )) - (net (rename dout_1_0 "dout_1[0]") (joined + (net (rename doutni_1_0 "doutNI_1[0]") (joined (portRef Q (instanceRef mux_p_1_0)) - (portRef (member dout_1 15)) + (portRef (member doutNI_1 15)) )) (net (rename mux_p_1_7_0 "mux_p_1_7[0]") (joined (portRef LO (instanceRef mux_p_1_7_3_0)) (portRef D (instanceRef mux_p_1_0)) )) - (net (rename dout_0_15 "dout_0[15]") (joined + (net (rename doutni_0_15 "doutNI_0[15]") (joined (portRef Q (instanceRef mux_p_0_15)) - (portRef (member dout_0 0)) + (portRef (member doutNI_0 0)) )) (net (rename dout_r0Z0Z_15 "dout_r0[15]") (joined (portRef Q (instanceRef dout_r0_15)) (portRef D (instanceRef mux_p_0_15)) )) - (net (rename dout_0_14 "dout_0[14]") (joined + (net (rename doutni_0_14 "doutNI_0[14]") (joined (portRef Q (instanceRef mux_p_0_14)) - (portRef (member dout_0 1)) + (portRef (member doutNI_0 1)) )) (net (rename dout_r0Z0Z_14 "dout_r0[14]") (joined (portRef Q (instanceRef dout_r0_14)) (portRef D (instanceRef mux_p_0_14)) )) - (net (rename dout_0_13 "dout_0[13]") (joined + (net (rename doutni_0_13 "doutNI_0[13]") (joined (portRef Q (instanceRef mux_p_0_13)) - (portRef (member dout_0 2)) + (portRef (member doutNI_0 2)) )) (net (rename dout_r0Z0Z_13 "dout_r0[13]") (joined (portRef Q (instanceRef dout_r0_13)) (portRef D (instanceRef mux_p_0_13)) )) - (net (rename dout_0_12 "dout_0[12]") (joined + (net (rename doutni_0_12 "doutNI_0[12]") (joined (portRef Q (instanceRef mux_p_0_12)) - (portRef (member dout_0 3)) + (portRef (member doutNI_0 3)) )) (net (rename dout_r0Z0Z_12 "dout_r0[12]") (joined (portRef Q (instanceRef dout_r0_12)) (portRef D (instanceRef mux_p_0_12)) )) - (net (rename dout_0_11 "dout_0[11]") (joined + (net (rename doutni_0_11 "doutNI_0[11]") (joined (portRef Q (instanceRef mux_p_0_11)) - (portRef (member dout_0 4)) + (portRef (member doutNI_0 4)) )) (net (rename dout_r0Z0Z_11 "dout_r0[11]") (joined (portRef Q (instanceRef dout_r0_11)) (portRef D (instanceRef mux_p_0_11)) )) - (net (rename dout_0_10 "dout_0[10]") (joined + (net (rename doutni_0_10 "doutNI_0[10]") (joined (portRef Q (instanceRef mux_p_0_10)) - (portRef (member dout_0 5)) + (portRef (member doutNI_0 5)) )) (net (rename dout_r0Z0Z_10 "dout_r0[10]") (joined (portRef Q (instanceRef dout_r0_10)) (portRef D (instanceRef mux_p_0_10)) )) - (net (rename dout_0_9 "dout_0[9]") (joined + (net (rename doutni_0_9 "doutNI_0[9]") (joined (portRef Q (instanceRef mux_p_0_9)) - (portRef (member dout_0 6)) + (portRef (member doutNI_0 6)) )) (net (rename dout_r0Z0Z_9 "dout_r0[9]") (joined (portRef Q (instanceRef dout_r0_9)) (portRef D (instanceRef mux_p_0_9)) )) - (net (rename dout_0_8 "dout_0[8]") (joined + (net (rename doutni_0_8 "doutNI_0[8]") (joined (portRef Q (instanceRef mux_p_0_8)) - (portRef (member dout_0 7)) + (portRef (member doutNI_0 7)) )) (net (rename dout_r0Z0Z_8 "dout_r0[8]") (joined (portRef Q (instanceRef dout_r0_8)) (portRef D (instanceRef mux_p_0_8)) )) - (net (rename dout_0_7 "dout_0[7]") (joined + (net (rename doutni_0_7 "doutNI_0[7]") (joined (portRef Q (instanceRef mux_p_0_7)) - (portRef (member dout_0 8)) + (portRef (member doutNI_0 8)) )) (net (rename dout_r0Z0Z_7 "dout_r0[7]") (joined (portRef Q (instanceRef dout_r0_7)) (portRef D (instanceRef mux_p_0_7)) )) - (net (rename dout_0_6 "dout_0[6]") (joined + (net (rename doutni_0_6 "doutNI_0[6]") (joined (portRef Q (instanceRef mux_p_0_6)) - (portRef (member dout_0 9)) + (portRef (member doutNI_0 9)) )) (net (rename dout_r0Z0Z_6 "dout_r0[6]") (joined (portRef Q (instanceRef dout_r0_6)) (portRef D (instanceRef mux_p_0_6)) )) - (net (rename dout_0_5 "dout_0[5]") (joined + (net (rename doutni_0_5 "doutNI_0[5]") (joined (portRef Q (instanceRef mux_p_0_5)) - (portRef (member dout_0 10)) + (portRef (member doutNI_0 10)) )) (net (rename dout_r0Z0Z_5 "dout_r0[5]") (joined (portRef Q (instanceRef dout_r0_5)) (portRef D (instanceRef mux_p_0_5)) )) - (net (rename dout_0_4 "dout_0[4]") (joined + (net (rename doutni_0_4 "doutNI_0[4]") (joined (portRef Q (instanceRef mux_p_0_4)) - (portRef (member dout_0 11)) + (portRef (member doutNI_0 11)) )) (net (rename dout_r0Z0Z_4 "dout_r0[4]") (joined (portRef Q (instanceRef dout_r0_4)) (portRef D (instanceRef mux_p_0_4)) )) - (net (rename dout_0_3 "dout_0[3]") (joined + (net (rename doutni_0_3 "doutNI_0[3]") (joined (portRef Q (instanceRef mux_p_0_3)) - (portRef (member dout_0 12)) + (portRef (member doutNI_0 12)) )) (net (rename dout_r0Z0Z_3 "dout_r0[3]") (joined (portRef Q (instanceRef dout_r0_3)) (portRef D (instanceRef mux_p_0_3)) )) - (net (rename dout_0_2 "dout_0[2]") (joined + (net (rename doutni_0_2 "doutNI_0[2]") (joined (portRef Q (instanceRef mux_p_0_2)) - (portRef (member dout_0 13)) + (portRef (member doutNI_0 13)) )) (net (rename dout_r0Z0Z_2 "dout_r0[2]") (joined (portRef Q (instanceRef dout_r0_2)) (portRef D (instanceRef mux_p_0_2)) )) - (net (rename dout_0_1 "dout_0[1]") (joined + (net (rename doutni_0_1 "doutNI_0[1]") (joined (portRef Q (instanceRef mux_p_0_1)) - (portRef (member dout_0 14)) + (portRef (member doutNI_0 14)) )) (net (rename dout_r0Z0Z_1 "dout_r0[1]") (joined (portRef Q (instanceRef dout_r0_1)) (portRef D (instanceRef mux_p_0_1)) )) - (net (rename dout_0_0 "dout_0[0]") (joined + (net (rename doutni_0_0 "doutNI_0[0]") (joined (portRef Q (instanceRef mux_p_0_0)) - (portRef (member dout_0 15)) + (portRef (member doutNI_0 15)) )) (net (rename dout_r0Z0Z_0 "dout_r0[0]") (joined (portRef Q (instanceRef dout_r0_0)) (portRef D (instanceRef mux_p_0_0)) )) - (net (rename dout_3_15 "dout_3[15]") (joined + (net (rename doutni_3_15 "doutNI_3[15]") (joined (portRef Q (instanceRef mux_p_3_15)) - (portRef (member dout_3 0)) + (portRef (member doutNI_3 0)) )) (net N_264 (joined (portRef LO (instanceRef mux_p_3_6_0_15)) (portRef D (instanceRef mux_p_3_15)) )) - (net (rename mux_p_3Z0Z_196 "mux_p_3_196") (joined - (portRef O (instanceRef mux_p_3_196)) + (net (rename mux_p_3Z1Z_4 "mux_p_3_4") (joined + (portRef O (instanceRef mux_p_3Z0Z_4)) (portRef R (instanceRef mux_p_2_0)) (portRef R (instanceRef mux_p_2_1)) (portRef R (instanceRef mux_p_2_2)) @@ -70938,784 +71258,641 @@ (portRef R (instanceRef mux_p_3_14)) (portRef R (instanceRef mux_p_3_15)) )) - (net (rename dout_3_14 "dout_3[14]") (joined + (net (rename doutni_3_14 "doutNI_3[14]") (joined (portRef Q (instanceRef mux_p_3_14)) - (portRef (member dout_3 1)) + (portRef (member doutNI_3 1)) )) (net N_263 (joined (portRef LO (instanceRef mux_p_3_6_0_14)) (portRef D (instanceRef mux_p_3_14)) )) - (net (rename dout_3_13 "dout_3[13]") (joined + (net (rename doutni_3_13 "doutNI_3[13]") (joined (portRef Q (instanceRef mux_p_3_13)) - (portRef (member dout_3 2)) + (portRef (member doutNI_3 2)) )) (net N_262 (joined (portRef LO (instanceRef mux_p_3_6_0_13)) (portRef D (instanceRef mux_p_3_13)) )) - (net (rename dout_3_12 "dout_3[12]") (joined + (net (rename doutni_3_12 "doutNI_3[12]") (joined (portRef Q (instanceRef mux_p_3_12)) - (portRef (member dout_3 3)) + (portRef (member doutNI_3 3)) )) (net N_261 (joined (portRef LO (instanceRef mux_p_3_6_0_12)) (portRef D (instanceRef mux_p_3_12)) )) - (net (rename dout_3_11 "dout_3[11]") (joined + (net (rename doutni_3_11 "doutNI_3[11]") (joined (portRef Q (instanceRef mux_p_3_11)) - (portRef (member dout_3 4)) + (portRef (member doutNI_3 4)) )) (net N_260 (joined (portRef LO (instanceRef mux_p_3_6_0_11)) (portRef D (instanceRef mux_p_3_11)) )) - (net (rename dout_3_10 "dout_3[10]") (joined + (net (rename doutni_3_10 "doutNI_3[10]") (joined (portRef Q (instanceRef mux_p_3_10)) - (portRef (member dout_3 5)) + (portRef (member doutNI_3 5)) )) (net N_259 (joined (portRef LO (instanceRef mux_p_3_6_0_10)) (portRef D (instanceRef mux_p_3_10)) )) - (net (rename dout_3_9 "dout_3[9]") (joined + (net (rename doutni_3_9 "doutNI_3[9]") (joined (portRef Q (instanceRef mux_p_3_9)) - (portRef (member dout_3 6)) + (portRef (member doutNI_3 6)) )) (net N_258 (joined (portRef LO (instanceRef mux_p_3_6_0_9)) (portRef D (instanceRef mux_p_3_9)) )) - (net (rename dout_3_8 "dout_3[8]") (joined + (net (rename doutni_3_8 "doutNI_3[8]") (joined (portRef Q (instanceRef mux_p_3_8)) - (portRef (member dout_3 7)) + (portRef (member doutNI_3 7)) )) (net N_257 (joined (portRef LO (instanceRef mux_p_3_6_0_8)) (portRef D (instanceRef mux_p_3_8)) )) - (net (rename dout_3_7 "dout_3[7]") (joined + (net (rename doutni_3_7 "doutNI_3[7]") (joined (portRef Q (instanceRef mux_p_3_7)) - (portRef (member dout_3 8)) + (portRef (member doutNI_3 8)) )) (net N_256 (joined (portRef LO (instanceRef mux_p_3_6_0_7)) (portRef D (instanceRef mux_p_3_7)) )) - (net (rename dout_3_6 "dout_3[6]") (joined + (net (rename doutni_3_6 "doutNI_3[6]") (joined (portRef Q (instanceRef mux_p_3_6)) - (portRef (member dout_3 9)) + (portRef (member doutNI_3 9)) )) (net N_255 (joined (portRef LO (instanceRef mux_p_3_6_0_6)) (portRef D (instanceRef mux_p_3_6)) )) - (net (rename dout_3_5 "dout_3[5]") (joined + (net (rename doutni_3_5 "doutNI_3[5]") (joined (portRef Q (instanceRef mux_p_3_5)) - (portRef (member dout_3 10)) + (portRef (member doutNI_3 10)) )) (net N_254 (joined (portRef LO (instanceRef mux_p_3_6_0_5)) (portRef D (instanceRef mux_p_3_5)) )) - (net (rename dout_3_4 "dout_3[4]") (joined + (net (rename doutni_3_4 "doutNI_3[4]") (joined (portRef Q (instanceRef mux_p_3_4)) - (portRef (member dout_3 11)) + (portRef (member doutNI_3 11)) )) (net N_253 (joined (portRef LO (instanceRef mux_p_3_6_0_4)) (portRef D (instanceRef mux_p_3_4)) )) - (net (rename dout_3_3 "dout_3[3]") (joined + (net (rename doutni_3_3 "doutNI_3[3]") (joined (portRef Q (instanceRef mux_p_3_3)) - (portRef (member dout_3 12)) + (portRef (member doutNI_3 12)) )) (net N_252 (joined (portRef LO (instanceRef mux_p_3_6_0_3)) (portRef D (instanceRef mux_p_3_3)) )) - (net (rename dout_3_2 "dout_3[2]") (joined + (net (rename doutni_3_2 "doutNI_3[2]") (joined (portRef Q (instanceRef mux_p_3_2)) - (portRef (member dout_3 13)) + (portRef (member doutNI_3 13)) )) (net N_251 (joined (portRef LO (instanceRef mux_p_3_6_0_2)) (portRef D (instanceRef mux_p_3_2)) )) - (net (rename dout_3_1 "dout_3[1]") (joined + (net (rename doutni_3_1 "doutNI_3[1]") (joined (portRef Q (instanceRef mux_p_3_1)) - (portRef (member dout_3 14)) + (portRef (member doutNI_3 14)) )) (net N_250 (joined (portRef LO (instanceRef mux_p_3_6_0_1)) (portRef D (instanceRef mux_p_3_1)) )) - (net (rename dout_3_0 "dout_3[0]") (joined + (net (rename doutni_3_0 "doutNI_3[0]") (joined (portRef Q (instanceRef mux_p_3_0)) - (portRef (member dout_3 15)) + (portRef (member doutNI_3 15)) )) (net N_249 (joined (portRef LO (instanceRef mux_p_3_6_0_0)) (portRef D (instanceRef mux_p_3_0)) )) - (net (rename dout_2_15 "dout_2[15]") (joined + (net (rename doutni_2_15 "doutNI_2[15]") (joined (portRef Q (instanceRef mux_p_2_15)) - (portRef (member dout_2 0)) + (portRef (member doutNI_2 0)) )) (net N_300 (joined (portRef LO (instanceRef mux_p_2_6_0_15)) (portRef D (instanceRef mux_p_2_15)) )) - (net (rename dout_2_14 "dout_2[14]") (joined + (net (rename doutni_2_14 "doutNI_2[14]") (joined (portRef Q (instanceRef mux_p_2_14)) - (portRef (member dout_2 1)) + (portRef (member doutNI_2 1)) )) (net N_299 (joined (portRef LO (instanceRef mux_p_2_6_0_14)) (portRef D (instanceRef mux_p_2_14)) )) - (net (rename dout_2_13 "dout_2[13]") (joined + (net (rename doutni_2_13 "doutNI_2[13]") (joined (portRef Q (instanceRef mux_p_2_13)) - (portRef (member dout_2 2)) + (portRef (member doutNI_2 2)) )) (net N_298 (joined (portRef LO (instanceRef mux_p_2_6_0_13)) (portRef D (instanceRef mux_p_2_13)) )) - (net (rename dout_2_12 "dout_2[12]") (joined + (net (rename doutni_2_12 "doutNI_2[12]") (joined (portRef Q (instanceRef mux_p_2_12)) - (portRef (member dout_2 3)) + (portRef (member doutNI_2 3)) )) (net N_297 (joined (portRef LO (instanceRef mux_p_2_6_0_12)) (portRef D (instanceRef mux_p_2_12)) )) - (net (rename dout_2_11 "dout_2[11]") (joined + (net (rename doutni_2_11 "doutNI_2[11]") (joined (portRef Q (instanceRef mux_p_2_11)) - (portRef (member dout_2 4)) + (portRef (member doutNI_2 4)) )) (net N_296 (joined (portRef LO (instanceRef mux_p_2_6_0_11)) (portRef D (instanceRef mux_p_2_11)) )) - (net (rename dout_2_10 "dout_2[10]") (joined + (net (rename doutni_2_10 "doutNI_2[10]") (joined (portRef Q (instanceRef mux_p_2_10)) - (portRef (member dout_2 5)) + (portRef (member doutNI_2 5)) )) (net N_295 (joined (portRef LO (instanceRef mux_p_2_6_0_10)) (portRef D (instanceRef mux_p_2_10)) )) - (net (rename dout_2_9 "dout_2[9]") (joined + (net (rename doutni_2_9 "doutNI_2[9]") (joined (portRef Q (instanceRef mux_p_2_9)) - (portRef (member dout_2 6)) + (portRef (member doutNI_2 6)) )) (net N_294 (joined (portRef LO (instanceRef mux_p_2_6_0_9)) (portRef D (instanceRef mux_p_2_9)) )) - (net (rename dout_2_8 "dout_2[8]") (joined + (net (rename doutni_2_8 "doutNI_2[8]") (joined (portRef Q (instanceRef mux_p_2_8)) - (portRef (member dout_2 7)) + (portRef (member doutNI_2 7)) )) (net N_293 (joined (portRef LO (instanceRef mux_p_2_6_0_8)) (portRef D (instanceRef mux_p_2_8)) )) - (net (rename dout_2_7 "dout_2[7]") (joined + (net (rename doutni_2_7 "doutNI_2[7]") (joined (portRef Q (instanceRef mux_p_2_7)) - (portRef (member dout_2 8)) + (portRef (member doutNI_2 8)) )) (net N_292 (joined (portRef LO (instanceRef mux_p_2_6_0_7)) (portRef D (instanceRef mux_p_2_7)) )) - (net (rename dout_2_6 "dout_2[6]") (joined + (net (rename doutni_2_6 "doutNI_2[6]") (joined (portRef Q (instanceRef mux_p_2_6)) - (portRef (member dout_2 9)) + (portRef (member doutNI_2 9)) )) (net N_291 (joined (portRef LO (instanceRef mux_p_2_6_0_6)) (portRef D (instanceRef mux_p_2_6)) )) - (net (rename dout_2_5 "dout_2[5]") (joined + (net (rename doutni_2_5 "doutNI_2[5]") (joined (portRef Q (instanceRef mux_p_2_5)) - (portRef (member dout_2 10)) + (portRef (member doutNI_2 10)) )) (net N_290 (joined (portRef LO (instanceRef mux_p_2_6_0_5)) (portRef D (instanceRef mux_p_2_5)) )) - (net (rename dout_2_4 "dout_2[4]") (joined + (net (rename doutni_2_4 "doutNI_2[4]") (joined (portRef Q (instanceRef mux_p_2_4)) - (portRef (member dout_2 11)) + (portRef (member doutNI_2 11)) )) (net N_289 (joined (portRef LO (instanceRef mux_p_2_6_0_4)) (portRef D (instanceRef mux_p_2_4)) )) - (net (rename dout_2_3 "dout_2[3]") (joined + (net (rename doutni_2_3 "doutNI_2[3]") (joined (portRef Q (instanceRef mux_p_2_3)) - (portRef (member dout_2 12)) + (portRef (member doutNI_2 12)) )) (net N_288 (joined (portRef LO (instanceRef mux_p_2_6_0_3)) (portRef D (instanceRef mux_p_2_3)) )) - (net (rename dout_2_2 "dout_2[2]") (joined + (net (rename doutni_2_2 "doutNI_2[2]") (joined (portRef Q (instanceRef mux_p_2_2)) - (portRef (member dout_2 13)) + (portRef (member doutNI_2 13)) )) (net N_287 (joined (portRef LO (instanceRef mux_p_2_6_0_2)) (portRef D (instanceRef mux_p_2_2)) )) - (net (rename dout_2_1 "dout_2[1]") (joined + (net (rename doutni_2_1 "doutNI_2[1]") (joined (portRef Q (instanceRef mux_p_2_1)) - (portRef (member dout_2 14)) + (portRef (member doutNI_2 14)) )) (net N_286 (joined (portRef LO (instanceRef mux_p_2_6_0_1)) (portRef D (instanceRef mux_p_2_1)) )) - (net (rename dout_2_0 "dout_2[0]") (joined + (net (rename doutni_2_0 "doutNI_2[0]") (joined (portRef Q (instanceRef mux_p_2_0)) - (portRef (member dout_2 15)) + (portRef (member doutNI_2 15)) )) (net N_285 (joined (portRef LO (instanceRef mux_p_2_6_0_0)) (portRef D (instanceRef mux_p_2_0)) )) - (net (rename dout_7_15 "dout_7[15]") (joined + (net (rename doutni_7_15 "doutNI_7[15]") (joined (portRef Q (instanceRef mux_p_7_15)) - (portRef (member dout_7 0)) + (portRef (member doutNI_7 0)) )) (net (rename dout_r7Z0Z_15 "dout_r7[15]") (joined (portRef Q (instanceRef dout_r7_15)) (portRef D (instanceRef mux_p_7_15)) )) - (net (rename mux_p_7Z0Z_198 "mux_p_7_198") (joined - (portRef O (instanceRef mux_p_7_198)) - (portRef R (instanceRef mux_p_4_0)) - (portRef R (instanceRef mux_p_4_1)) - (portRef R (instanceRef mux_p_4_2)) - (portRef R (instanceRef mux_p_4_3)) - (portRef R (instanceRef mux_p_4_4)) - (portRef R (instanceRef mux_p_4_5)) - (portRef R (instanceRef mux_p_4_6)) - (portRef R (instanceRef mux_p_4_7)) - (portRef R (instanceRef mux_p_4_8)) - (portRef R (instanceRef mux_p_4_9)) - (portRef R (instanceRef mux_p_4_10)) - (portRef R (instanceRef mux_p_4_11)) - (portRef R (instanceRef mux_p_4_12)) - (portRef R (instanceRef mux_p_4_13)) - (portRef R (instanceRef mux_p_4_14)) - (portRef R (instanceRef mux_p_4_15)) - (portRef R (instanceRef mux_p_5_0)) - (portRef R (instanceRef mux_p_5_1)) - (portRef R (instanceRef mux_p_5_2)) - (portRef R (instanceRef mux_p_5_3)) - (portRef R (instanceRef mux_p_5_4)) - (portRef R (instanceRef mux_p_5_5)) - (portRef R (instanceRef mux_p_5_6)) - (portRef R (instanceRef mux_p_5_7)) - (portRef R (instanceRef mux_p_5_8)) - (portRef R (instanceRef mux_p_5_9)) - (portRef R (instanceRef mux_p_5_10)) - (portRef R (instanceRef mux_p_5_11)) - (portRef R (instanceRef mux_p_5_12)) - (portRef R (instanceRef mux_p_5_13)) - (portRef R (instanceRef mux_p_5_14)) - (portRef R (instanceRef mux_p_5_15)) - (portRef R (instanceRef mux_p_6_0)) - (portRef R (instanceRef mux_p_6_1)) - (portRef R (instanceRef mux_p_6_2)) - (portRef R (instanceRef mux_p_6_3)) - (portRef R (instanceRef mux_p_6_4)) - (portRef R (instanceRef mux_p_6_5)) - (portRef R (instanceRef mux_p_6_6)) - (portRef R (instanceRef mux_p_6_7)) - (portRef R (instanceRef mux_p_6_8)) - (portRef R (instanceRef mux_p_6_9)) - (portRef R (instanceRef mux_p_6_10)) - (portRef R (instanceRef mux_p_6_11)) - (portRef R (instanceRef mux_p_6_12)) - (portRef R (instanceRef mux_p_6_13)) - (portRef R (instanceRef mux_p_6_14)) - (portRef R (instanceRef mux_p_6_15)) - (portRef R (instanceRef mux_p_7_0)) - (portRef R (instanceRef mux_p_7_1)) - (portRef R (instanceRef mux_p_7_2)) - (portRef R (instanceRef mux_p_7_3)) - (portRef R (instanceRef mux_p_7_4)) - (portRef R (instanceRef mux_p_7_5)) - (portRef R (instanceRef mux_p_7_6)) - (portRef R (instanceRef mux_p_7_7)) - (portRef R (instanceRef mux_p_7_8)) - (portRef R (instanceRef mux_p_7_9)) - (portRef R (instanceRef mux_p_7_10)) - (portRef R (instanceRef mux_p_7_11)) - (portRef R (instanceRef mux_p_7_12)) - (portRef R (instanceRef mux_p_7_13)) - (portRef R (instanceRef mux_p_7_14)) - (portRef R (instanceRef mux_p_7_15)) - )) - (net (rename dout_7_14 "dout_7[14]") (joined + (net (rename doutni_7_14 "doutNI_7[14]") (joined (portRef Q (instanceRef mux_p_7_14)) - (portRef (member dout_7 1)) + (portRef (member doutNI_7 1)) )) (net (rename dout_r7Z0Z_14 "dout_r7[14]") (joined (portRef Q (instanceRef dout_r7_14)) (portRef D (instanceRef mux_p_7_14)) )) - (net (rename dout_7_13 "dout_7[13]") (joined + (net (rename doutni_7_13 "doutNI_7[13]") (joined (portRef Q (instanceRef mux_p_7_13)) - (portRef (member dout_7 2)) + (portRef (member doutNI_7 2)) )) (net (rename dout_r7Z0Z_13 "dout_r7[13]") (joined (portRef Q (instanceRef dout_r7_13)) (portRef D (instanceRef mux_p_7_13)) )) - (net (rename dout_7_12 "dout_7[12]") (joined + (net (rename doutni_7_12 "doutNI_7[12]") (joined (portRef Q (instanceRef mux_p_7_12)) - (portRef (member dout_7 3)) + (portRef (member doutNI_7 3)) )) (net (rename dout_r7Z0Z_12 "dout_r7[12]") (joined (portRef Q (instanceRef dout_r7_12)) (portRef D (instanceRef mux_p_7_12)) )) - (net (rename dout_7_11 "dout_7[11]") (joined + (net (rename doutni_7_11 "doutNI_7[11]") (joined (portRef Q (instanceRef mux_p_7_11)) - (portRef (member dout_7 4)) + (portRef (member doutNI_7 4)) )) (net (rename dout_r7Z0Z_11 "dout_r7[11]") (joined (portRef Q (instanceRef dout_r7_11)) (portRef D (instanceRef mux_p_7_11)) )) - (net (rename dout_7_10 "dout_7[10]") (joined + (net (rename doutni_7_10 "doutNI_7[10]") (joined (portRef Q (instanceRef mux_p_7_10)) - (portRef (member dout_7 5)) + (portRef (member doutNI_7 5)) )) (net (rename dout_r7Z0Z_10 "dout_r7[10]") (joined (portRef Q (instanceRef dout_r7_10)) (portRef D (instanceRef mux_p_7_10)) )) - (net (rename dout_7_9 "dout_7[9]") (joined + (net (rename doutni_7_9 "doutNI_7[9]") (joined (portRef Q (instanceRef mux_p_7_9)) - (portRef (member dout_7 6)) + (portRef (member doutNI_7 6)) )) (net (rename dout_r7Z0Z_9 "dout_r7[9]") (joined (portRef Q (instanceRef dout_r7_9)) (portRef D (instanceRef mux_p_7_9)) )) - (net (rename dout_7_8 "dout_7[8]") (joined + (net (rename doutni_7_8 "doutNI_7[8]") (joined (portRef Q (instanceRef mux_p_7_8)) - (portRef (member dout_7 7)) + (portRef (member doutNI_7 7)) )) (net (rename dout_r7Z0Z_8 "dout_r7[8]") (joined (portRef Q (instanceRef dout_r7_8)) (portRef D (instanceRef mux_p_7_8)) )) - (net (rename dout_7_7 "dout_7[7]") (joined + (net (rename doutni_7_7 "doutNI_7[7]") (joined (portRef Q (instanceRef mux_p_7_7)) - (portRef (member dout_7 8)) + (portRef (member doutNI_7 8)) )) (net (rename dout_r7Z0Z_7 "dout_r7[7]") (joined (portRef Q (instanceRef dout_r7_7)) (portRef D (instanceRef mux_p_7_7)) )) - (net (rename dout_7_6 "dout_7[6]") (joined + (net (rename doutni_7_6 "doutNI_7[6]") (joined (portRef Q (instanceRef mux_p_7_6)) - (portRef (member dout_7 9)) + (portRef (member doutNI_7 9)) )) (net (rename dout_r7Z0Z_6 "dout_r7[6]") (joined (portRef Q (instanceRef dout_r7_6)) (portRef D (instanceRef mux_p_7_6)) )) - (net (rename dout_7_5 "dout_7[5]") (joined + (net (rename doutni_7_5 "doutNI_7[5]") (joined (portRef Q (instanceRef mux_p_7_5)) - (portRef (member dout_7 10)) + (portRef (member doutNI_7 10)) )) (net (rename dout_r7Z0Z_5 "dout_r7[5]") (joined (portRef Q (instanceRef dout_r7_5)) (portRef D (instanceRef mux_p_7_5)) )) - (net (rename dout_7_4 "dout_7[4]") (joined + (net (rename doutni_7_4 "doutNI_7[4]") (joined (portRef Q (instanceRef mux_p_7_4)) - (portRef (member dout_7 11)) + (portRef (member doutNI_7 11)) )) (net (rename dout_r7Z0Z_4 "dout_r7[4]") (joined (portRef Q (instanceRef dout_r7_4)) (portRef D (instanceRef mux_p_7_4)) )) - (net (rename dout_7_3 "dout_7[3]") (joined + (net (rename doutni_7_3 "doutNI_7[3]") (joined (portRef Q (instanceRef mux_p_7_3)) - (portRef (member dout_7 12)) + (portRef (member doutNI_7 12)) )) (net (rename dout_r7Z0Z_3 "dout_r7[3]") (joined (portRef Q (instanceRef dout_r7_3)) (portRef D (instanceRef mux_p_7_3)) )) - (net (rename dout_7_2 "dout_7[2]") (joined + (net (rename doutni_7_2 "doutNI_7[2]") (joined (portRef Q (instanceRef mux_p_7_2)) - (portRef (member dout_7 13)) + (portRef (member doutNI_7 13)) )) (net (rename dout_r7Z0Z_2 "dout_r7[2]") (joined (portRef Q (instanceRef dout_r7_2)) (portRef D (instanceRef mux_p_7_2)) )) - (net (rename dout_7_1 "dout_7[1]") (joined + (net (rename doutni_7_1 "doutNI_7[1]") (joined (portRef Q (instanceRef mux_p_7_1)) - (portRef (member dout_7 14)) + (portRef (member doutNI_7 14)) )) (net (rename dout_r7Z0Z_1 "dout_r7[1]") (joined (portRef Q (instanceRef dout_r7_1)) (portRef D (instanceRef mux_p_7_1)) )) - (net (rename dout_7_0 "dout_7[0]") (joined + (net (rename doutni_7_0 "doutNI_7[0]") (joined (portRef Q (instanceRef mux_p_7_0)) - (portRef (member dout_7 15)) + (portRef (member doutNI_7 15)) )) - (net (rename dout_r7Z0Z_0 "dout_r7[0]") (joined - (portRef Q (instanceRef dout_r7_0)) - (portRef D (instanceRef mux_p_7_0)) - )) - (net (rename dout_6_15 "dout_6[15]") (joined + (net (rename doutni_6_15 "doutNI_6[15]") (joined (portRef Q (instanceRef mux_p_6_15)) - (portRef (member dout_6 0)) + (portRef (member doutNI_6 0)) )) (net (rename dout_r6Z0Z_15 "dout_r6[15]") (joined (portRef Q (instanceRef dout_r6_15)) (portRef I2 (instanceRef mux_p_3_6_0_15)) (portRef D (instanceRef mux_p_6_15)) )) - (net (rename dout_6_14 "dout_6[14]") (joined + (net (rename doutni_6_14 "doutNI_6[14]") (joined (portRef Q (instanceRef mux_p_6_14)) - (portRef (member dout_6 1)) + (portRef (member doutNI_6 1)) )) (net (rename dout_r6Z0Z_14 "dout_r6[14]") (joined (portRef Q (instanceRef dout_r6_14)) (portRef I2 (instanceRef mux_p_3_6_0_14)) (portRef D (instanceRef mux_p_6_14)) )) - (net (rename dout_6_13 "dout_6[13]") (joined + (net (rename doutni_6_13 "doutNI_6[13]") (joined (portRef Q (instanceRef mux_p_6_13)) - (portRef (member dout_6 2)) + (portRef (member doutNI_6 2)) )) (net (rename dout_r6Z0Z_13 "dout_r6[13]") (joined (portRef Q (instanceRef dout_r6_13)) (portRef I2 (instanceRef mux_p_3_6_0_13)) (portRef D (instanceRef mux_p_6_13)) )) - (net (rename dout_6_12 "dout_6[12]") (joined + (net (rename doutni_6_12 "doutNI_6[12]") (joined (portRef Q (instanceRef mux_p_6_12)) - (portRef (member dout_6 3)) + (portRef (member doutNI_6 3)) )) (net (rename dout_r6Z0Z_12 "dout_r6[12]") (joined (portRef Q (instanceRef dout_r6_12)) (portRef I2 (instanceRef mux_p_3_6_0_12)) (portRef D (instanceRef mux_p_6_12)) )) - (net (rename dout_6_11 "dout_6[11]") (joined + (net (rename doutni_6_11 "doutNI_6[11]") (joined (portRef Q (instanceRef mux_p_6_11)) - (portRef (member dout_6 4)) + (portRef (member doutNI_6 4)) )) (net (rename dout_r6Z0Z_11 "dout_r6[11]") (joined (portRef Q (instanceRef dout_r6_11)) (portRef I2 (instanceRef mux_p_3_6_0_11)) (portRef D (instanceRef mux_p_6_11)) )) - (net (rename dout_6_10 "dout_6[10]") (joined + (net (rename doutni_6_10 "doutNI_6[10]") (joined (portRef Q (instanceRef mux_p_6_10)) - (portRef (member dout_6 5)) + (portRef (member doutNI_6 5)) )) (net (rename dout_r6Z0Z_10 "dout_r6[10]") (joined (portRef Q (instanceRef dout_r6_10)) (portRef I2 (instanceRef mux_p_3_6_0_10)) (portRef D (instanceRef mux_p_6_10)) )) - (net (rename dout_6_9 "dout_6[9]") (joined + (net (rename doutni_6_9 "doutNI_6[9]") (joined (portRef Q (instanceRef mux_p_6_9)) - (portRef (member dout_6 6)) + (portRef (member doutNI_6 6)) )) (net (rename dout_r6Z0Z_9 "dout_r6[9]") (joined (portRef Q (instanceRef dout_r6_9)) (portRef I2 (instanceRef mux_p_3_6_0_9)) (portRef D (instanceRef mux_p_6_9)) )) - (net (rename dout_6_8 "dout_6[8]") (joined + (net (rename doutni_6_8 "doutNI_6[8]") (joined (portRef Q (instanceRef mux_p_6_8)) - (portRef (member dout_6 7)) + (portRef (member doutNI_6 7)) )) (net (rename dout_r6Z0Z_8 "dout_r6[8]") (joined (portRef Q (instanceRef dout_r6_8)) (portRef I2 (instanceRef mux_p_3_6_0_8)) (portRef D (instanceRef mux_p_6_8)) )) - (net (rename dout_6_7 "dout_6[7]") (joined + (net (rename doutni_6_7 "doutNI_6[7]") (joined (portRef Q (instanceRef mux_p_6_7)) - (portRef (member dout_6 8)) + (portRef (member doutNI_6 8)) )) (net (rename dout_r6Z0Z_7 "dout_r6[7]") (joined (portRef Q (instanceRef dout_r6_7)) (portRef I2 (instanceRef mux_p_3_6_0_7)) (portRef D (instanceRef mux_p_6_7)) )) - (net (rename dout_6_6 "dout_6[6]") (joined + (net (rename doutni_6_6 "doutNI_6[6]") (joined (portRef Q (instanceRef mux_p_6_6)) - (portRef (member dout_6 9)) + (portRef (member doutNI_6 9)) )) (net (rename dout_r6Z0Z_6 "dout_r6[6]") (joined (portRef Q (instanceRef dout_r6_6)) (portRef I2 (instanceRef mux_p_3_6_0_6)) (portRef D (instanceRef mux_p_6_6)) )) - (net (rename dout_6_5 "dout_6[5]") (joined + (net (rename doutni_6_5 "doutNI_6[5]") (joined (portRef Q (instanceRef mux_p_6_5)) - (portRef (member dout_6 10)) + (portRef (member doutNI_6 10)) )) (net (rename dout_r6Z0Z_5 "dout_r6[5]") (joined (portRef Q (instanceRef dout_r6_5)) (portRef I2 (instanceRef mux_p_3_6_0_5)) (portRef D (instanceRef mux_p_6_5)) )) - (net (rename dout_6_4 "dout_6[4]") (joined + (net (rename doutni_6_4 "doutNI_6[4]") (joined (portRef Q (instanceRef mux_p_6_4)) - (portRef (member dout_6 11)) + (portRef (member doutNI_6 11)) )) (net (rename dout_r6Z0Z_4 "dout_r6[4]") (joined (portRef Q (instanceRef dout_r6_4)) (portRef I2 (instanceRef mux_p_3_6_0_4)) (portRef D (instanceRef mux_p_6_4)) )) - (net (rename dout_6_3 "dout_6[3]") (joined + (net (rename doutni_6_3 "doutNI_6[3]") (joined (portRef Q (instanceRef mux_p_6_3)) - (portRef (member dout_6 12)) + (portRef (member doutNI_6 12)) )) (net (rename dout_r6Z0Z_3 "dout_r6[3]") (joined (portRef Q (instanceRef dout_r6_3)) (portRef I2 (instanceRef mux_p_3_6_0_3)) (portRef D (instanceRef mux_p_6_3)) )) - (net (rename dout_6_2 "dout_6[2]") (joined + (net (rename doutni_6_2 "doutNI_6[2]") (joined (portRef Q (instanceRef mux_p_6_2)) - (portRef (member dout_6 13)) + (portRef (member doutNI_6 13)) )) (net (rename dout_r6Z0Z_2 "dout_r6[2]") (joined (portRef Q (instanceRef dout_r6_2)) (portRef I2 (instanceRef mux_p_3_6_0_2)) (portRef D (instanceRef mux_p_6_2)) )) - (net (rename dout_6_1 "dout_6[1]") (joined + (net (rename doutni_6_1 "doutNI_6[1]") (joined (portRef Q (instanceRef mux_p_6_1)) - (portRef (member dout_6 14)) + (portRef (member doutNI_6 14)) )) (net (rename dout_r6Z0Z_1 "dout_r6[1]") (joined (portRef Q (instanceRef dout_r6_1)) (portRef I2 (instanceRef mux_p_3_6_0_1)) (portRef D (instanceRef mux_p_6_1)) )) - (net (rename dout_6_0 "dout_6[0]") (joined + (net (rename doutni_6_0 "doutNI_6[0]") (joined (portRef Q (instanceRef mux_p_6_0)) - (portRef (member dout_6 15)) + (portRef (member doutNI_6 15)) )) (net (rename dout_r6Z0Z_0 "dout_r6[0]") (joined (portRef Q (instanceRef dout_r6_0)) (portRef I2 (instanceRef mux_p_3_6_0_0)) (portRef D (instanceRef mux_p_6_0)) )) - (net (rename dout_5_15 "dout_5[15]") (joined - (portRef Q (instanceRef mux_p_5_15)) - (portRef (member dout_5 0)) - )) - (net (rename dout_r5Z0Z_15 "dout_r5[15]") (joined - (portRef Q (instanceRef dout_r5_15)) - (portRef D (instanceRef mux_p_5_15)) - )) - (net (rename dout_5_14 "dout_5[14]") (joined - (portRef Q (instanceRef mux_p_5_14)) - (portRef (member dout_5 1)) - )) - (net (rename dout_r5Z0Z_14 "dout_r5[14]") (joined - (portRef Q (instanceRef dout_r5_14)) - (portRef D (instanceRef mux_p_5_14)) - )) - (net (rename dout_5_13 "dout_5[13]") (joined - (portRef Q (instanceRef mux_p_5_13)) - (portRef (member dout_5 2)) - )) - (net (rename dout_r5Z0Z_13 "dout_r5[13]") (joined - (portRef Q (instanceRef dout_r5_13)) - (portRef D (instanceRef mux_p_5_13)) - )) - (net (rename dout_5_12 "dout_5[12]") (joined - (portRef Q (instanceRef mux_p_5_12)) - (portRef (member dout_5 3)) - )) - (net (rename dout_r5Z0Z_12 "dout_r5[12]") (joined - (portRef Q (instanceRef dout_r5_12)) - (portRef D (instanceRef mux_p_5_12)) - )) - (net (rename dout_5_11 "dout_5[11]") (joined + (net (rename doutni_5_11 "doutNI_5[11]") (joined (portRef Q (instanceRef mux_p_5_11)) - (portRef (member dout_5 4)) + (portRef (member doutNI_5 4)) )) (net (rename dout_r5Z0Z_11 "dout_r5[11]") (joined (portRef Q (instanceRef dout_r5_11)) (portRef D (instanceRef mux_p_5_11)) )) - (net (rename dout_5_10 "dout_5[10]") (joined + (net (rename doutni_5_10 "doutNI_5[10]") (joined (portRef Q (instanceRef mux_p_5_10)) - (portRef (member dout_5 5)) + (portRef (member doutNI_5 5)) )) (net (rename dout_r5Z0Z_10 "dout_r5[10]") (joined (portRef Q (instanceRef dout_r5_10)) (portRef D (instanceRef mux_p_5_10)) )) - (net (rename dout_5_9 "dout_5[9]") (joined + (net (rename doutni_5_9 "doutNI_5[9]") (joined (portRef Q (instanceRef mux_p_5_9)) - (portRef (member dout_5 6)) + (portRef (member doutNI_5 6)) )) (net (rename dout_r5Z0Z_9 "dout_r5[9]") (joined (portRef Q (instanceRef dout_r5_9)) (portRef D (instanceRef mux_p_5_9)) )) - (net (rename dout_5_8 "dout_5[8]") (joined + (net (rename doutni_5_8 "doutNI_5[8]") (joined (portRef Q (instanceRef mux_p_5_8)) - (portRef (member dout_5 7)) + (portRef (member doutNI_5 7)) )) (net (rename dout_r5Z0Z_8 "dout_r5[8]") (joined (portRef Q (instanceRef dout_r5_8)) (portRef D (instanceRef mux_p_5_8)) )) - (net (rename dout_5_7 "dout_5[7]") (joined + (net (rename doutni_5_7 "doutNI_5[7]") (joined (portRef Q (instanceRef mux_p_5_7)) - (portRef (member dout_5 8)) + (portRef (member doutNI_5 8)) )) (net (rename dout_r5Z0Z_7 "dout_r5[7]") (joined (portRef Q (instanceRef dout_r5_7)) (portRef D (instanceRef mux_p_5_7)) )) - (net (rename dout_5_6 "dout_5[6]") (joined + (net (rename doutni_5_6 "doutNI_5[6]") (joined (portRef Q (instanceRef mux_p_5_6)) - (portRef (member dout_5 9)) + (portRef (member doutNI_5 9)) )) (net (rename dout_r5Z0Z_6 "dout_r5[6]") (joined (portRef Q (instanceRef dout_r5_6)) (portRef D (instanceRef mux_p_5_6)) )) - (net (rename dout_5_5 "dout_5[5]") (joined + (net (rename doutni_5_5 "doutNI_5[5]") (joined (portRef Q (instanceRef mux_p_5_5)) - (portRef (member dout_5 10)) + (portRef (member doutNI_5 10)) )) (net (rename dout_r5Z0Z_5 "dout_r5[5]") (joined (portRef Q (instanceRef dout_r5_5)) (portRef D (instanceRef mux_p_5_5)) )) - (net (rename dout_5_4 "dout_5[4]") (joined + (net (rename doutni_5_4 "doutNI_5[4]") (joined (portRef Q (instanceRef mux_p_5_4)) - (portRef (member dout_5 11)) + (portRef (member doutNI_5 11)) )) (net (rename dout_r5Z0Z_4 "dout_r5[4]") (joined (portRef Q (instanceRef dout_r5_4)) (portRef D (instanceRef mux_p_5_4)) )) - (net (rename dout_5_3 "dout_5[3]") (joined + (net (rename doutni_5_3 "doutNI_5[3]") (joined (portRef Q (instanceRef mux_p_5_3)) - (portRef (member dout_5 12)) + (portRef (member doutNI_5 12)) )) (net (rename dout_r5Z0Z_3 "dout_r5[3]") (joined (portRef Q (instanceRef dout_r5_3)) (portRef D (instanceRef mux_p_5_3)) )) - (net (rename dout_5_2 "dout_5[2]") (joined + (net (rename doutni_5_2 "doutNI_5[2]") (joined (portRef Q (instanceRef mux_p_5_2)) - (portRef (member dout_5 13)) + (portRef (member doutNI_5 13)) )) (net (rename dout_r5Z0Z_2 "dout_r5[2]") (joined (portRef Q (instanceRef dout_r5_2)) (portRef D (instanceRef mux_p_5_2)) )) - (net (rename dout_5_1 "dout_5[1]") (joined + (net (rename doutni_5_1 "doutNI_5[1]") (joined (portRef Q (instanceRef mux_p_5_1)) - (portRef (member dout_5 14)) + (portRef (member doutNI_5 14)) )) (net (rename dout_r5Z0Z_1 "dout_r5[1]") (joined (portRef Q (instanceRef dout_r5_1)) (portRef D (instanceRef mux_p_5_1)) )) - (net (rename dout_5_0 "dout_5[0]") (joined + (net (rename doutni_5_0 "doutNI_5[0]") (joined (portRef Q (instanceRef mux_p_5_0)) - (portRef (member dout_5 15)) + (portRef (member doutNI_5 15)) )) (net (rename dout_r5Z0Z_0 "dout_r5[0]") (joined (portRef Q (instanceRef dout_r5_0)) (portRef D (instanceRef mux_p_5_0)) )) - (net (rename dout_4_15 "dout_4[15]") (joined - (portRef Q (instanceRef mux_p_4_15)) - (portRef (member dout_4 0)) - )) - (net (rename dout_r4Z0Z_15 "dout_r4[15]") (joined - (portRef Q (instanceRef dout_r4_15)) - (portRef I2 (instanceRef mux_p_2_6_0_15)) - (portRef I4 (instanceRef mux_p_1_7_3_15)) - (portRef D (instanceRef mux_p_4_15)) - )) - (net (rename dout_4_14 "dout_4[14]") (joined - (portRef Q (instanceRef mux_p_4_14)) - (portRef (member dout_4 1)) - )) - (net (rename dout_r4Z0Z_14 "dout_r4[14]") (joined - (portRef Q (instanceRef dout_r4_14)) - (portRef I2 (instanceRef mux_p_2_6_0_14)) - (portRef I4 (instanceRef mux_p_1_7_3_14)) - (portRef D (instanceRef mux_p_4_14)) - )) - (net (rename dout_4_13 "dout_4[13]") (joined - (portRef Q (instanceRef mux_p_4_13)) - (portRef (member dout_4 2)) - )) - (net (rename dout_r4Z0Z_13 "dout_r4[13]") (joined - (portRef Q (instanceRef dout_r4_13)) - (portRef I2 (instanceRef mux_p_2_6_0_13)) - (portRef I3 (instanceRef mux_p_1_7_3_13)) - (portRef D (instanceRef mux_p_4_13)) - )) - (net (rename dout_4_12 "dout_4[12]") (joined - (portRef Q (instanceRef mux_p_4_12)) - (portRef (member dout_4 3)) - )) - (net (rename dout_r4Z0Z_12 "dout_r4[12]") (joined - (portRef Q (instanceRef dout_r4_12)) - (portRef I2 (instanceRef mux_p_2_6_0_12)) - (portRef I4 (instanceRef mux_p_1_7_3_12)) - (portRef D (instanceRef mux_p_4_12)) - )) - (net (rename dout_4_11 "dout_4[11]") (joined + (net (rename doutni_4_11 "doutNI_4[11]") (joined (portRef Q (instanceRef mux_p_4_11)) - (portRef (member dout_4 4)) + (portRef (member doutNI_4 4)) )) (net (rename dout_r4Z0Z_11 "dout_r4[11]") (joined (portRef Q (instanceRef dout_r4_11)) (portRef I2 (instanceRef mux_p_2_6_0_11)) - (portRef I3 (instanceRef mux_p_1_7_3_11)) + (portRef I4 (instanceRef mux_p_1_7_3_11)) (portRef D (instanceRef mux_p_4_11)) )) - (net (rename dout_4_10 "dout_4[10]") (joined + (net (rename doutni_4_10 "doutNI_4[10]") (joined (portRef Q (instanceRef mux_p_4_10)) - (portRef (member dout_4 5)) + (portRef (member doutNI_4 5)) )) (net (rename dout_r4Z0Z_10 "dout_r4[10]") (joined (portRef Q (instanceRef dout_r4_10)) (portRef I2 (instanceRef mux_p_2_6_0_10)) - (portRef I3 (instanceRef mux_p_1_7_3_10)) + (portRef I4 (instanceRef mux_p_1_7_3_10)) (portRef D (instanceRef mux_p_4_10)) )) - (net (rename dout_4_9 "dout_4[9]") (joined + (net (rename doutni_4_9 "doutNI_4[9]") (joined (portRef Q (instanceRef mux_p_4_9)) - (portRef (member dout_4 6)) + (portRef (member doutNI_4 6)) )) (net (rename dout_r4Z0Z_9 "dout_r4[9]") (joined (portRef Q (instanceRef dout_r4_9)) @@ -71723,9 +71900,9 @@ (portRef I4 (instanceRef mux_p_1_7_3_9)) (portRef D (instanceRef mux_p_4_9)) )) - (net (rename dout_4_8 "dout_4[8]") (joined + (net (rename doutni_4_8 "doutNI_4[8]") (joined (portRef Q (instanceRef mux_p_4_8)) - (portRef (member dout_4 7)) + (portRef (member doutNI_4 7)) )) (net (rename dout_r4Z0Z_8 "dout_r4[8]") (joined (portRef Q (instanceRef dout_r4_8)) @@ -71733,9 +71910,9 @@ (portRef I4 (instanceRef mux_p_1_7_3_8)) (portRef D (instanceRef mux_p_4_8)) )) - (net (rename dout_4_7 "dout_4[7]") (joined + (net (rename doutni_4_7 "doutNI_4[7]") (joined (portRef Q (instanceRef mux_p_4_7)) - (portRef (member dout_4 8)) + (portRef (member doutNI_4 8)) )) (net (rename dout_r4Z0Z_7 "dout_r4[7]") (joined (portRef Q (instanceRef dout_r4_7)) @@ -71743,19 +71920,19 @@ (portRef I4 (instanceRef mux_p_1_7_3_7)) (portRef D (instanceRef mux_p_4_7)) )) - (net (rename dout_4_6 "dout_4[6]") (joined + (net (rename doutni_4_6 "doutNI_4[6]") (joined (portRef Q (instanceRef mux_p_4_6)) - (portRef (member dout_4 9)) + (portRef (member doutNI_4 9)) )) (net (rename dout_r4Z0Z_6 "dout_r4[6]") (joined (portRef Q (instanceRef dout_r4_6)) (portRef I2 (instanceRef mux_p_2_6_0_6)) - (portRef I3 (instanceRef mux_p_1_7_3_6)) + (portRef I4 (instanceRef mux_p_1_7_3_6)) (portRef D (instanceRef mux_p_4_6)) )) - (net (rename dout_4_5 "dout_4[5]") (joined + (net (rename doutni_4_5 "doutNI_4[5]") (joined (portRef Q (instanceRef mux_p_4_5)) - (portRef (member dout_4 10)) + (portRef (member doutNI_4 10)) )) (net (rename dout_r4Z0Z_5 "dout_r4[5]") (joined (portRef Q (instanceRef dout_r4_5)) @@ -71763,19 +71940,19 @@ (portRef I4 (instanceRef mux_p_1_7_3_5)) (portRef D (instanceRef mux_p_4_5)) )) - (net (rename dout_4_4 "dout_4[4]") (joined + (net (rename doutni_4_4 "doutNI_4[4]") (joined (portRef Q (instanceRef mux_p_4_4)) - (portRef (member dout_4 11)) + (portRef (member doutNI_4 11)) )) (net (rename dout_r4Z0Z_4 "dout_r4[4]") (joined (portRef Q (instanceRef dout_r4_4)) (portRef I2 (instanceRef mux_p_2_6_0_4)) - (portRef I4 (instanceRef mux_p_1_7_3_4)) + (portRef I3 (instanceRef mux_p_1_7_3_4)) (portRef D (instanceRef mux_p_4_4)) )) - (net (rename dout_4_3 "dout_4[3]") (joined + (net (rename doutni_4_3 "doutNI_4[3]") (joined (portRef Q (instanceRef mux_p_4_3)) - (portRef (member dout_4 12)) + (portRef (member doutNI_4 12)) )) (net (rename dout_r4Z0Z_3 "dout_r4[3]") (joined (portRef Q (instanceRef dout_r4_3)) @@ -71783,9 +71960,9 @@ (portRef I4 (instanceRef mux_p_1_7_3_3)) (portRef D (instanceRef mux_p_4_3)) )) - (net (rename dout_4_2 "dout_4[2]") (joined + (net (rename doutni_4_2 "doutNI_4[2]") (joined (portRef Q (instanceRef mux_p_4_2)) - (portRef (member dout_4 13)) + (portRef (member doutNI_4 13)) )) (net (rename dout_r4Z0Z_2 "dout_r4[2]") (joined (portRef Q (instanceRef dout_r4_2)) @@ -71793,9 +71970,9 @@ (portRef I4 (instanceRef mux_p_1_7_3_2)) (portRef D (instanceRef mux_p_4_2)) )) - (net (rename dout_4_1 "dout_4[1]") (joined + (net (rename doutni_4_1 "doutNI_4[1]") (joined (portRef Q (instanceRef mux_p_4_1)) - (portRef (member dout_4 14)) + (portRef (member doutNI_4 14)) )) (net (rename dout_r4Z0Z_1 "dout_r4[1]") (joined (portRef Q (instanceRef dout_r4_1)) @@ -71803,9 +71980,9 @@ (portRef I4 (instanceRef mux_p_1_7_3_1)) (portRef D (instanceRef mux_p_4_1)) )) - (net (rename dout_4_0 "dout_4[0]") (joined + (net (rename doutni_4_0 "doutNI_4[0]") (joined (portRef Q (instanceRef mux_p_4_0)) - (portRef (member dout_4 15)) + (portRef (member doutNI_4 15)) )) (net (rename dout_r4Z0Z_0 "dout_r4[0]") (joined (portRef Q (instanceRef dout_r4_0)) @@ -71847,7 +72024,7 @@ (portRef I0 (instanceRef mux_p_2_6_0_0)) (portRef I0 (instanceRef mux_p_2_6_0_14)) (portRef I0 (instanceRef mux_p_2_6_0_15)) - (portRef I0 (instanceRef mux_p_7_198)) + (portRef I0 (instanceRef mux_p_7Z0Z_6)) (portRef I0 (instanceRef mux_p_1_7_3_1)) (portRef I0 (instanceRef mux_p_1_7_3_2)) (portRef I0 (instanceRef mux_p_1_7_3_3)) @@ -71867,8 +72044,8 @@ )) (net (rename intp_mode_1 "intp_mode[1]") (joined (portRef (member intp_mode 0)) - (portRef I0 (instanceRef mux_p_3_196)) - (portRef I1 (instanceRef mux_p_7_198)) + (portRef I0 (instanceRef mux_p_3Z0Z_4)) + (portRef I1 (instanceRef mux_p_7Z0Z_6)) (portRef I1 (instanceRef mux_p_1_7_3_1)) (portRef I1 (instanceRef mux_p_1_7_3_2)) (portRef I1 (instanceRef mux_p_1_7_3_3)) @@ -71893,7 +72070,7 @@ (net (rename dout_r2Z0Z_15 "dout_r2[15]") (joined (portRef Q (instanceRef dout_r2_15)) (portRef I1 (instanceRef mux_p_2_6_0_15)) - (portRef I3 (instanceRef mux_p_1_7_3_15)) + (portRef I4 (instanceRef mux_p_1_7_3_15)) )) (net (rename dout_r1Z0Z_14 "dout_r1[14]") (joined (portRef Q (instanceRef dout_r1_14)) @@ -71902,7 +72079,7 @@ (net (rename dout_r2Z0Z_14 "dout_r2[14]") (joined (portRef Q (instanceRef dout_r2_14)) (portRef I1 (instanceRef mux_p_2_6_0_14)) - (portRef I3 (instanceRef mux_p_1_7_3_14)) + (portRef I4 (instanceRef mux_p_1_7_3_14)) )) (net (rename dout_r1Z0Z_13 "dout_r1[13]") (joined (portRef Q (instanceRef dout_r1_13)) @@ -71920,7 +72097,7 @@ (net (rename dout_r2Z0Z_12 "dout_r2[12]") (joined (portRef Q (instanceRef dout_r2_12)) (portRef I1 (instanceRef mux_p_2_6_0_12)) - (portRef I3 (instanceRef mux_p_1_7_3_12)) + (portRef I4 (instanceRef mux_p_1_7_3_12)) )) (net (rename dout_r1Z0Z_11 "dout_r1[11]") (joined (portRef Q (instanceRef dout_r1_11)) @@ -71929,7 +72106,7 @@ (net (rename dout_r2Z0Z_11 "dout_r2[11]") (joined (portRef Q (instanceRef dout_r2_11)) (portRef I1 (instanceRef mux_p_2_6_0_11)) - (portRef I4 (instanceRef mux_p_1_7_3_11)) + (portRef I3 (instanceRef mux_p_1_7_3_11)) )) (net (rename dout_r1Z0Z_10 "dout_r1[10]") (joined (portRef Q (instanceRef dout_r1_10)) @@ -71938,7 +72115,7 @@ (net (rename dout_r2Z0Z_10 "dout_r2[10]") (joined (portRef Q (instanceRef dout_r2_10)) (portRef I1 (instanceRef mux_p_2_6_0_10)) - (portRef I4 (instanceRef mux_p_1_7_3_10)) + (portRef I3 (instanceRef mux_p_1_7_3_10)) )) (net (rename dout_r1Z0Z_9 "dout_r1[9]") (joined (portRef Q (instanceRef dout_r1_9)) @@ -71974,7 +72151,7 @@ (net (rename dout_r2Z0Z_6 "dout_r2[6]") (joined (portRef Q (instanceRef dout_r2_6)) (portRef I1 (instanceRef mux_p_2_6_0_6)) - (portRef I4 (instanceRef mux_p_1_7_3_6)) + (portRef I3 (instanceRef mux_p_1_7_3_6)) )) (net (rename dout_r1Z0Z_0 "dout_r1[0]") (joined (portRef Q (instanceRef dout_r1_0)) @@ -72001,7 +72178,7 @@ (net (rename dout_r2Z0Z_4 "dout_r2[4]") (joined (portRef Q (instanceRef dout_r2_4)) (portRef I1 (instanceRef mux_p_2_6_0_4)) - (portRef I3 (instanceRef mux_p_1_7_3_4)) + (portRef I4 (instanceRef mux_p_1_7_3_4)) )) (net (rename dout_r1Z0Z_3 "dout_r1[3]") (joined (portRef Q (instanceRef dout_r1_3)) @@ -72032,8 +72209,8 @@ )) (net rstn (joined (portRef rstn) - (portRef I1 (instanceRef mux_p_3_196)) - (portRef I2 (instanceRef mux_p_7_198)) + (portRef I1 (instanceRef mux_p_3Z0Z_4)) + (portRef I2 (instanceRef mux_p_7Z0Z_6)) )) (net (rename un3_dout_r6_0_o5Z0Z_2 "un3_dout_r6_0_o5_2") (joined (portRef O (instanceRef un3_dout_r6_0_o5_2)) @@ -72433,34 +72610,14 @@ (portRef O (instanceRef un4_dout_r7_5_axb_15)) (portRef (member S 0) (instanceRef un4_dout_r7_5_s_15)) )) - (net (rename un2_dout_r4_axbZ0Z_1 "un2_dout_r4_axb_1") (joined - (portRef LO (instanceRef un2_dout_r4_axb_1)) - (portRef (member S 2) (instanceRef un2_dout_r4_cry_3)) - )) - (net (rename un2_dout_r2_axbZ0Z_10 "un2_dout_r2_axb_10") (joined - (portRef LO (instanceRef un2_dout_r2_axb_10)) - (portRef (member S 1) (instanceRef un2_dout_r2_cry_11)) - )) (net (rename un3_dout_r6_0_axbZ0Z_8 "un3_dout_r6_0_axb_8") (joined (portRef LO (instanceRef un3_dout_r6_0_axb_8)) (portRef (member S 3) (instanceRef un3_dout_r6_0_cry_11)) )) - (net (rename un3_dout_r6_0_axbZ0Z_11 "un3_dout_r6_0_axb_11") (joined - (portRef LO (instanceRef un3_dout_r6_0_axb_11)) - (portRef (member S 0) (instanceRef un3_dout_r6_0_cry_11)) - )) - (net (rename un3_dout_r6_0_axbZ0Z_13 "un3_dout_r6_0_axb_13") (joined - (portRef LO (instanceRef un3_dout_r6_0_axb_13)) - (portRef (member S 2) (instanceRef un3_dout_r6_0_s_15)) - )) (net (rename un3_dout_r6_0_axbZ0Z_10 "un3_dout_r6_0_axb_10") (joined (portRef LO (instanceRef un3_dout_r6_0_axb_10)) (portRef (member S 1) (instanceRef un3_dout_r6_0_cry_11)) )) - (net (rename un3_dout_r6_0_axbZ0Z_9 "un3_dout_r6_0_axb_9") (joined - (portRef LO (instanceRef un3_dout_r6_0_axb_9)) - (portRef (member S 2) (instanceRef un3_dout_r6_0_cry_11)) - )) (net (rename un3_dout_r3_0_axbZ0Z_11 "un3_dout_r3_0_axb_11") (joined (portRef LO (instanceRef un3_dout_r3_0_axb_11)) (portRef (member S 0) (instanceRef un3_dout_r3_0_cry_11)) @@ -72469,30 +72626,18 @@ (portRef LO (instanceRef un3_dout_r3_0_axb_10)) (portRef (member S 1) (instanceRef un3_dout_r3_0_cry_11)) )) - (net (rename un3_dout_r3_0_axbZ0Z_9 "un3_dout_r3_0_axb_9") (joined - (portRef LO (instanceRef un3_dout_r3_0_axb_9)) - (portRef (member S 2) (instanceRef un3_dout_r3_0_cry_11)) - )) (net (rename un3_dout_r3_0_axbZ0Z_8 "un3_dout_r3_0_axb_8") (joined (portRef LO (instanceRef un3_dout_r3_0_axb_8)) (portRef (member S 3) (instanceRef un3_dout_r3_0_cry_11)) )) - (net (rename un3_dout_r3_0_axbZ0Z_7 "un3_dout_r3_0_axb_7") (joined - (portRef LO (instanceRef un3_dout_r3_0_axb_7)) - (portRef (member S 0) (instanceRef un3_dout_r3_0_cry_7)) - )) - (net (rename un3_dout_r3_0_axbZ0Z_5 "un3_dout_r3_0_axb_5") (joined - (portRef LO (instanceRef un3_dout_r3_0_axb_5)) - (portRef (member S 2) (instanceRef un3_dout_r3_0_cry_7)) - )) - (net (rename un3_dout_r3_0_axbZ0Z_4 "un3_dout_r3_0_axb_4") (joined - (portRef LO (instanceRef un3_dout_r3_0_axb_4)) - (portRef (member S 3) (instanceRef un3_dout_r3_0_cry_7)) - )) (net (rename un3_dout_r6_0_axbZ0Z_3 "un3_dout_r6_0_axb_3") (joined (portRef LO (instanceRef un3_dout_r6_0_axb_3)) (portRef (member S 0) (instanceRef un3_dout_r6_0_cry_3)) )) + (net (rename un3_dout_r6_0_axbZ0Z_5 "un3_dout_r6_0_axb_5") (joined + (portRef LO (instanceRef un3_dout_r6_0_axb_5)) + (portRef (member S 2) (instanceRef un3_dout_r6_0_cry_7)) + )) (net (rename un3_dout_r6_0_axbZ0Z_4 "un3_dout_r6_0_axb_4") (joined (portRef LO (instanceRef un3_dout_r6_0_axb_4)) (portRef (member S 3) (instanceRef un3_dout_r6_0_cry_7)) @@ -72501,10 +72646,6 @@ (portRef LO (instanceRef un3_dout_r5_0_axb_2)) (portRef (member S 1) (instanceRef un3_dout_r5_0_cry_3)) )) - (net (rename un3_dout_r5_0_axbZ0Z_14 "un3_dout_r5_0_axb_14") (joined - (portRef LO (instanceRef un3_dout_r5_0_axb_14)) - (portRef (member S 1) (instanceRef un3_dout_r5_0_s_15)) - )) (net (rename un3_dout_r5_0_axbZ0Z_5 "un3_dout_r5_0_axb_5") (joined (portRef LO (instanceRef un3_dout_r5_0_axb_5)) (portRef (member S 2) (instanceRef un3_dout_r5_0_cry_7)) @@ -72513,6 +72654,10 @@ (portRef LO (instanceRef un3_dout_r3_0_axb_13)) (portRef (member S 2) (instanceRef un3_dout_r3_0_s_15)) )) + (net (rename un3_dout_r3_0_axbZ0Z_12 "un3_dout_r3_0_axb_12") (joined + (portRef LO (instanceRef un3_dout_r3_0_axb_12)) + (portRef (member S 3) (instanceRef un3_dout_r3_0_s_15)) + )) (net (rename un3_dout_r5_0_axbZ0Z_13 "un3_dout_r5_0_axb_13") (joined (portRef LO (instanceRef un3_dout_r5_0_axb_13)) (portRef (member S 2) (instanceRef un3_dout_r5_0_s_15)) @@ -72562,7 +72707,7 @@ (net (rename iir_out_13 "IIR_out_13") (joined (portRef IIR_out_13) (portRef (member DI 2) (instanceRef sum_0_1_cry_3)) - (portRef I0 (instanceRef sum_0_1_axb_1)) + (portRef I1 (instanceRef sum_0_1_axb_1)) (portRef D (instanceRef din_r1_1)) )) (net (rename iir_out_12 "IIR_out_12") (joined @@ -72574,7 +72719,7 @@ (net (rename iir_out_11 "IIR_out_11") (joined (portRef IIR_out_11) (portRef (member DI 0) (instanceRef sum_0_1_cry_3)) - (portRef I1 (instanceRef sum_0_1_axb_3)) + (portRef I0 (instanceRef sum_0_1_axb_3)) (portRef D (instanceRef din_r1_3)) )) (net (rename iir_out_10 "IIR_out_10") (joined @@ -72586,7 +72731,7 @@ (net (rename iir_out_9 "IIR_out_9") (joined (portRef IIR_out_9) (portRef (member DI 2) (instanceRef sum_0_1_cry_7)) - (portRef I0 (instanceRef sum_0_1_axb_5)) + (portRef I1 (instanceRef sum_0_1_axb_5)) (portRef D (instanceRef din_r1_5)) )) (net (rename iir_out_8 "IIR_out_8") (joined @@ -72595,12 +72740,6 @@ (portRef I1 (instanceRef sum_0_1_axb_6)) (portRef D (instanceRef din_r1_6)) )) - (net (rename iir_out_7 "IIR_out_7") (joined - (portRef IIR_out_7) - (portRef (member DI 0) (instanceRef sum_0_1_cry_7)) - (portRef I1 (instanceRef sum_0_1_axb_7)) - (portRef D (instanceRef din_r1_7)) - )) (net (rename iir_out_6 "IIR_out_6") (joined (portRef IIR_out_6) (portRef (member DI 3) (instanceRef sum_0_1_cry_11)) @@ -72622,7 +72761,7 @@ (net (rename iir_out_3 "IIR_out_3") (joined (portRef IIR_out_3) (portRef (member DI 0) (instanceRef sum_0_1_cry_11)) - (portRef I0 (instanceRef sum_0_1_axb_11)) + (portRef I1 (instanceRef sum_0_1_axb_11)) (portRef D (instanceRef din_r1_11)) )) (net (rename iir_out_2 "IIR_out_2") (joined @@ -72631,6 +72770,18 @@ (portRef I1 (instanceRef sum_0_1_axb_12)) (portRef D (instanceRef din_r1_12)) )) + (net (rename iir_out_1 "IIR_out_1") (joined + (portRef IIR_out_1) + (portRef (member DI 2) (instanceRef sum_0_1_cry_15)) + (portRef I1 (instanceRef sum_0_1_axb_13)) + (portRef D (instanceRef din_r1_13)) + )) + (net (rename iir_out_0 "IIR_out_0") (joined + (portRef IIR_out_0) + (portRef (member DI 1) (instanceRef sum_0_1_cry_15)) + (portRef I1 (instanceRef sum_0_1_axb_14)) + (portRef D (instanceRef din_r1_14)) + )) (net un4_dout_r7 (joined (portRef (member O 0) (instanceRef un4_dout_r7_cry_7)) (portRef D (instanceRef dout_r7_7)) @@ -73067,6 +73218,14 @@ (portRef O (instanceRef sum_0_1_cry_15_RNO)) (portRef (member S 0) (instanceRef sum_0_1_cry_15)) )) + (net (rename sum_0_1_axbZ0Z_14 "sum_0_1_axb_14") (joined + (portRef O (instanceRef sum_0_1_axb_14)) + (portRef (member S 1) (instanceRef sum_0_1_cry_15)) + )) + (net (rename sum_0_1_axbZ0Z_13 "sum_0_1_axb_13") (joined + (portRef O (instanceRef sum_0_1_axb_13)) + (portRef (member S 2) (instanceRef sum_0_1_cry_15)) + )) (net (rename sum_0_1_axbZ0Z_12 "sum_0_1_axb_12") (joined (portRef O (instanceRef sum_0_1_axb_12)) (portRef (member S 3) (instanceRef sum_0_1_cry_15)) @@ -73087,10 +73246,6 @@ (portRef O (instanceRef sum_0_1_axb_8)) (portRef (member S 3) (instanceRef sum_0_1_cry_11)) )) - (net (rename sum_0_1_axbZ0Z_7 "sum_0_1_axb_7") (joined - (portRef O (instanceRef sum_0_1_axb_7)) - (portRef (member S 0) (instanceRef sum_0_1_cry_7)) - )) (net (rename sum_0_1_axbZ0Z_6 "sum_0_1_axb_6") (joined (portRef O (instanceRef sum_0_1_axb_6)) (portRef (member S 1) (instanceRef sum_0_1_cry_7)) @@ -73119,6 +73274,18 @@ (portRef O (instanceRef sum_0_1_axb_0)) (portRef (member S 3) (instanceRef sum_0_1_cry_3)) )) + (net (rename un4_dout_r7_5_axbZ0Z_7 "un4_dout_r7_5_axb_7") (joined + (portRef O (instanceRef un4_dout_r7_5_axb_7)) + (portRef (member S 0) (instanceRef un4_dout_r7_5_cry_7)) + )) + (net (rename un4_dout_r7_5_axbZ0Z_6 "un4_dout_r7_5_axb_6") (joined + (portRef O (instanceRef un4_dout_r7_5_axb_6)) + (portRef (member S 1) (instanceRef un4_dout_r7_5_cry_7)) + )) + (net (rename un4_dout_r7_5_axbZ0Z_5 "un4_dout_r7_5_axb_5") (joined + (portRef O (instanceRef un4_dout_r7_5_axb_5)) + (portRef (member S 2) (instanceRef un4_dout_r7_5_cry_7)) + )) (net (rename un4_dout_r7_4_cryZ0Z_3 "un4_dout_r7_4_cry_3") (joined (portRef (member CO 0) (instanceRef un4_dout_r7_4_cry_3)) (portRef CI (instanceRef un4_dout_r7_4_cry_7)) @@ -73259,13 +73426,17 @@ (port (array (rename a1_re "a1_re[31:0]") 32) (direction INPUT)) (port (array (rename b0_re "b0_re[31:0]") 32) (direction INPUT)) (port (array (rename a0_re "a0_re[31:0]") 32) (direction INPUT)) - (port vldo_r_1168_0_DOUT_RNI4CEO_0 (direction INPUT)) - (port tc_bypass (direction INPUT)) - (port en_rep_1 (direction INPUT)) + (port vldo_r_976_0_DOUT_RNI6N3K_0 (direction INPUT)) + (port IIR_out_1 (direction OUTPUT)) (port clk (direction INPUT)) - (port en_rep_0 (direction INPUT)) (port rstn_i (direction INPUT)) - (port en (direction INPUT)) + (port IIR_out_2 (direction OUTPUT)) + (port IIR_out_4 (direction OUTPUT)) + (port tc_bypass (direction INPUT)) + (port en_rep_3 (direction INPUT)) + (port en_rep_1 (direction INPUT)) + (port en_rep_0 (direction INPUT)) + (port en_rep_2 (direction INPUT)) (port IIR_out_12 (direction OUTPUT)) (port IIR_out_11 (direction OUTPUT)) (port IIR_out_10 (direction OUTPUT)) @@ -73274,10 +73445,7 @@ (port IIR_out_7 (direction OUTPUT)) (port IIR_out_6 (direction OUTPUT)) (port IIR_out_5 (direction OUTPUT)) - (port IIR_out_4 (direction OUTPUT)) (port IIR_out_3 (direction OUTPUT)) - (port IIR_out_2 (direction OUTPUT)) - (port IIR_out_1 (direction OUTPUT)) (port IIR_out_0 (direction OUTPUT)) (port IIR_out (direction OUTPUT)) (port IIR_out_14 (direction OUTPUT)) @@ -73298,6 +73466,21 @@ (instance Ysum_6_0_s_16_lut (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'h9556")) ) + (instance (rename dout_r_13 "dout_r[13]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename dout_r_12 "dout_r[12]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename dout_r_10 "dout_r[10]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance dout_r_8_iv_10 (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hE2")) + ) + (instance dout_r_8_iv_9 (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hE2")) + ) + (instance dout_r_8_iv_7 (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hE2")) + ) (instance (rename dout_r_8_iv_0 "dout_r_8_iv[0]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'hB888BBB8")) ) @@ -73316,16 +73499,16 @@ (instance (rename dout_r_8_iv_1 "dout_r_8_iv[1]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'hB888BBB8")) ) - (instance (rename dout_r_8_iv_10 "dout_r_8_iv[10]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (instance (rename dout_r_8_ivZ0Z_10 "dout_r_8_iv[10]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'hB888BBB8")) ) - (instance (rename dout_r_8_iv_9 "dout_r_8_iv[9]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (instance (rename dout_r_8_ivZ0Z_9 "dout_r_8_iv[9]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'hB888BBB8")) ) (instance (rename dout_r_8_iv_8 "dout_r_8_iv[8]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'hB888BBB8")) ) - (instance (rename dout_r_8_iv_7 "dout_r_8_iv[7]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (instance (rename dout_r_8_ivZ0Z_7 "dout_r_8_iv[7]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'hB888BBB8")) ) (instance (rename dout_r_8_iv_6 "dout_r_8_iv[6]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) @@ -73335,10 +73518,10 @@ (property INIT (string "32'hB888BBB8")) ) (instance (rename dout_r_8_iv_13 "dout_r_8_iv[13]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hB8BB88B8")) + (property INIT (string "32'hB888BBB8")) ) (instance (rename dout_r_8_iv_12 "dout_r_8_iv[12]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hB8BB88B8")) + (property INIT (string "32'hB888BBB8")) ) (instance (rename dout_r_8_iv_11 "dout_r_8_iv[11]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'hB888BBB8")) @@ -73795,64 +73978,64 @@ (instance Ysum_8_0_s_1_xorcy_latch (viewRef PRIM (cellRef LD (libraryRef UNILIB))) ) (instance Ysum_0_axb_3 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h5A6969A5A596965A")) + (property INIT (string "64'h3CC369966996C33C")) ) (instance Ysum_6_0_axb_5 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h6669999669999666")) - ) - (instance Ysum_6_0_axb_6 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h6669999669999666")) - ) - (instance Ysum_6_0_axb_12 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h5A69A59669A5965A")) - ) - (instance Ysum_6_0_axb_10 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h36C96C93C936936C")) ) - (instance Ysum_6_0_axb_9 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h36C9C9366C93936C")) - ) - (instance Ysum_6_0_axb_8 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h1EE17887E11E8778")) - ) - (instance Ysum_6_0_axb_7 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h3C6969C3C396963C")) - ) - (instance Ysum_6_0_axb_4 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance Ysum_6_0_axb_6 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h3C69C39669C3963C")) ) + (instance Ysum_6_0_axb_12 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h6699699669969966")) + ) + (instance Ysum_6_0_axb_10 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h6699699669969966")) + ) + (instance Ysum_6_0_axb_9 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h1E78E187E1871E78")) + ) + (instance Ysum_6_0_axb_8 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h56A9A9566A95956A")) + ) + (instance Ysum_6_0_axb_7 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h56A96A95A956956A")) + ) + (instance Ysum_6_0_axb_4 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h36C9C9366C93936C")) + ) (instance Ysum_6_0_axb_3 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h3C6969C3C396963C")) + (property INIT (string "64'h36C96C93C936936C")) ) (instance Ysum_6_0_axb_2 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h56A9A9566A95956A")) + (property INIT (string "64'h3C69C39669C3963C")) ) (instance Ysum_8_0_o5_3 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'hE8C0")) ) (instance Ysum_0_axb_15 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h3C69C39669C3963C")) + (property INIT (string "64'h3CC369966996C33C")) ) (instance Ysum_0_axb_14 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h36C96C93C936936C")) + (property INIT (string "64'h5A6969A5A596965A")) ) (instance Ysum_0_axb_13 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h36C9C9366C93936C")) + (property INIT (string "64'h56A9A9566A95956A")) ) (instance Ysum_0_axb_12 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h56A96A95A956956A")) + (property INIT (string "64'h3C6969C3C396963C")) ) (instance Ysum_6_0_axb_13 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h1E78E187E1871E78")) + (property INIT (string "64'h17E8E817E81717E8")) ) (instance Ysum_6_0_axb_11 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h5AA569966996A55A")) + (property INIT (string "64'h17E8E817E81717E8")) ) (instance Ysum_8_0_o5_12 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'hE8C0")) ) (instance Ysum_8_0_o5_11 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hE8C0")) + (property INIT (string "16'hEC80")) ) (instance Ysum_8_0_o5_10 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'hE8C0")) @@ -73864,25 +74047,25 @@ (property INIT (string "64'h36C96C93C936936C")) ) (instance Ysum_0_axb_10 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h3C6969C3C396963C")) + (property INIT (string "64'h5A69A59669A5965A")) ) (instance Ysum_0_axb_9 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h36C9C9366C93936C")) ) (instance Ysum_0_axb_8 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h36C9C9366C93936C")) + (property INIT (string "64'h56A96A95A956956A")) ) (instance Ysum_0_axb_7 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h3C69C39669C3963C")) ) (instance Ysum_0_axb_6 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h5A6969A5A596965A")) + (property INIT (string "64'h3C6969C3C396963C")) ) (instance Ysum_0_axb_5 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h5A69A59669A5965A")) + (property INIT (string "64'h56A9A9566A95956A")) ) (instance Ysum_0_axb_4 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h56A96A95A956956A")) + (property INIT (string "64'h36C96C93C936936C")) ) (instance Ysum_8_0_o5_14 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'hE8C0")) @@ -73897,13 +74080,13 @@ (property INIT (string "16'hE8C0")) ) (instance Ysum_8_0_o5_9 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hE8A0")) + (property INIT (string "16'hE8C0")) ) (instance Ysum_8_0_o5_8 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'hE8C0")) ) (instance Ysum_8_0_o5_7 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hE8C0")) + (property INIT (string "16'hE8A0")) ) (instance Ysum_8_0_o5_6 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'hE8C0")) @@ -73915,16 +74098,16 @@ (property INIT (string "16'hE8C0")) ) (instance Ysum_8_0_axb_13 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h69C3963C")) + (property INIT (string "32'h69A5965A")) ) (instance Ysum_8_0_axb_2 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h69C3963C")) + (property INIT (string "32'h69A5965A")) ) (instance Ysum_8_0_axb_3 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h69C3963C")) + (property INIT (string "32'h69A5965A")) ) (instance Ysum_8_0_axb_4 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h69C3963C")) + (property INIT (string "32'h69999666")) ) (instance Ysum_8_0_axb_5 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'h69A5965A")) @@ -73933,10 +74116,10 @@ (property INIT (string "32'h69A5965A")) ) (instance Ysum_8_0_axb_7 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h69999666")) + (property INIT (string "32'h69C3963C")) ) (instance Ysum_8_0_axb_8 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h69C3963C")) + (property INIT (string "32'h69999666")) ) (instance Ysum_8_0_axb_9 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'h69A5965A")) @@ -73951,10 +74134,10 @@ (property INIT (string "32'h69A5965A")) ) (instance Ysum_8_0_axb_14 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h69C3963C")) + (property INIT (string "32'h69A5965A")) ) (instance Ysum_8_0_axb_15 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h69A5965A")) + (property INIT (string "32'h69C3963C")) ) (instance (rename din_r4_14_inst_z_dsp_inst_TailCorr_top_din_r4_1 "din_r4_14_inst_z_dsp.inst_TailCorr_top.din_r4_1") (viewRef PRIM (cellRef SRL16E (libraryRef VIRTEX))) ) @@ -74484,14 +74667,8 @@ ) (instance (rename dout_r_9 "dout_r[9]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) - (instance (rename dout_r_10 "dout_r[10]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) (instance (rename dout_r_11 "dout_r[11]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) - (instance (rename dout_r_12 "dout_r[12]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename dout_r_13 "dout_r[13]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) (instance (rename dout_r_14 "dout_r[14]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename dout_r_15 "dout_r[15]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) @@ -74500,12 +74677,6 @@ ) (instance (rename dout_r_1 "dout_r[1]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) - (instance un1_dout_1_1_axb_19 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h9")) - ) - (instance un1_dout_1_1_axb_17 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h6")) - ) (instance Ysum_6_0_axb_0 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) ) @@ -74675,534 +74846,542 @@ (instance GND (viewRef PRIM (cellRef GND (libraryRef UNILIB))) ) (instance VCC (viewRef PRIM (cellRef VCC (libraryRef UNILIB))) ) (instance Ysum_0_axb_2_lut6_2_o6 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h69C3963C")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000293")) + (property INIT (string "32'h69A5965A")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000296")) ) (instance Ysum_0_axb_2_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000293")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000296")) ) (instance Ysum_8_0_cry_2_RNO_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000294")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000297")) ) (instance Ysum_8_0_cry_2_RNO_lut6_2_o5 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'hE8C0")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000294")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000297")) ) (instance un1_dout_0_1_axb_17_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000295")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000298")) ) (instance un1_dout_0_1_axb_17_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h9")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000295")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000298")) ) (instance un1_dout_0_1_axb_16_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000296")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000299")) ) (instance un1_dout_0_1_axb_16_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000296")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000299")) ) (instance un1_dout_0_1_axb_13_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000297")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000300")) ) (instance un1_dout_0_1_axb_13_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000297")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000300")) ) (instance un1_dout_0_1_axb_12_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000298")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000301")) ) (instance un1_dout_0_1_axb_12_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000298")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000301")) ) (instance un1_dout_0_1_axb_9_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000299")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000302")) ) (instance un1_dout_0_1_axb_9_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000299")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000302")) ) (instance un1_dout_0_1_axb_8_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000300")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000303")) ) (instance un1_dout_0_1_axb_8_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000300")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000303")) ) (instance un1_dout_0_1_axb_5_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000301")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000304")) ) (instance un1_dout_0_1_axb_5_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000301")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000304")) ) (instance un1_dout_0_1_axb_4_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000302")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000305")) ) (instance un1_dout_0_1_axb_4_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000302")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000305")) ) (instance un1_dout_0_1_axb_1_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000303")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000306")) ) (instance un1_dout_0_1_axb_1_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000303")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000306")) ) (instance un1_dout_0_1_axb_0_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000304")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000307")) ) (instance un1_dout_0_1_axb_0_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000304")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000307")) ) (instance un1_dout_5_1_axb_17_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000305")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000308")) ) (instance un1_dout_5_1_axb_17_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h9")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000305")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000308")) ) (instance un1_dout_5_1_axb_16_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000306")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000309")) ) (instance un1_dout_5_1_axb_16_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000306")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000309")) ) (instance un1_dout_5_1_axb_13_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000307")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000310")) ) (instance un1_dout_5_1_axb_13_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000307")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000310")) ) (instance un1_dout_5_1_axb_12_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000308")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000311")) ) (instance un1_dout_5_1_axb_12_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000308")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000311")) ) (instance un1_dout_5_1_axb_9_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000309")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000312")) ) (instance un1_dout_5_1_axb_9_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000309")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000312")) ) (instance un1_dout_5_1_axb_8_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000310")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000313")) ) (instance un1_dout_5_1_axb_8_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000310")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000313")) ) (instance un1_dout_5_1_axb_5_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000311")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000314")) ) (instance un1_dout_5_1_axb_5_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000311")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000314")) ) (instance un1_dout_5_1_axb_4_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000312")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000315")) ) (instance un1_dout_5_1_axb_4_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000312")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000315")) ) (instance un1_dout_5_1_axb_1_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000313")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000316")) ) (instance un1_dout_5_1_axb_1_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000313")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000316")) ) (instance un1_dout_5_1_axb_0_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000314")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000317")) ) (instance un1_dout_5_1_axb_0_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000314")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000317")) ) (instance un1_dout_4_1_axb_17_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000315")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000318")) ) (instance un1_dout_4_1_axb_17_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h9")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000315")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000318")) ) (instance un1_dout_4_1_axb_16_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000316")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000319")) ) (instance un1_dout_4_1_axb_16_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000316")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000319")) + ) + (instance un1_dout_4_1_axb_13_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000320")) + ) + (instance un1_dout_4_1_axb_13_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000320")) + ) + (instance un1_dout_4_1_axb_10_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000321")) + ) + (instance un1_dout_4_1_axb_10_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000321")) ) (instance un1_dout_4_1_axb_9_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000317")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000322")) ) (instance un1_dout_4_1_axb_9_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000317")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000322")) ) - (instance un1_dout_4_1_axb_8_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance un1_dout_4_1_axb_6_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000318")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000323")) ) - (instance un1_dout_4_1_axb_8_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance un1_dout_4_1_axb_6_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000318")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000323")) ) - (instance un1_dout_4_1_axb_7_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance un1_dout_4_1_axb_5_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000319")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000324")) ) - (instance un1_dout_4_1_axb_7_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance un1_dout_4_1_axb_5_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000319")) - ) - (instance un1_dout_4_1_axb_4_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000320")) - ) - (instance un1_dout_4_1_axb_4_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000320")) - ) - (instance un1_dout_4_1_axb_3_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000321")) - ) - (instance un1_dout_4_1_axb_3_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000321")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000324")) ) (instance un1_dout_4_1_axb_2_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000322")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000325")) ) (instance un1_dout_4_1_axb_2_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000322")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000325")) ) (instance un1_dout_4_1_axb_1_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000323")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000326")) ) (instance un1_dout_4_1_axb_1_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000323")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000326")) ) (instance un1_dout_4_1_axb_0_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000324")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000327")) ) (instance un1_dout_4_1_axb_0_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000324")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000327")) ) - (instance un1_dout_3_1_axb_10_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance un1_dout_3_1_axb_17_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000325")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000328")) ) - (instance un1_dout_3_1_axb_10_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000325")) + (instance un1_dout_3_1_axb_17_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h9")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000328")) ) - (instance un1_dout_3_1_axb_9_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance un1_dout_3_1_axb_16_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000326")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000329")) ) - (instance un1_dout_3_1_axb_9_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance un1_dout_3_1_axb_16_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000326")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000329")) ) (instance un1_dout_3_1_axb_8_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000327")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000330")) ) (instance un1_dout_3_1_axb_8_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000327")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000330")) ) (instance un1_dout_3_1_axb_7_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000328")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000331")) ) (instance un1_dout_3_1_axb_7_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000328")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000331")) ) (instance un1_dout_3_1_axb_6_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000329")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000332")) ) (instance un1_dout_3_1_axb_6_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000329")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000332")) ) (instance un1_dout_3_1_axb_5_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000330")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000333")) ) (instance un1_dout_3_1_axb_5_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000330")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000333")) ) (instance un1_dout_3_1_axb_4_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000331")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000334")) ) (instance un1_dout_3_1_axb_4_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000331")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000334")) ) (instance un1_dout_3_1_axb_2_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000332")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000335")) ) (instance un1_dout_3_1_axb_2_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h9")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000332")) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000335")) ) (instance un1_dout_3_1_axb_1_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000333")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000336")) ) (instance un1_dout_3_1_axb_1_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000333")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000336")) ) (instance un1_dout_3_1_axb_0_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000334")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000337")) ) (instance un1_dout_3_1_axb_0_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000334")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000337")) ) (instance un1_dout_2_1_axb_17_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000335")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000338")) ) (instance un1_dout_2_1_axb_17_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h9")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000335")) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000338")) ) (instance un1_dout_2_1_axb_16_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000336")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000339")) ) (instance un1_dout_2_1_axb_16_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000336")) + (property INIT (string "4'h9")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000339")) ) (instance un1_dout_2_1_axb_13_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000337")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000340")) ) (instance un1_dout_2_1_axb_13_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000337")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000340")) ) (instance un1_dout_2_1_axb_12_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000338")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000341")) ) (instance un1_dout_2_1_axb_12_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000338")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000341")) ) (instance un1_dout_2_1_axb_9_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000339")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000342")) ) (instance un1_dout_2_1_axb_9_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000339")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000342")) ) (instance un1_dout_2_1_axb_8_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000340")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000343")) ) (instance un1_dout_2_1_axb_8_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000340")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000343")) ) (instance un1_dout_2_1_axb_5_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000341")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000344")) ) (instance un1_dout_2_1_axb_5_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000341")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000344")) ) (instance un1_dout_2_1_axb_4_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000342")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000345")) ) (instance un1_dout_2_1_axb_4_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000342")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000345")) ) (instance un1_dout_2_1_axb_1_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000343")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000346")) ) (instance un1_dout_2_1_axb_1_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000343")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000346")) ) (instance un1_dout_2_1_axb_0_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000344")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000347")) ) (instance un1_dout_2_1_axb_0_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000344")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000347")) ) (instance un1_dout_1_1_axb_16_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000345")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000348")) ) (instance un1_dout_1_1_axb_16_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000345")) + (property INIT (string "4'h9")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000348")) ) (instance un1_dout_1_1_axb_13_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000346")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000349")) ) (instance un1_dout_1_1_axb_13_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000346")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000349")) ) (instance un1_dout_1_1_axb_12_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000347")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000350")) ) (instance un1_dout_1_1_axb_12_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000347")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000350")) ) - (instance un1_dout_1_1_axb_9_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance un1_dout_1_1_axb_11_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000348")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000351")) ) - (instance un1_dout_1_1_axb_9_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance un1_dout_1_1_axb_11_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000348")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000351")) ) (instance un1_dout_1_1_axb_8_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000349")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000352")) ) (instance un1_dout_1_1_axb_8_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000349")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000352")) ) - (instance un1_dout_1_1_axb_5_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance un1_dout_1_1_axb_7_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000350")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000353")) ) - (instance un1_dout_1_1_axb_5_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance un1_dout_1_1_axb_7_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000350")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000353")) ) (instance un1_dout_1_1_axb_4_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000351")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000354")) ) (instance un1_dout_1_1_axb_4_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000351")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000354")) + ) + (instance un1_dout_1_1_axb_3_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000355")) + ) + (instance un1_dout_1_1_axb_3_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000355")) ) (instance un1_dout_1_1_axb_1_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000352")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000356")) ) (instance un1_dout_1_1_axb_1_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000352")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000356")) ) (instance un1_dout_1_1_axb_0_lut6_2_o6 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000353")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000357")) ) (instance un1_dout_1_1_axb_0_lut6_2_o5 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h6")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000353")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000357")) ) (instance Ysum_6_0_axb_1_lut6_2_o6 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h96")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000354")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000358")) ) (instance Ysum_6_0_axb_1_lut6_2_o5 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hE8")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000354")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000358")) ) (instance Ysum_0_axb_1_lut6_2_o6 (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h96")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000355")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000359")) ) (instance Ysum_0_axb_1_lut6_2_o5 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hEC80")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000355")) + (property INIT (string "16'hEA80")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000359")) ) (instance Ysum_8_0_axb_1_lut6_2_o6 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h9666")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000356")) + (property INIT (string "16'h965A")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000360")) ) (instance Ysum_8_0_axb_1_lut6_2_o5 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hE888")) - (property HLUTNM (string "z_dsp_en_Test_lutnm000356")) + (property INIT (string "16'hE8A0")) + (property HLUTNM (string "z_dsp_en_Test_lutnm000360")) ) - (net (rename dout_cut4_r0Z0Z_1 "dout_cut4_r0[1]") (joined - (portRef Q (instanceRef dout_cut4_r0_1)) + (net (rename dout_cut5_r0Z0Z_1 "dout_cut5_r0[1]") (joined + (portRef Q (instanceRef dout_cut5_r0_1)) (portRef I0 (instanceRef Ysum_8_0_axb_1_lut6_2_o5)) (portRef I0 (instanceRef Ysum_8_0_axb_1_lut6_2_o6)) )) - (net (rename dout_cut5_r0Z0Z_1 "dout_cut5_r0[1]") (joined - (portRef Q (instanceRef dout_cut5_r0_1)) + (net (rename din_r4_13_DOUTZ0Z_0 "din_r4_13_DOUT[0]") (joined + (portRef Q (instanceRef din_r4_13_DOUT_0)) (portRef I1 (instanceRef Ysum_8_0_axb_1_lut6_2_o5)) (portRef I1 (instanceRef Ysum_8_0_axb_1_lut6_2_o6)) )) - (net (rename din_r4_13_DOUTZ0Z_0 "din_r4_13_DOUT[0]") (joined - (portRef Q (instanceRef din_r4_13_DOUT_0)) + (net (rename dout_cut4_r0Z0Z_1 "dout_cut4_r0[1]") (joined + (portRef Q (instanceRef dout_cut4_r0_1)) (portRef I2 (instanceRef Ysum_8_0_axb_1_lut6_2_o5)) (portRef I2 (instanceRef Ysum_8_0_axb_1_lut6_2_o6)) )) - (net (rename vldo_r_1168_0_dout_rni4ceo_0 "vldo_r_1168_0_DOUT_RNI4CEO_0") (joined - (portRef vldo_r_1168_0_DOUT_RNI4CEO_0) + (net (rename vldo_r_976_0_dout_rni6n3k_0 "vldo_r_976_0_DOUT_RNI6N3K_0") (joined + (portRef vldo_r_976_0_DOUT_RNI6N3K_0) (portRef I3 (instanceRef Ysum_8_0_axb_1_lut6_2_o5)) (portRef I3 (instanceRef Ysum_8_0_axb_1_lut6_2_o6)) (portRef I3 (instanceRef Ysum_8_0_cry_2_RNO_lut6_2_o5)) @@ -75231,7 +75410,7 @@ (portRef I3 (instanceRef Ysum_8_0_o5_14)) (portRef I3 (instanceRef Ysum_8_0_axb_16)) (portRef I3 (instanceRef Ysum_8_0_o5_10)) - (portRef I3 (instanceRef Ysum_8_0_o5_11)) + (portRef I2 (instanceRef Ysum_8_0_o5_11)) (portRef I3 (instanceRef Ysum_8_0_o5_12)) (portRef I3 (instanceRef Ysum_8_0_o5_3)) )) @@ -75533,18 +75712,18 @@ (portRef (member DI 0) (instanceRef Ysum_8_0_cry_2)) (portRef I4 (instanceRef Ysum_8_0_axb_2)) )) - (net (rename dout_cut0_r0Z0Z_1 "dout_cut0_r0[1]") (joined - (portRef Q (instanceRef dout_cut0_r0_1)) - (portRef I0 (instanceRef Ysum_0_axb_1_lut6_2_o5)) - (portRef I0 (instanceRef Ysum_0_axb_1_lut6_2_o6)) - (portRef I0 (instanceRef Ysum_0_axb_2_lut6_2_o5)) - (portRef I0 (instanceRef Ysum_0_axb_2_lut6_2_o6)) - )) (net (rename dout_cut0_r0Z0Z_2 "dout_cut0_r0[2]") (joined (portRef Q (instanceRef dout_cut0_r0_2)) + (portRef I0 (instanceRef Ysum_0_axb_1_lut6_2_o5)) + (portRef I0 (instanceRef Ysum_0_axb_2_lut6_2_o6)) + (portRef I0 (instanceRef Ysum_0_axb_3)) + )) + (net (rename dout_cut0_r0Z0Z_1 "dout_cut0_r0[1]") (joined + (portRef Q (instanceRef dout_cut0_r0_1)) (portRef I1 (instanceRef Ysum_0_axb_1_lut6_2_o5)) + (portRef I0 (instanceRef Ysum_0_axb_1_lut6_2_o6)) + (portRef I0 (instanceRef Ysum_0_axb_2_lut6_2_o5)) (portRef I1 (instanceRef Ysum_0_axb_2_lut6_2_o6)) - (portRef I1 (instanceRef Ysum_0_axb_3)) )) (net (rename Ysum_6_1 "Ysum_6[1]") (joined (portRef Q (instanceRef Ysum_6_0_s_1_xorcy_latch)) @@ -75572,20 +75751,20 @@ (portRef O (instanceRef Ysum_0_axb_1_lut6_2_o5)) (portRef (member DI 0) (instanceRef Ysum_0_cry_3)) )) - (net (rename dout_cut3_r0Z0Z_1 "dout_cut3_r0[1]") (joined - (portRef Q (instanceRef dout_cut3_r0_1)) + (net (rename dout_cut1_r0Z0Z_1 "dout_cut1_r0[1]") (joined + (portRef Q (instanceRef dout_cut1_r0_1)) (portRef I0 (instanceRef Ysum_6_0_axb_1_lut6_2_o5)) (portRef I0 (instanceRef Ysum_6_0_axb_1_lut6_2_o6)) - (portRef I1 (instanceRef Ysum_6_0_axb_2)) + (portRef I3 (instanceRef Ysum_6_0_axb_2)) + )) + (net (rename dout_cut3_r0Z0Z_1 "dout_cut3_r0[1]") (joined + (portRef Q (instanceRef dout_cut3_r0_1)) + (portRef I1 (instanceRef Ysum_6_0_axb_1_lut6_2_o5)) + (portRef I1 (instanceRef Ysum_6_0_axb_1_lut6_2_o6)) + (portRef I0 (instanceRef Ysum_6_0_axb_2)) )) (net (rename dout_cut2_r0Z0Z_1 "dout_cut2_r0[1]") (joined (portRef Q (instanceRef dout_cut2_r0_1)) - (portRef I1 (instanceRef Ysum_6_0_axb_1_lut6_2_o5)) - (portRef I1 (instanceRef Ysum_6_0_axb_1_lut6_2_o6)) - (portRef I2 (instanceRef Ysum_6_0_axb_2)) - )) - (net (rename dout_cut1_r0Z0Z_1 "dout_cut1_r0[1]") (joined - (portRef Q (instanceRef dout_cut1_r0_1)) (portRef I2 (instanceRef Ysum_6_0_axb_1_lut6_2_o5)) (portRef I2 (instanceRef Ysum_6_0_axb_1_lut6_2_o6)) (portRef I5 (instanceRef Ysum_6_0_axb_2)) @@ -75598,32 +75777,34 @@ (portRef O (instanceRef Ysum_6_0_axb_1_lut6_2_o5)) (portRef (member DI 1) (instanceRef Ysum_6_0_cry_3)) )) + (net (rename dout_1_17 "dout_1[17]") (joined + (portRef (member dout_1 18) (instanceRef inst_iir_1)) + (portRef I0 (instanceRef un1_dout_1_1_axb_0_lut6_2_o5)) + )) (net (rename dout_1_0 "dout_1[0]") (joined (portRef (member dout_1 35) (instanceRef inst_iir_1)) (portRef I0 (instanceRef un1_dout_1_1_axb_0_lut6_2_o6)) )) - (net (rename dout_1_2 "dout_1[2]") (joined - (portRef (member dout_1 33) (instanceRef inst_iir_1)) - (portRef I0 (instanceRef un1_dout_1_1_axb_0_lut6_2_o5)) - )) (net (rename dout_1_35 "dout_1[35]") (joined (portRef (member dout_1 0) (instanceRef inst_iir_1)) (portRef I1 (instanceRef un1_dout_1_1_axb_0_lut6_2_o5)) (portRef I1 (instanceRef un1_dout_1_1_axb_0_lut6_2_o6)) (portRef I1 (instanceRef un1_dout_1_1_axb_1_lut6_2_o5)) (portRef I1 (instanceRef un1_dout_1_1_axb_1_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_1_1_axb_3_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_1_1_axb_3_lut6_2_o6)) (portRef I1 (instanceRef un1_dout_1_1_axb_4_lut6_2_o5)) (portRef I1 (instanceRef un1_dout_1_1_axb_4_lut6_2_o6)) - (portRef I1 (instanceRef un1_dout_1_1_axb_5_lut6_2_o5)) - (portRef I1 (instanceRef un1_dout_1_1_axb_5_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_1_1_axb_7_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_1_1_axb_7_lut6_2_o6)) (portRef I1 (instanceRef un1_dout_1_1_axb_8_lut6_2_o5)) (portRef I1 (instanceRef un1_dout_1_1_axb_8_lut6_2_o6)) - (portRef I1 (instanceRef un1_dout_1_1_axb_9_lut6_2_o5)) - (portRef I1 (instanceRef un1_dout_1_1_axb_9_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_1_1_axb_11_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_1_1_axb_11_lut6_2_o6)) (portRef I1 (instanceRef un1_dout_1_1_axb_12_lut6_2_o5)) (portRef I1 (instanceRef un1_dout_1_1_axb_12_lut6_2_o6)) (portRef I1 (instanceRef un1_dout_1_1_axb_13_lut6_2_o5)) - (portRef I0 (instanceRef un1_dout_1_1_axb_13_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_1_1_axb_13_lut6_2_o6)) (portRef I1 (instanceRef un1_dout_1_1_axb_16_lut6_2_o5)) (portRef I1 (instanceRef un1_dout_1_1_axb_16_lut6_2_o6)) (portRef (member DI 1) (instanceRef un1_dout_1_1_cry_19)) @@ -75645,34 +75826,48 @@ (portRef (member DI 1) (instanceRef un1_dout_1_1_cry_3)) (portRef (member DI 2) (instanceRef un1_dout_1_1_cry_3)) (portRef (member DI 3) (instanceRef un1_dout_1_1_cry_3)) - (portRef I1 (instanceRef un1_dout_1_1_axb_17)) - (portRef I1 (instanceRef un1_dout_1_1_axb_19)) (portRef I0 (instanceRef un1_dout_1_1_axb_35)) )) (net un1_dout_1_1_axb_0 (joined (portRef O (instanceRef un1_dout_1_1_axb_0_lut6_2_o6)) (portRef (member S 3) (instanceRef un1_dout_1_1_cry_3)) )) - (net un1_dout_1_1_axb_2 (joined + (net un1_dout_1_1_axb_17 (joined (portRef O (instanceRef un1_dout_1_1_axb_0_lut6_2_o5)) - (portRef (member S 1) (instanceRef un1_dout_1_1_cry_3)) + (portRef (member S 2) (instanceRef un1_dout_1_1_cry_19)) )) (net (rename dout_1_1 "dout_1[1]") (joined (portRef (member dout_1 34) (instanceRef inst_iir_1)) (portRef I0 (instanceRef un1_dout_1_1_axb_1_lut6_2_o6)) )) - (net (rename dout_1_3 "dout_1[3]") (joined - (portRef (member dout_1 32) (instanceRef inst_iir_1)) + (net (rename dout_1_2 "dout_1[2]") (joined + (portRef (member dout_1 33) (instanceRef inst_iir_1)) (portRef I0 (instanceRef un1_dout_1_1_axb_1_lut6_2_o5)) )) (net un1_dout_1_1_axb_1 (joined (portRef O (instanceRef un1_dout_1_1_axb_1_lut6_2_o6)) (portRef (member S 2) (instanceRef un1_dout_1_1_cry_3)) )) - (net un1_dout_1_1_axb_3 (joined + (net un1_dout_1_1_axb_2 (joined (portRef O (instanceRef un1_dout_1_1_axb_1_lut6_2_o5)) + (portRef (member S 1) (instanceRef un1_dout_1_1_cry_3)) + )) + (net (rename dout_1_5 "dout_1[5]") (joined + (portRef (member dout_1 30) (instanceRef inst_iir_1)) + (portRef I0 (instanceRef un1_dout_1_1_axb_3_lut6_2_o5)) + )) + (net (rename dout_1_3 "dout_1[3]") (joined + (portRef (member dout_1 32) (instanceRef inst_iir_1)) + (portRef I0 (instanceRef un1_dout_1_1_axb_3_lut6_2_o6)) + )) + (net un1_dout_1_1_axb_3 (joined + (portRef O (instanceRef un1_dout_1_1_axb_3_lut6_2_o6)) (portRef (member S 0) (instanceRef un1_dout_1_1_cry_3)) )) + (net un1_dout_1_1_axb_5 (joined + (portRef O (instanceRef un1_dout_1_1_axb_3_lut6_2_o5)) + (portRef (member S 2) (instanceRef un1_dout_1_1_cry_7)) + )) (net (rename dout_1_6 "dout_1[6]") (joined (portRef (member dout_1 29) (instanceRef inst_iir_1)) (portRef I0 (instanceRef un1_dout_1_1_axb_4_lut6_2_o5)) @@ -75689,22 +75884,22 @@ (portRef O (instanceRef un1_dout_1_1_axb_4_lut6_2_o5)) (portRef (member S 1) (instanceRef un1_dout_1_1_cry_7)) )) - (net (rename dout_1_5 "dout_1[5]") (joined - (portRef (member dout_1 30) (instanceRef inst_iir_1)) - (portRef I0 (instanceRef un1_dout_1_1_axb_5_lut6_2_o6)) - )) (net (rename dout_1_7 "dout_1[7]") (joined (portRef (member dout_1 28) (instanceRef inst_iir_1)) - (portRef I0 (instanceRef un1_dout_1_1_axb_5_lut6_2_o5)) + (portRef I0 (instanceRef un1_dout_1_1_axb_7_lut6_2_o6)) )) - (net un1_dout_1_1_axb_5 (joined - (portRef O (instanceRef un1_dout_1_1_axb_5_lut6_2_o6)) - (portRef (member S 2) (instanceRef un1_dout_1_1_cry_7)) + (net (rename dout_1_9 "dout_1[9]") (joined + (portRef (member dout_1 26) (instanceRef inst_iir_1)) + (portRef I0 (instanceRef un1_dout_1_1_axb_7_lut6_2_o5)) )) (net un1_dout_1_1_axb_7 (joined - (portRef O (instanceRef un1_dout_1_1_axb_5_lut6_2_o5)) + (portRef O (instanceRef un1_dout_1_1_axb_7_lut6_2_o6)) (portRef (member S 0) (instanceRef un1_dout_1_1_cry_7)) )) + (net un1_dout_1_1_axb_9 (joined + (portRef O (instanceRef un1_dout_1_1_axb_7_lut6_2_o5)) + (portRef (member S 2) (instanceRef un1_dout_1_1_cry_11)) + )) (net (rename dout_1_10 "dout_1[10]") (joined (portRef (member dout_1 25) (instanceRef inst_iir_1)) (portRef I0 (instanceRef un1_dout_1_1_axb_8_lut6_2_o5)) @@ -75723,20 +75918,20 @@ )) (net (rename dout_1_11 "dout_1[11]") (joined (portRef (member dout_1 24) (instanceRef inst_iir_1)) - (portRef I0 (instanceRef un1_dout_1_1_axb_9_lut6_2_o5)) + (portRef I0 (instanceRef un1_dout_1_1_axb_11_lut6_2_o6)) )) - (net (rename dout_1_9 "dout_1[9]") (joined - (portRef (member dout_1 26) (instanceRef inst_iir_1)) - (portRef I0 (instanceRef un1_dout_1_1_axb_9_lut6_2_o6)) - )) - (net un1_dout_1_1_axb_9 (joined - (portRef O (instanceRef un1_dout_1_1_axb_9_lut6_2_o6)) - (portRef (member S 2) (instanceRef un1_dout_1_1_cry_11)) + (net (rename dout_1_18 "dout_1[18]") (joined + (portRef (member dout_1 17) (instanceRef inst_iir_1)) + (portRef I0 (instanceRef un1_dout_1_1_axb_11_lut6_2_o5)) )) (net un1_dout_1_1_axb_11 (joined - (portRef O (instanceRef un1_dout_1_1_axb_9_lut6_2_o5)) + (portRef O (instanceRef un1_dout_1_1_axb_11_lut6_2_o6)) (portRef (member S 0) (instanceRef un1_dout_1_1_cry_11)) )) + (net un1_dout_1_1_axb_18 (joined + (portRef O (instanceRef un1_dout_1_1_axb_11_lut6_2_o5)) + (portRef (member S 1) (instanceRef un1_dout_1_1_cry_19)) + )) (net (rename dout_1_12 "dout_1[12]") (joined (portRef (member dout_1 23) (instanceRef inst_iir_1)) (portRef I0 (instanceRef un1_dout_1_1_axb_12_lut6_2_o6)) @@ -75759,7 +75954,7 @@ )) (net (rename dout_1_13 "dout_1[13]") (joined (portRef (member dout_1 22) (instanceRef inst_iir_1)) - (portRef I1 (instanceRef un1_dout_1_1_axb_13_lut6_2_o6)) + (portRef I0 (instanceRef un1_dout_1_1_axb_13_lut6_2_o6)) )) (net un1_dout_1_1_axb_13 (joined (portRef O (instanceRef un1_dout_1_1_axb_13_lut6_2_o6)) @@ -75773,26 +75968,27 @@ (portRef (member dout_1 19) (instanceRef inst_iir_1)) (portRef I0 (instanceRef un1_dout_1_1_axb_16_lut6_2_o6)) )) - (net (rename dout_1_18 "dout_1[18]") (joined - (portRef (member dout_1 17) (instanceRef inst_iir_1)) + (net (rename dout_1_19 "dout_1[19]") (joined + (portRef (member dout_1 16) (instanceRef inst_iir_1)) (portRef I0 (instanceRef un1_dout_1_1_axb_16_lut6_2_o5)) + (portRef (member DI 0) (instanceRef un1_dout_1_1_cry_19)) )) (net un1_dout_1_1_axb_16 (joined (portRef O (instanceRef un1_dout_1_1_axb_16_lut6_2_o6)) (portRef (member S 3) (instanceRef un1_dout_1_1_cry_19)) )) - (net un1_dout_1_1_axb_18 (joined + (net un1_dout_1_1_axb_19 (joined (portRef O (instanceRef un1_dout_1_1_axb_16_lut6_2_o5)) - (portRef (member S 1) (instanceRef un1_dout_1_1_cry_19)) - )) - (net (rename dout_2_2 "dout_2[2]") (joined - (portRef (member dout_2 33) (instanceRef inst_iir_2)) - (portRef I0 (instanceRef un1_dout_2_1_axb_0_lut6_2_o5)) + (portRef (member S 0) (instanceRef un1_dout_1_1_cry_19)) )) (net (rename dout_2_0 "dout_2[0]") (joined (portRef (member dout_2 35) (instanceRef inst_iir_2)) (portRef I0 (instanceRef un1_dout_2_1_axb_0_lut6_2_o6)) )) + (net (rename dout_2_2 "dout_2[2]") (joined + (portRef (member dout_2 33) (instanceRef inst_iir_2)) + (portRef I0 (instanceRef un1_dout_2_1_axb_0_lut6_2_o5)) + )) (net (rename dout_2_35 "dout_2[35]") (joined (portRef (member dout_2 0) (instanceRef inst_iir_2)) (portRef I1 (instanceRef un1_dout_2_1_axb_0_lut6_2_o5)) @@ -75844,14 +76040,14 @@ (portRef O (instanceRef un1_dout_2_1_axb_0_lut6_2_o5)) (portRef (member S 1) (instanceRef un1_dout_2_1_cry_3)) )) - (net (rename dout_2_1 "dout_2[1]") (joined - (portRef (member dout_2 34) (instanceRef inst_iir_2)) - (portRef I0 (instanceRef un1_dout_2_1_axb_1_lut6_2_o6)) - )) (net (rename dout_2_3 "dout_2[3]") (joined (portRef (member dout_2 32) (instanceRef inst_iir_2)) (portRef I0 (instanceRef un1_dout_2_1_axb_1_lut6_2_o5)) )) + (net (rename dout_2_1 "dout_2[1]") (joined + (portRef (member dout_2 34) (instanceRef inst_iir_2)) + (portRef I0 (instanceRef un1_dout_2_1_axb_1_lut6_2_o6)) + )) (net un1_dout_2_1_axb_1 (joined (portRef O (instanceRef un1_dout_2_1_axb_1_lut6_2_o6)) (portRef (member S 2) (instanceRef un1_dout_2_1_cry_3)) @@ -75908,14 +76104,14 @@ (portRef O (instanceRef un1_dout_2_1_axb_8_lut6_2_o5)) (portRef (member S 1) (instanceRef un1_dout_2_1_cry_11)) )) - (net (rename dout_2_11 "dout_2[11]") (joined - (portRef (member dout_2 24) (instanceRef inst_iir_2)) - (portRef I0 (instanceRef un1_dout_2_1_axb_9_lut6_2_o5)) - )) (net (rename dout_2_9 "dout_2[9]") (joined (portRef (member dout_2 26) (instanceRef inst_iir_2)) (portRef I0 (instanceRef un1_dout_2_1_axb_9_lut6_2_o6)) )) + (net (rename dout_2_11 "dout_2[11]") (joined + (portRef (member dout_2 24) (instanceRef inst_iir_2)) + (portRef I0 (instanceRef un1_dout_2_1_axb_9_lut6_2_o5)) + )) (net un1_dout_2_1_axb_9 (joined (portRef O (instanceRef un1_dout_2_1_axb_9_lut6_2_o6)) (portRef (member S 2) (instanceRef un1_dout_2_1_cry_11)) @@ -75940,14 +76136,14 @@ (portRef O (instanceRef un1_dout_2_1_axb_12_lut6_2_o5)) (portRef (member S 1) (instanceRef un1_dout_2_1_cry_15)) )) - (net (rename dout_2_15 "dout_2[15]") (joined - (portRef (member dout_2 20) (instanceRef inst_iir_2)) - (portRef I0 (instanceRef un1_dout_2_1_axb_13_lut6_2_o5)) - )) (net (rename dout_2_13 "dout_2[13]") (joined (portRef (member dout_2 22) (instanceRef inst_iir_2)) (portRef I0 (instanceRef un1_dout_2_1_axb_13_lut6_2_o6)) )) + (net (rename dout_2_15 "dout_2[15]") (joined + (portRef (member dout_2 20) (instanceRef inst_iir_2)) + (portRef I0 (instanceRef un1_dout_2_1_axb_13_lut6_2_o5)) + )) (net un1_dout_2_1_axb_13 (joined (portRef O (instanceRef un1_dout_2_1_axb_13_lut6_2_o6)) (portRef (member S 2) (instanceRef un1_dout_2_1_cry_15)) @@ -75960,43 +76156,43 @@ (portRef (member dout_2 19) (instanceRef inst_iir_2)) (portRef I0 (instanceRef un1_dout_2_1_axb_16_lut6_2_o6)) )) - (net (rename dout_2_18 "dout_2[18]") (joined - (portRef (member dout_2 17) (instanceRef inst_iir_2)) + (net (rename dout_2_19 "dout_2[19]") (joined + (portRef (member dout_2 16) (instanceRef inst_iir_2)) (portRef I0 (instanceRef un1_dout_2_1_axb_16_lut6_2_o5)) + (portRef (member DI 0) (instanceRef un1_dout_2_1_cry_19)) )) (net un1_dout_2_1_axb_16 (joined (portRef O (instanceRef un1_dout_2_1_axb_16_lut6_2_o6)) (portRef (member S 3) (instanceRef un1_dout_2_1_cry_19)) )) - (net un1_dout_2_1_axb_18 (joined + (net un1_dout_2_1_axb_19 (joined (portRef O (instanceRef un1_dout_2_1_axb_16_lut6_2_o5)) - (portRef (member S 1) (instanceRef un1_dout_2_1_cry_19)) + (portRef (member S 0) (instanceRef un1_dout_2_1_cry_19)) )) (net (rename dout_2_17 "dout_2[17]") (joined (portRef (member dout_2 18) (instanceRef inst_iir_2)) (portRef I0 (instanceRef un1_dout_2_1_axb_17_lut6_2_o6)) )) - (net (rename dout_2_19 "dout_2[19]") (joined - (portRef (member dout_2 16) (instanceRef inst_iir_2)) + (net (rename dout_2_18 "dout_2[18]") (joined + (portRef (member dout_2 17) (instanceRef inst_iir_2)) (portRef I0 (instanceRef un1_dout_2_1_axb_17_lut6_2_o5)) - (portRef (member DI 0) (instanceRef un1_dout_2_1_cry_19)) )) (net un1_dout_2_1_axb_17 (joined (portRef O (instanceRef un1_dout_2_1_axb_17_lut6_2_o6)) (portRef (member S 2) (instanceRef un1_dout_2_1_cry_19)) )) - (net un1_dout_2_1_axb_19 (joined + (net un1_dout_2_1_axb_18 (joined (portRef O (instanceRef un1_dout_2_1_axb_17_lut6_2_o5)) - (portRef (member S 0) (instanceRef un1_dout_2_1_cry_19)) + (portRef (member S 1) (instanceRef un1_dout_2_1_cry_19)) + )) + (net (rename dout_3_14 "dout_3[14]") (joined + (portRef (member dout_3 21) (instanceRef inst_iir_3)) + (portRef I0 (instanceRef un1_dout_3_1_axb_0_lut6_2_o5)) )) (net (rename dout_3_0 "dout_3[0]") (joined (portRef (member dout_3 35) (instanceRef inst_iir_3)) (portRef I0 (instanceRef un1_dout_3_1_axb_0_lut6_2_o6)) )) - (net (rename dout_3_18 "dout_3[18]") (joined - (portRef (member dout_3 17) (instanceRef inst_iir_3)) - (portRef I0 (instanceRef un1_dout_3_1_axb_0_lut6_2_o5)) - )) (net (rename dout_3_35 "dout_3[35]") (joined (portRef (member dout_3 0) (instanceRef inst_iir_3)) (portRef I1 (instanceRef un1_dout_3_1_axb_0_lut6_2_o5)) @@ -76009,16 +76205,16 @@ (portRef I1 (instanceRef un1_dout_3_1_axb_4_lut6_2_o6)) (portRef I1 (instanceRef un1_dout_3_1_axb_5_lut6_2_o5)) (portRef I1 (instanceRef un1_dout_3_1_axb_5_lut6_2_o6)) - (portRef I0 (instanceRef un1_dout_3_1_axb_6_lut6_2_o5)) - (portRef I0 (instanceRef un1_dout_3_1_axb_6_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_3_1_axb_6_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_3_1_axb_6_lut6_2_o6)) (portRef I1 (instanceRef un1_dout_3_1_axb_7_lut6_2_o5)) (portRef I1 (instanceRef un1_dout_3_1_axb_7_lut6_2_o6)) (portRef I1 (instanceRef un1_dout_3_1_axb_8_lut6_2_o5)) (portRef I1 (instanceRef un1_dout_3_1_axb_8_lut6_2_o6)) - (portRef I1 (instanceRef un1_dout_3_1_axb_9_lut6_2_o5)) - (portRef I1 (instanceRef un1_dout_3_1_axb_9_lut6_2_o6)) - (portRef I1 (instanceRef un1_dout_3_1_axb_10_lut6_2_o5)) - (portRef I1 (instanceRef un1_dout_3_1_axb_10_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_3_1_axb_16_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_3_1_axb_16_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_3_1_axb_17_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_3_1_axb_17_lut6_2_o6)) (portRef (member DI 1) (instanceRef un1_dout_3_1_cry_19)) (portRef (member DI 2) (instanceRef un1_dout_3_1_cry_19)) (portRef (member DI 3) (instanceRef un1_dout_3_1_cry_19)) @@ -76044,9 +76240,9 @@ (portRef O (instanceRef un1_dout_3_1_axb_0_lut6_2_o6)) (portRef (member S 3) (instanceRef un1_dout_3_1_cry_3)) )) - (net un1_dout_3_1_axb_18 (joined + (net un1_dout_3_1_axb_14 (joined (portRef O (instanceRef un1_dout_3_1_axb_0_lut6_2_o5)) - (portRef (member S 1) (instanceRef un1_dout_3_1_cry_19)) + (portRef (member S 1) (instanceRef un1_dout_3_1_cry_15)) )) (net (rename dout_3_1 "dout_3[1]") (joined (portRef (member dout_3 34) (instanceRef inst_iir_3)) @@ -76064,62 +76260,61 @@ (portRef O (instanceRef un1_dout_3_1_axb_1_lut6_2_o5)) (portRef (member S 0) (instanceRef un1_dout_3_1_cry_3)) )) - (net (rename dout_3_19 "dout_3[19]") (joined - (portRef (member dout_3 16) (instanceRef inst_iir_3)) - (portRef I0 (instanceRef un1_dout_3_1_axb_2_lut6_2_o5)) - (portRef (member DI 0) (instanceRef un1_dout_3_1_cry_19)) - )) (net (rename dout_3_2 "dout_3[2]") (joined (portRef (member dout_3 33) (instanceRef inst_iir_3)) (portRef I0 (instanceRef un1_dout_3_1_axb_2_lut6_2_o6)) )) + (net (rename dout_3_15 "dout_3[15]") (joined + (portRef (member dout_3 20) (instanceRef inst_iir_3)) + (portRef I0 (instanceRef un1_dout_3_1_axb_2_lut6_2_o5)) + )) (net un1_dout_3_1_axb_2 (joined (portRef O (instanceRef un1_dout_3_1_axb_2_lut6_2_o6)) (portRef (member S 1) (instanceRef un1_dout_3_1_cry_3)) )) - (net un1_dout_3_1_axb_19 (joined + (net un1_dout_3_1_axb_15 (joined (portRef O (instanceRef un1_dout_3_1_axb_2_lut6_2_o5)) - (portRef (member S 0) (instanceRef un1_dout_3_1_cry_19)) + (portRef (member S 0) (instanceRef un1_dout_3_1_cry_15)) )) (net (rename dout_3_4 "dout_3[4]") (joined (portRef (member dout_3 31) (instanceRef inst_iir_3)) (portRef I0 (instanceRef un1_dout_3_1_axb_4_lut6_2_o6)) )) - (net (rename dout_3_16 "dout_3[16]") (joined - (portRef (member dout_3 19) (instanceRef inst_iir_3)) + (net (rename dout_3_13 "dout_3[13]") (joined + (portRef (member dout_3 22) (instanceRef inst_iir_3)) (portRef I0 (instanceRef un1_dout_3_1_axb_4_lut6_2_o5)) )) (net un1_dout_3_1_axb_4 (joined (portRef O (instanceRef un1_dout_3_1_axb_4_lut6_2_o6)) (portRef (member S 3) (instanceRef un1_dout_3_1_cry_7)) )) - (net un1_dout_3_1_axb_16 (joined + (net un1_dout_3_1_axb_13 (joined (portRef O (instanceRef un1_dout_3_1_axb_4_lut6_2_o5)) - (portRef (member S 3) (instanceRef un1_dout_3_1_cry_19)) + (portRef (member S 2) (instanceRef un1_dout_3_1_cry_15)) )) (net (rename dout_3_5 "dout_3[5]") (joined (portRef (member dout_3 30) (instanceRef inst_iir_3)) (portRef I0 (instanceRef un1_dout_3_1_axb_5_lut6_2_o6)) )) - (net (rename dout_3_17 "dout_3[17]") (joined - (portRef (member dout_3 18) (instanceRef inst_iir_3)) + (net (rename dout_3_12 "dout_3[12]") (joined + (portRef (member dout_3 23) (instanceRef inst_iir_3)) (portRef I0 (instanceRef un1_dout_3_1_axb_5_lut6_2_o5)) )) (net un1_dout_3_1_axb_5 (joined (portRef O (instanceRef un1_dout_3_1_axb_5_lut6_2_o6)) (portRef (member S 2) (instanceRef un1_dout_3_1_cry_7)) )) - (net un1_dout_3_1_axb_17 (joined + (net un1_dout_3_1_axb_12 (joined (portRef O (instanceRef un1_dout_3_1_axb_5_lut6_2_o5)) - (portRef (member S 2) (instanceRef un1_dout_3_1_cry_19)) - )) - (net (rename dout_3_11 "dout_3[11]") (joined - (portRef (member dout_3 24) (instanceRef inst_iir_3)) - (portRef I1 (instanceRef un1_dout_3_1_axb_6_lut6_2_o5)) + (portRef (member S 3) (instanceRef un1_dout_3_1_cry_15)) )) (net (rename dout_3_6 "dout_3[6]") (joined (portRef (member dout_3 29) (instanceRef inst_iir_3)) - (portRef I1 (instanceRef un1_dout_3_1_axb_6_lut6_2_o6)) + (portRef I0 (instanceRef un1_dout_3_1_axb_6_lut6_2_o6)) + )) + (net (rename dout_3_11 "dout_3[11]") (joined + (portRef (member dout_3 24) (instanceRef inst_iir_3)) + (portRef I0 (instanceRef un1_dout_3_1_axb_6_lut6_2_o5)) )) (net un1_dout_3_1_axb_6 (joined (portRef O (instanceRef un1_dout_3_1_axb_6_lut6_2_o6)) @@ -76129,8 +76324,8 @@ (portRef O (instanceRef un1_dout_3_1_axb_6_lut6_2_o5)) (portRef (member S 0) (instanceRef un1_dout_3_1_cry_11)) )) - (net (rename dout_3_15 "dout_3[15]") (joined - (portRef (member dout_3 20) (instanceRef inst_iir_3)) + (net (rename dout_3_10 "dout_3[10]") (joined + (portRef (member dout_3 25) (instanceRef inst_iir_3)) (portRef I0 (instanceRef un1_dout_3_1_axb_7_lut6_2_o5)) )) (net (rename dout_3_7 "dout_3[7]") (joined @@ -76141,12 +76336,12 @@ (portRef O (instanceRef un1_dout_3_1_axb_7_lut6_2_o6)) (portRef (member S 0) (instanceRef un1_dout_3_1_cry_7)) )) - (net un1_dout_3_1_axb_15 (joined + (net un1_dout_3_1_axb_10 (joined (portRef O (instanceRef un1_dout_3_1_axb_7_lut6_2_o5)) - (portRef (member S 0) (instanceRef un1_dout_3_1_cry_15)) + (portRef (member S 1) (instanceRef un1_dout_3_1_cry_11)) )) - (net (rename dout_3_14 "dout_3[14]") (joined - (portRef (member dout_3 21) (instanceRef inst_iir_3)) + (net (rename dout_3_9 "dout_3[9]") (joined + (portRef (member dout_3 26) (instanceRef inst_iir_3)) (portRef I0 (instanceRef un1_dout_3_1_axb_8_lut6_2_o5)) )) (net (rename dout_3_8 "dout_3[8]") (joined @@ -76157,50 +76352,51 @@ (portRef O (instanceRef un1_dout_3_1_axb_8_lut6_2_o6)) (portRef (member S 3) (instanceRef un1_dout_3_1_cry_11)) )) - (net un1_dout_3_1_axb_14 (joined - (portRef O (instanceRef un1_dout_3_1_axb_8_lut6_2_o5)) - (portRef (member S 1) (instanceRef un1_dout_3_1_cry_15)) - )) - (net (rename dout_3_9 "dout_3[9]") (joined - (portRef (member dout_3 26) (instanceRef inst_iir_3)) - (portRef I0 (instanceRef un1_dout_3_1_axb_9_lut6_2_o6)) - )) - (net (rename dout_3_13 "dout_3[13]") (joined - (portRef (member dout_3 22) (instanceRef inst_iir_3)) - (portRef I0 (instanceRef un1_dout_3_1_axb_9_lut6_2_o5)) - )) (net un1_dout_3_1_axb_9 (joined - (portRef O (instanceRef un1_dout_3_1_axb_9_lut6_2_o6)) + (portRef O (instanceRef un1_dout_3_1_axb_8_lut6_2_o5)) (portRef (member S 2) (instanceRef un1_dout_3_1_cry_11)) )) - (net un1_dout_3_1_axb_13 (joined - (portRef O (instanceRef un1_dout_3_1_axb_9_lut6_2_o5)) - (portRef (member S 2) (instanceRef un1_dout_3_1_cry_15)) + (net (rename dout_3_16 "dout_3[16]") (joined + (portRef (member dout_3 19) (instanceRef inst_iir_3)) + (portRef I0 (instanceRef un1_dout_3_1_axb_16_lut6_2_o6)) )) - (net (rename dout_3_10 "dout_3[10]") (joined - (portRef (member dout_3 25) (instanceRef inst_iir_3)) - (portRef I0 (instanceRef un1_dout_3_1_axb_10_lut6_2_o6)) + (net (rename dout_3_18 "dout_3[18]") (joined + (portRef (member dout_3 17) (instanceRef inst_iir_3)) + (portRef I0 (instanceRef un1_dout_3_1_axb_16_lut6_2_o5)) )) - (net (rename dout_3_12 "dout_3[12]") (joined - (portRef (member dout_3 23) (instanceRef inst_iir_3)) - (portRef I0 (instanceRef un1_dout_3_1_axb_10_lut6_2_o5)) + (net un1_dout_3_1_axb_16 (joined + (portRef O (instanceRef un1_dout_3_1_axb_16_lut6_2_o6)) + (portRef (member S 3) (instanceRef un1_dout_3_1_cry_19)) )) - (net un1_dout_3_1_axb_10 (joined - (portRef O (instanceRef un1_dout_3_1_axb_10_lut6_2_o6)) - (portRef (member S 1) (instanceRef un1_dout_3_1_cry_11)) + (net un1_dout_3_1_axb_18 (joined + (portRef O (instanceRef un1_dout_3_1_axb_16_lut6_2_o5)) + (portRef (member S 1) (instanceRef un1_dout_3_1_cry_19)) )) - (net un1_dout_3_1_axb_12 (joined - (portRef O (instanceRef un1_dout_3_1_axb_10_lut6_2_o5)) - (portRef (member S 3) (instanceRef un1_dout_3_1_cry_15)) + (net (rename dout_3_17 "dout_3[17]") (joined + (portRef (member dout_3 18) (instanceRef inst_iir_3)) + (portRef I0 (instanceRef un1_dout_3_1_axb_17_lut6_2_o6)) + )) + (net (rename dout_3_19 "dout_3[19]") (joined + (portRef (member dout_3 16) (instanceRef inst_iir_3)) + (portRef I0 (instanceRef un1_dout_3_1_axb_17_lut6_2_o5)) + (portRef (member DI 0) (instanceRef un1_dout_3_1_cry_19)) + )) + (net un1_dout_3_1_axb_17 (joined + (portRef O (instanceRef un1_dout_3_1_axb_17_lut6_2_o6)) + (portRef (member S 2) (instanceRef un1_dout_3_1_cry_19)) + )) + (net un1_dout_3_1_axb_19 (joined + (portRef O (instanceRef un1_dout_3_1_axb_17_lut6_2_o5)) + (portRef (member S 0) (instanceRef un1_dout_3_1_cry_19)) + )) + (net (rename dout_4_3 "dout_4[3]") (joined + (portRef (member dout_4 32) (instanceRef inst_iir_4)) + (portRef I0 (instanceRef un1_dout_4_1_axb_0_lut6_2_o5)) )) (net (rename dout_4_0 "dout_4[0]") (joined (portRef (member dout_4 35) (instanceRef inst_iir_4)) (portRef I0 (instanceRef un1_dout_4_1_axb_0_lut6_2_o6)) )) - (net (rename dout_4_15 "dout_4[15]") (joined - (portRef (member dout_4 20) (instanceRef inst_iir_4)) - (portRef I0 (instanceRef un1_dout_4_1_axb_0_lut6_2_o5)) - )) (net (rename dout_4_35 "dout_4[35]") (joined (portRef (member dout_4 0) (instanceRef inst_iir_4)) (portRef I1 (instanceRef un1_dout_4_1_axb_0_lut6_2_o5)) @@ -76209,16 +76405,16 @@ (portRef I1 (instanceRef un1_dout_4_1_axb_1_lut6_2_o6)) (portRef I1 (instanceRef un1_dout_4_1_axb_2_lut6_2_o5)) (portRef I1 (instanceRef un1_dout_4_1_axb_2_lut6_2_o6)) - (portRef I1 (instanceRef un1_dout_4_1_axb_3_lut6_2_o5)) - (portRef I1 (instanceRef un1_dout_4_1_axb_3_lut6_2_o6)) - (portRef I1 (instanceRef un1_dout_4_1_axb_4_lut6_2_o5)) - (portRef I1 (instanceRef un1_dout_4_1_axb_4_lut6_2_o6)) - (portRef I1 (instanceRef un1_dout_4_1_axb_7_lut6_2_o5)) - (portRef I1 (instanceRef un1_dout_4_1_axb_7_lut6_2_o6)) - (portRef I1 (instanceRef un1_dout_4_1_axb_8_lut6_2_o5)) - (portRef I1 (instanceRef un1_dout_4_1_axb_8_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_4_1_axb_5_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_4_1_axb_5_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_4_1_axb_6_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_4_1_axb_6_lut6_2_o6)) (portRef I1 (instanceRef un1_dout_4_1_axb_9_lut6_2_o5)) (portRef I1 (instanceRef un1_dout_4_1_axb_9_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_4_1_axb_10_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_4_1_axb_10_lut6_2_o6)) + (portRef I1 (instanceRef un1_dout_4_1_axb_13_lut6_2_o5)) + (portRef I1 (instanceRef un1_dout_4_1_axb_13_lut6_2_o6)) (portRef I1 (instanceRef un1_dout_4_1_axb_16_lut6_2_o5)) (portRef I1 (instanceRef un1_dout_4_1_axb_16_lut6_2_o6)) (portRef I1 (instanceRef un1_dout_4_1_axb_17_lut6_2_o5)) @@ -76248,130 +76444,130 @@ (portRef O (instanceRef un1_dout_4_1_axb_0_lut6_2_o6)) (portRef (member S 3) (instanceRef un1_dout_4_1_cry_3)) )) - (net un1_dout_4_1_axb_15 (joined + (net un1_dout_4_1_axb_3 (joined (portRef O (instanceRef un1_dout_4_1_axb_0_lut6_2_o5)) - (portRef (member S 0) (instanceRef un1_dout_4_1_cry_15)) + (portRef (member S 0) (instanceRef un1_dout_4_1_cry_3)) )) (net (rename dout_4_1 "dout_4[1]") (joined (portRef (member dout_4 34) (instanceRef inst_iir_4)) (portRef I0 (instanceRef un1_dout_4_1_axb_1_lut6_2_o6)) )) - (net (rename dout_4_14 "dout_4[14]") (joined - (portRef (member dout_4 21) (instanceRef inst_iir_4)) + (net (rename dout_4_15 "dout_4[15]") (joined + (portRef (member dout_4 20) (instanceRef inst_iir_4)) (portRef I0 (instanceRef un1_dout_4_1_axb_1_lut6_2_o5)) )) (net un1_dout_4_1_axb_1 (joined (portRef O (instanceRef un1_dout_4_1_axb_1_lut6_2_o6)) (portRef (member S 2) (instanceRef un1_dout_4_1_cry_3)) )) - (net un1_dout_4_1_axb_14 (joined + (net un1_dout_4_1_axb_15 (joined (portRef O (instanceRef un1_dout_4_1_axb_1_lut6_2_o5)) - (portRef (member S 1) (instanceRef un1_dout_4_1_cry_15)) + (portRef (member S 0) (instanceRef un1_dout_4_1_cry_15)) + )) + (net (rename dout_4_4 "dout_4[4]") (joined + (portRef (member dout_4 31) (instanceRef inst_iir_4)) + (portRef I0 (instanceRef un1_dout_4_1_axb_2_lut6_2_o5)) )) (net (rename dout_4_2 "dout_4[2]") (joined (portRef (member dout_4 33) (instanceRef inst_iir_4)) (portRef I0 (instanceRef un1_dout_4_1_axb_2_lut6_2_o6)) )) - (net (rename dout_4_13 "dout_4[13]") (joined - (portRef (member dout_4 22) (instanceRef inst_iir_4)) - (portRef I0 (instanceRef un1_dout_4_1_axb_2_lut6_2_o5)) - )) (net un1_dout_4_1_axb_2 (joined (portRef O (instanceRef un1_dout_4_1_axb_2_lut6_2_o6)) (portRef (member S 1) (instanceRef un1_dout_4_1_cry_3)) )) - (net un1_dout_4_1_axb_13 (joined + (net un1_dout_4_1_axb_4 (joined (portRef O (instanceRef un1_dout_4_1_axb_2_lut6_2_o5)) - (portRef (member S 2) (instanceRef un1_dout_4_1_cry_15)) - )) - (net (rename dout_4_3 "dout_4[3]") (joined - (portRef (member dout_4 32) (instanceRef inst_iir_4)) - (portRef I0 (instanceRef un1_dout_4_1_axb_3_lut6_2_o6)) + (portRef (member S 3) (instanceRef un1_dout_4_1_cry_7)) )) (net (rename dout_4_5 "dout_4[5]") (joined (portRef (member dout_4 30) (instanceRef inst_iir_4)) - (portRef I0 (instanceRef un1_dout_4_1_axb_3_lut6_2_o5)) - )) - (net un1_dout_4_1_axb_3 (joined - (portRef O (instanceRef un1_dout_4_1_axb_3_lut6_2_o6)) - (portRef (member S 0) (instanceRef un1_dout_4_1_cry_3)) - )) - (net un1_dout_4_1_axb_5 (joined - (portRef O (instanceRef un1_dout_4_1_axb_3_lut6_2_o5)) - (portRef (member S 2) (instanceRef un1_dout_4_1_cry_7)) - )) - (net (rename dout_4_4 "dout_4[4]") (joined - (portRef (member dout_4 31) (instanceRef inst_iir_4)) - (portRef I0 (instanceRef un1_dout_4_1_axb_4_lut6_2_o6)) - )) - (net (rename dout_4_6 "dout_4[6]") (joined - (portRef (member dout_4 29) (instanceRef inst_iir_4)) - (portRef I0 (instanceRef un1_dout_4_1_axb_4_lut6_2_o5)) - )) - (net un1_dout_4_1_axb_4 (joined - (portRef O (instanceRef un1_dout_4_1_axb_4_lut6_2_o6)) - (portRef (member S 3) (instanceRef un1_dout_4_1_cry_7)) - )) - (net un1_dout_4_1_axb_6 (joined - (portRef O (instanceRef un1_dout_4_1_axb_4_lut6_2_o5)) - (portRef (member S 1) (instanceRef un1_dout_4_1_cry_7)) + (portRef I0 (instanceRef un1_dout_4_1_axb_5_lut6_2_o6)) )) (net (rename dout_4_7 "dout_4[7]") (joined (portRef (member dout_4 28) (instanceRef inst_iir_4)) - (portRef I0 (instanceRef un1_dout_4_1_axb_7_lut6_2_o6)) + (portRef I0 (instanceRef un1_dout_4_1_axb_5_lut6_2_o5)) )) - (net (rename dout_4_12 "dout_4[12]") (joined - (portRef (member dout_4 23) (instanceRef inst_iir_4)) - (portRef I0 (instanceRef un1_dout_4_1_axb_7_lut6_2_o5)) + (net un1_dout_4_1_axb_5 (joined + (portRef O (instanceRef un1_dout_4_1_axb_5_lut6_2_o6)) + (portRef (member S 2) (instanceRef un1_dout_4_1_cry_7)) )) (net un1_dout_4_1_axb_7 (joined - (portRef O (instanceRef un1_dout_4_1_axb_7_lut6_2_o6)) + (portRef O (instanceRef un1_dout_4_1_axb_5_lut6_2_o5)) (portRef (member S 0) (instanceRef un1_dout_4_1_cry_7)) )) - (net un1_dout_4_1_axb_12 (joined - (portRef O (instanceRef un1_dout_4_1_axb_7_lut6_2_o5)) - (portRef (member S 3) (instanceRef un1_dout_4_1_cry_15)) - )) (net (rename dout_4_8 "dout_4[8]") (joined (portRef (member dout_4 27) (instanceRef inst_iir_4)) - (portRef I0 (instanceRef un1_dout_4_1_axb_8_lut6_2_o6)) + (portRef I0 (instanceRef un1_dout_4_1_axb_6_lut6_2_o5)) + )) + (net (rename dout_4_6 "dout_4[6]") (joined + (portRef (member dout_4 29) (instanceRef inst_iir_4)) + (portRef I0 (instanceRef un1_dout_4_1_axb_6_lut6_2_o6)) + )) + (net un1_dout_4_1_axb_6 (joined + (portRef O (instanceRef un1_dout_4_1_axb_6_lut6_2_o6)) + (portRef (member S 1) (instanceRef un1_dout_4_1_cry_7)) + )) + (net un1_dout_4_1_axb_8 (joined + (portRef O (instanceRef un1_dout_4_1_axb_6_lut6_2_o5)) + (portRef (member S 3) (instanceRef un1_dout_4_1_cry_11)) )) (net (rename dout_4_11 "dout_4[11]") (joined (portRef (member dout_4 24) (instanceRef inst_iir_4)) - (portRef I0 (instanceRef un1_dout_4_1_axb_8_lut6_2_o5)) - )) - (net un1_dout_4_1_axb_8 (joined - (portRef O (instanceRef un1_dout_4_1_axb_8_lut6_2_o6)) - (portRef (member S 3) (instanceRef un1_dout_4_1_cry_11)) - )) - (net un1_dout_4_1_axb_11 (joined - (portRef O (instanceRef un1_dout_4_1_axb_8_lut6_2_o5)) - (portRef (member S 0) (instanceRef un1_dout_4_1_cry_11)) + (portRef I0 (instanceRef un1_dout_4_1_axb_9_lut6_2_o5)) )) (net (rename dout_4_9 "dout_4[9]") (joined (portRef (member dout_4 26) (instanceRef inst_iir_4)) (portRef I0 (instanceRef un1_dout_4_1_axb_9_lut6_2_o6)) )) - (net (rename dout_4_10 "dout_4[10]") (joined - (portRef (member dout_4 25) (instanceRef inst_iir_4)) - (portRef I0 (instanceRef un1_dout_4_1_axb_9_lut6_2_o5)) - )) (net un1_dout_4_1_axb_9 (joined (portRef O (instanceRef un1_dout_4_1_axb_9_lut6_2_o6)) (portRef (member S 2) (instanceRef un1_dout_4_1_cry_11)) )) - (net un1_dout_4_1_axb_10 (joined + (net un1_dout_4_1_axb_11 (joined (portRef O (instanceRef un1_dout_4_1_axb_9_lut6_2_o5)) + (portRef (member S 0) (instanceRef un1_dout_4_1_cry_11)) + )) + (net (rename dout_4_10 "dout_4[10]") (joined + (portRef (member dout_4 25) (instanceRef inst_iir_4)) + (portRef I0 (instanceRef un1_dout_4_1_axb_10_lut6_2_o6)) + )) + (net (rename dout_4_12 "dout_4[12]") (joined + (portRef (member dout_4 23) (instanceRef inst_iir_4)) + (portRef I0 (instanceRef un1_dout_4_1_axb_10_lut6_2_o5)) + )) + (net un1_dout_4_1_axb_10 (joined + (portRef O (instanceRef un1_dout_4_1_axb_10_lut6_2_o6)) (portRef (member S 1) (instanceRef un1_dout_4_1_cry_11)) )) - (net (rename dout_4_16 "dout_4[16]") (joined - (portRef (member dout_4 19) (instanceRef inst_iir_4)) - (portRef I0 (instanceRef un1_dout_4_1_axb_16_lut6_2_o6)) + (net un1_dout_4_1_axb_12 (joined + (portRef O (instanceRef un1_dout_4_1_axb_10_lut6_2_o5)) + (portRef (member S 3) (instanceRef un1_dout_4_1_cry_15)) + )) + (net (rename dout_4_13 "dout_4[13]") (joined + (portRef (member dout_4 22) (instanceRef inst_iir_4)) + (portRef I0 (instanceRef un1_dout_4_1_axb_13_lut6_2_o6)) + )) + (net (rename dout_4_14 "dout_4[14]") (joined + (portRef (member dout_4 21) (instanceRef inst_iir_4)) + (portRef I0 (instanceRef un1_dout_4_1_axb_13_lut6_2_o5)) + )) + (net un1_dout_4_1_axb_13 (joined + (portRef O (instanceRef un1_dout_4_1_axb_13_lut6_2_o6)) + (portRef (member S 2) (instanceRef un1_dout_4_1_cry_15)) + )) + (net un1_dout_4_1_axb_14 (joined + (portRef O (instanceRef un1_dout_4_1_axb_13_lut6_2_o5)) + (portRef (member S 1) (instanceRef un1_dout_4_1_cry_15)) )) (net (rename dout_4_18 "dout_4[18]") (joined (portRef (member dout_4 17) (instanceRef inst_iir_4)) (portRef I0 (instanceRef un1_dout_4_1_axb_16_lut6_2_o5)) )) + (net (rename dout_4_16 "dout_4[16]") (joined + (portRef (member dout_4 19) (instanceRef inst_iir_4)) + (portRef I0 (instanceRef un1_dout_4_1_axb_16_lut6_2_o6)) + )) (net un1_dout_4_1_axb_16 (joined (portRef O (instanceRef un1_dout_4_1_axb_16_lut6_2_o6)) (portRef (member S 3) (instanceRef un1_dout_4_1_cry_19)) @@ -76397,14 +76593,14 @@ (portRef O (instanceRef un1_dout_4_1_axb_17_lut6_2_o5)) (portRef (member S 0) (instanceRef un1_dout_4_1_cry_19)) )) - (net (rename dout_5_0 "dout_5[0]") (joined - (portRef (member dout_5 35) (instanceRef inst_iir_5)) - (portRef I0 (instanceRef un1_dout_5_1_axb_0_lut6_2_o6)) - )) (net (rename dout_5_2 "dout_5[2]") (joined (portRef (member dout_5 33) (instanceRef inst_iir_5)) (portRef I0 (instanceRef un1_dout_5_1_axb_0_lut6_2_o5)) )) + (net (rename dout_5_0 "dout_5[0]") (joined + (portRef (member dout_5 35) (instanceRef inst_iir_5)) + (portRef I0 (instanceRef un1_dout_5_1_axb_0_lut6_2_o6)) + )) (net (rename dout_5_35 "dout_5[35]") (joined (portRef (member dout_5 0) (instanceRef inst_iir_5)) (portRef I1 (instanceRef un1_dout_5_1_axb_0_lut6_2_o5)) @@ -76456,14 +76652,14 @@ (portRef O (instanceRef un1_dout_5_1_axb_0_lut6_2_o5)) (portRef (member S 1) (instanceRef un1_dout_5_1_cry_3)) )) - (net (rename dout_5_1 "dout_5[1]") (joined - (portRef (member dout_5 34) (instanceRef inst_iir_5)) - (portRef I0 (instanceRef un1_dout_5_1_axb_1_lut6_2_o6)) - )) (net (rename dout_5_3 "dout_5[3]") (joined (portRef (member dout_5 32) (instanceRef inst_iir_5)) (portRef I0 (instanceRef un1_dout_5_1_axb_1_lut6_2_o5)) )) + (net (rename dout_5_1 "dout_5[1]") (joined + (portRef (member dout_5 34) (instanceRef inst_iir_5)) + (portRef I0 (instanceRef un1_dout_5_1_axb_1_lut6_2_o6)) + )) (net un1_dout_5_1_axb_1 (joined (portRef O (instanceRef un1_dout_5_1_axb_1_lut6_2_o6)) (portRef (member S 2) (instanceRef un1_dout_5_1_cry_3)) @@ -76520,14 +76716,14 @@ (portRef O (instanceRef un1_dout_5_1_axb_8_lut6_2_o5)) (portRef (member S 1) (instanceRef un1_dout_5_1_cry_11)) )) - (net (rename dout_5_9 "dout_5[9]") (joined - (portRef (member dout_5 26) (instanceRef inst_iir_5)) - (portRef I0 (instanceRef un1_dout_5_1_axb_9_lut6_2_o6)) - )) (net (rename dout_5_11 "dout_5[11]") (joined (portRef (member dout_5 24) (instanceRef inst_iir_5)) (portRef I0 (instanceRef un1_dout_5_1_axb_9_lut6_2_o5)) )) + (net (rename dout_5_9 "dout_5[9]") (joined + (portRef (member dout_5 26) (instanceRef inst_iir_5)) + (portRef I0 (instanceRef un1_dout_5_1_axb_9_lut6_2_o6)) + )) (net un1_dout_5_1_axb_9 (joined (portRef O (instanceRef un1_dout_5_1_axb_9_lut6_2_o6)) (portRef (member S 2) (instanceRef un1_dout_5_1_cry_11)) @@ -76601,14 +76797,14 @@ (portRef O (instanceRef un1_dout_5_1_axb_17_lut6_2_o5)) (portRef (member S 0) (instanceRef un1_dout_5_1_cry_19)) )) - (net (rename dout_0_0 "dout_0[0]") (joined - (portRef (member dout_0 35) (instanceRef inst_iir_0)) - (portRef I0 (instanceRef un1_dout_0_1_axb_0_lut6_2_o6)) - )) (net (rename dout_0_2 "dout_0[2]") (joined (portRef (member dout_0 33) (instanceRef inst_iir_0)) (portRef I0 (instanceRef un1_dout_0_1_axb_0_lut6_2_o5)) )) + (net (rename dout_0_0 "dout_0[0]") (joined + (portRef (member dout_0 35) (instanceRef inst_iir_0)) + (portRef I0 (instanceRef un1_dout_0_1_axb_0_lut6_2_o6)) + )) (net (rename dout_0_35 "dout_0[35]") (joined (portRef (member dout_0 0) (instanceRef inst_iir_0)) (portRef I1 (instanceRef un1_dout_0_1_axb_0_lut6_2_o5)) @@ -76660,14 +76856,14 @@ (portRef O (instanceRef un1_dout_0_1_axb_0_lut6_2_o5)) (portRef (member S 1) (instanceRef un1_dout_0_1_cry_3)) )) - (net (rename dout_0_3 "dout_0[3]") (joined - (portRef (member dout_0 32) (instanceRef inst_iir_0)) - (portRef I0 (instanceRef un1_dout_0_1_axb_1_lut6_2_o5)) - )) (net (rename dout_0_1 "dout_0[1]") (joined (portRef (member dout_0 34) (instanceRef inst_iir_0)) (portRef I0 (instanceRef un1_dout_0_1_axb_1_lut6_2_o6)) )) + (net (rename dout_0_3 "dout_0[3]") (joined + (portRef (member dout_0 32) (instanceRef inst_iir_0)) + (portRef I0 (instanceRef un1_dout_0_1_axb_1_lut6_2_o5)) + )) (net un1_dout_0_1_axb_1 (joined (portRef O (instanceRef un1_dout_0_1_axb_1_lut6_2_o6)) (portRef (member S 2) (instanceRef un1_dout_0_1_cry_3)) @@ -76724,14 +76920,14 @@ (portRef O (instanceRef un1_dout_0_1_axb_8_lut6_2_o5)) (portRef (member S 1) (instanceRef un1_dout_0_1_cry_11)) )) - (net (rename dout_0_9 "dout_0[9]") (joined - (portRef (member dout_0 26) (instanceRef inst_iir_0)) - (portRef I0 (instanceRef un1_dout_0_1_axb_9_lut6_2_o6)) - )) (net (rename dout_0_11 "dout_0[11]") (joined (portRef (member dout_0 24) (instanceRef inst_iir_0)) (portRef I0 (instanceRef un1_dout_0_1_axb_9_lut6_2_o5)) )) + (net (rename dout_0_9 "dout_0[9]") (joined + (portRef (member dout_0 26) (instanceRef inst_iir_0)) + (portRef I0 (instanceRef un1_dout_0_1_axb_9_lut6_2_o6)) + )) (net un1_dout_0_1_axb_9 (joined (portRef O (instanceRef un1_dout_0_1_axb_9_lut6_2_o6)) (portRef (member S 2) (instanceRef un1_dout_0_1_cry_11)) @@ -76740,14 +76936,14 @@ (portRef O (instanceRef un1_dout_0_1_axb_9_lut6_2_o5)) (portRef (member S 0) (instanceRef un1_dout_0_1_cry_11)) )) - (net (rename dout_0_12 "dout_0[12]") (joined - (portRef (member dout_0 23) (instanceRef inst_iir_0)) - (portRef I0 (instanceRef un1_dout_0_1_axb_12_lut6_2_o6)) - )) (net (rename dout_0_14 "dout_0[14]") (joined (portRef (member dout_0 21) (instanceRef inst_iir_0)) (portRef I0 (instanceRef un1_dout_0_1_axb_12_lut6_2_o5)) )) + (net (rename dout_0_12 "dout_0[12]") (joined + (portRef (member dout_0 23) (instanceRef inst_iir_0)) + (portRef I0 (instanceRef un1_dout_0_1_axb_12_lut6_2_o6)) + )) (net un1_dout_0_1_axb_12 (joined (portRef O (instanceRef un1_dout_0_1_axb_12_lut6_2_o6)) (portRef (member S 3) (instanceRef un1_dout_0_1_cry_15)) @@ -76812,12 +77008,12 @@ (net (rename din_r4_1_DOUTZ0Z_0 "din_r4_1_DOUT[0]") (joined (portRef Q (instanceRef din_r4_1_DOUT_0)) (portRef I0 (instanceRef Ysum_8_0_cry_2_RNO_lut6_2_o5)) - (portRef I0 (instanceRef Ysum_8_0_axb_13)) + (portRef I1 (instanceRef Ysum_8_0_axb_13)) )) (net (rename dout_cut4_r0Z0Z_13 "dout_cut4_r0[13]") (joined (portRef Q (instanceRef dout_cut4_r0_13)) (portRef I1 (instanceRef Ysum_8_0_cry_2_RNO_lut6_2_o5)) - (portRef I1 (instanceRef Ysum_8_0_axb_13)) + (portRef I0 (instanceRef Ysum_8_0_axb_13)) )) (net (rename dout_cut5_r0Z0Z_13 "dout_cut5_r0[13]") (joined (portRef Q (instanceRef dout_cut5_r0_13)) @@ -76844,7 +77040,7 @@ )) (net Ysum_0 (joined (portRef O (instanceRef Ysum_0_axb_2_lut6_2_o5)) - (portRef I3 (instanceRef Ysum_0_axb_3)) + (portRef I5 (instanceRef Ysum_0_axb_3)) )) (net (rename Ysum_0_cryZ0Z_15 "Ysum_0_cry_15") (joined (portRef (member CO 0) (instanceRef Ysum_0_cry_15)) @@ -76861,7 +77057,7 @@ )) (net (rename Ysum_8_15 "Ysum_8[15]") (joined (portRef (member O 3) (instanceRef Ysum_8_0_s_16)) - (portRef I4 (instanceRef Ysum_0_axb_15)) + (portRef I3 (instanceRef Ysum_0_axb_15)) (portRef I3 (instanceRef Ysum_0_s_16_lut)) )) (net (rename Ysum_8_16 "Ysum_8[16]") (joined @@ -76877,14 +77073,14 @@ (portRef O (instanceRef Ysum_0_s_16_lut)) (portRef I2 (instanceRef dout_r_8_iv_15)) (portRef I4 (instanceRef dout_r_8_iv_11)) - (portRef I3 (instanceRef dout_r_8_iv_12)) - (portRef I3 (instanceRef dout_r_8_iv_13)) + (portRef I4 (instanceRef dout_r_8_iv_12)) + (portRef I4 (instanceRef dout_r_8_iv_13)) (portRef I4 (instanceRef dout_r_8_iv_14)) (portRef I4 (instanceRef dout_r_8_iv_6)) - (portRef I4 (instanceRef dout_r_8_iv_7)) + (portRef I4 (instanceRef dout_r_8_ivZ0Z_7)) (portRef I4 (instanceRef dout_r_8_iv_8)) - (portRef I4 (instanceRef dout_r_8_iv_9)) - (portRef I4 (instanceRef dout_r_8_iv_10)) + (portRef I4 (instanceRef dout_r_8_ivZ0Z_9)) + (portRef I4 (instanceRef dout_r_8_ivZ0Z_10)) (portRef I4 (instanceRef dout_r_8_iv_1)) (portRef I4 (instanceRef dout_r_8_iv_2)) (portRef I4 (instanceRef dout_r_8_iv_3)) @@ -76911,6 +77107,596 @@ (portRef I1 (instanceRef Ysum_6_0_axb_15)) (portRef I3 (instanceRef Ysum_6_0_s_16_lut)) )) + (net (rename iir_out_1 "IIR_out_1") (joined + (portRef Q (instanceRef dout_r_13)) + (portRef I0 (instanceRef dout_r_8_iv_10)) + (portRef IIR_out_1) + )) + (net dout_r_8_iv_10_0 (joined + (portRef LO (instanceRef dout_r_8_iv_10)) + (portRef D (instanceRef dout_r_13)) + )) + (net clk (joined + (portRef clk) + (portRef clk (instanceRef inst_iir_0)) + (portRef clk (instanceRef inst_iir_1)) + (portRef clk (instanceRef inst_iir_2)) + (portRef clk (instanceRef inst_iir_3)) + (portRef clk (instanceRef inst_iir_4)) + (portRef clk (instanceRef inst_iir_5)) + (portRef clk (instanceRef inst_diffRe)) + (portRef C (instanceRef dout_r_1)) + (portRef C (instanceRef dout_r_0)) + (portRef C (instanceRef dout_r_15)) + (portRef C (instanceRef dout_r_14)) + (portRef C (instanceRef dout_r_11)) + (portRef C (instanceRef dout_r_9)) + (portRef C (instanceRef dout_r_8)) + (portRef C (instanceRef dout_r_7)) + (portRef C (instanceRef dout_r_6)) + (portRef C (instanceRef dout_r_5)) + (portRef C (instanceRef dout_r_4)) + (portRef C (instanceRef dout_r_3)) + (portRef C (instanceRef dout_r_2)) + (portRef C (instanceRef dout_cut5_r0_15)) + (portRef C (instanceRef dout_cut5_r0_14)) + (portRef C (instanceRef dout_cut5_r0_13)) + (portRef C (instanceRef dout_cut5_r0_12)) + (portRef C (instanceRef dout_cut5_r0_11)) + (portRef C (instanceRef dout_cut5_r0_10)) + (portRef C (instanceRef dout_cut5_r0_9)) + (portRef C (instanceRef dout_cut5_r0_8)) + (portRef C (instanceRef dout_cut5_r0_7)) + (portRef C (instanceRef dout_cut5_r0_6)) + (portRef C (instanceRef dout_cut5_r0_5)) + (portRef C (instanceRef dout_cut5_r0_4)) + (portRef C (instanceRef dout_cut5_r0_3)) + (portRef C (instanceRef dout_cut5_r0_2)) + (portRef C (instanceRef dout_cut5_r0_1)) + (portRef C (instanceRef dout_cut5_r0_0)) + (portRef C (instanceRef dout_cut4_r0_15)) + (portRef C (instanceRef dout_cut4_r0_14)) + (portRef C (instanceRef dout_cut4_r0_13)) + (portRef C (instanceRef dout_cut4_r0_12)) + (portRef C (instanceRef dout_cut4_r0_11)) + (portRef C (instanceRef dout_cut4_r0_10)) + (portRef C (instanceRef dout_cut4_r0_9)) + (portRef C (instanceRef dout_cut4_r0_8)) + (portRef C (instanceRef dout_cut4_r0_7)) + (portRef C (instanceRef dout_cut4_r0_6)) + (portRef C (instanceRef dout_cut4_r0_5)) + (portRef C (instanceRef dout_cut4_r0_4)) + (portRef C (instanceRef dout_cut4_r0_3)) + (portRef C (instanceRef dout_cut4_r0_2)) + (portRef C (instanceRef dout_cut4_r0_1)) + (portRef C (instanceRef dout_cut4_r0_0)) + (portRef C (instanceRef dout_cut3_r0_15)) + (portRef C (instanceRef dout_cut3_r0_14)) + (portRef C (instanceRef dout_cut3_r0_13)) + (portRef C (instanceRef dout_cut3_r0_12)) + (portRef C (instanceRef dout_cut3_r0_11)) + (portRef C (instanceRef dout_cut3_r0_10)) + (portRef C (instanceRef dout_cut3_r0_9)) + (portRef C (instanceRef dout_cut3_r0_8)) + (portRef C (instanceRef dout_cut3_r0_7)) + (portRef C (instanceRef dout_cut3_r0_6)) + (portRef C (instanceRef dout_cut3_r0_5)) + (portRef C (instanceRef dout_cut3_r0_4)) + (portRef C (instanceRef dout_cut3_r0_3)) + (portRef C (instanceRef dout_cut3_r0_2)) + (portRef C (instanceRef dout_cut3_r0_1)) + (portRef C (instanceRef dout_cut3_r0_0)) + (portRef C (instanceRef dout_cut2_r0_15)) + (portRef C (instanceRef dout_cut2_r0_14)) + (portRef C (instanceRef dout_cut2_r0_13)) + (portRef C (instanceRef dout_cut2_r0_12)) + (portRef C (instanceRef dout_cut2_r0_11)) + (portRef C (instanceRef dout_cut2_r0_10)) + (portRef C (instanceRef dout_cut2_r0_9)) + (portRef C (instanceRef dout_cut2_r0_8)) + (portRef C (instanceRef dout_cut2_r0_7)) + (portRef C (instanceRef dout_cut2_r0_6)) + (portRef C (instanceRef dout_cut2_r0_5)) + (portRef C (instanceRef dout_cut2_r0_4)) + (portRef C (instanceRef dout_cut2_r0_3)) + (portRef C (instanceRef dout_cut2_r0_2)) + (portRef C (instanceRef dout_cut2_r0_1)) + (portRef C (instanceRef dout_cut2_r0_0)) + (portRef C (instanceRef dout_cut1_r0_15)) + (portRef C (instanceRef dout_cut1_r0_14)) + (portRef C (instanceRef dout_cut1_r0_13)) + (portRef C (instanceRef dout_cut1_r0_12)) + (portRef C (instanceRef dout_cut1_r0_11)) + (portRef C (instanceRef dout_cut1_r0_10)) + (portRef C (instanceRef dout_cut1_r0_9)) + (portRef C (instanceRef dout_cut1_r0_8)) + (portRef C (instanceRef dout_cut1_r0_7)) + (portRef C (instanceRef dout_cut1_r0_6)) + (portRef C (instanceRef dout_cut1_r0_5)) + (portRef C (instanceRef dout_cut1_r0_4)) + (portRef C (instanceRef dout_cut1_r0_3)) + (portRef C (instanceRef dout_cut1_r0_2)) + (portRef C (instanceRef dout_cut1_r0_1)) + (portRef C (instanceRef dout_cut1_r0_0)) + (portRef C (instanceRef dout_cut0_r0_15)) + (portRef C (instanceRef dout_cut0_r0_14)) + (portRef C (instanceRef dout_cut0_r0_13)) + (portRef C (instanceRef dout_cut0_r0_12)) + (portRef C (instanceRef dout_cut0_r0_11)) + (portRef C (instanceRef dout_cut0_r0_10)) + (portRef C (instanceRef dout_cut0_r0_9)) + (portRef C (instanceRef dout_cut0_r0_8)) + (portRef C (instanceRef dout_cut0_r0_7)) + (portRef C (instanceRef dout_cut0_r0_6)) + (portRef C (instanceRef dout_cut0_r0_5)) + (portRef C (instanceRef dout_cut0_r0_4)) + (portRef C (instanceRef dout_cut0_r0_3)) + (portRef C (instanceRef dout_cut0_r0_2)) + (portRef C (instanceRef dout_cut0_r0_1)) + (portRef C (instanceRef dout_cut0_r0_0)) + (portRef C (instanceRef dout_round_5_35)) + (portRef C (instanceRef dout_round_5_34)) + (portRef C (instanceRef dout_round_5_33)) + (portRef C (instanceRef dout_round_5_32)) + (portRef C (instanceRef dout_round_5_31)) + (portRef C (instanceRef dout_round_5_30)) + (portRef C (instanceRef dout_round_5_29)) + (portRef C (instanceRef dout_round_5_28)) + (portRef C (instanceRef dout_round_5_27)) + (portRef C (instanceRef dout_round_5_26)) + (portRef C (instanceRef dout_round_5_25)) + (portRef C (instanceRef dout_round_5_24)) + (portRef C (instanceRef dout_round_5_23)) + (portRef C (instanceRef dout_round_5_22)) + (portRef C (instanceRef dout_round_5_21)) + (portRef C (instanceRef dout_round_5_20)) + (portRef C (instanceRef dout_round_4_35)) + (portRef C (instanceRef dout_round_4_34)) + (portRef C (instanceRef dout_round_4_33)) + (portRef C (instanceRef dout_round_4_32)) + (portRef C (instanceRef dout_round_4_31)) + (portRef C (instanceRef dout_round_4_30)) + (portRef C (instanceRef dout_round_4_29)) + (portRef C (instanceRef dout_round_4_28)) + (portRef C (instanceRef dout_round_4_27)) + (portRef C (instanceRef dout_round_4_26)) + (portRef C (instanceRef dout_round_4_25)) + (portRef C (instanceRef dout_round_4_24)) + (portRef C (instanceRef dout_round_4_23)) + (portRef C (instanceRef dout_round_4_22)) + (portRef C (instanceRef dout_round_4_21)) + (portRef C (instanceRef dout_round_4_20)) + (portRef C (instanceRef dout_round_3_35)) + (portRef C (instanceRef dout_round_3_34)) + (portRef C (instanceRef dout_round_3_33)) + (portRef C (instanceRef dout_round_3_32)) + (portRef C (instanceRef dout_round_3_31)) + (portRef C (instanceRef dout_round_3_30)) + (portRef C (instanceRef dout_round_3_29)) + (portRef C (instanceRef dout_round_3_28)) + (portRef C (instanceRef dout_round_3_27)) + (portRef C (instanceRef dout_round_3_26)) + (portRef C (instanceRef dout_round_3_25)) + (portRef C (instanceRef dout_round_3_24)) + (portRef C (instanceRef dout_round_3_23)) + (portRef C (instanceRef dout_round_3_22)) + (portRef C (instanceRef dout_round_3_21)) + (portRef C (instanceRef dout_round_3_20)) + (portRef C (instanceRef dout_round_2_35)) + (portRef C (instanceRef dout_round_2_34)) + (portRef C (instanceRef dout_round_2_33)) + (portRef C (instanceRef dout_round_2_32)) + (portRef C (instanceRef dout_round_2_31)) + (portRef C (instanceRef dout_round_2_30)) + (portRef C (instanceRef dout_round_2_29)) + (portRef C (instanceRef dout_round_2_28)) + (portRef C (instanceRef dout_round_2_27)) + (portRef C (instanceRef dout_round_2_26)) + (portRef C (instanceRef dout_round_2_25)) + (portRef C (instanceRef dout_round_2_24)) + (portRef C (instanceRef dout_round_2_23)) + (portRef C (instanceRef dout_round_2_22)) + (portRef C (instanceRef dout_round_2_21)) + (portRef C (instanceRef dout_round_2_20)) + (portRef C (instanceRef dout_round_1_35)) + (portRef C (instanceRef dout_round_1_34)) + (portRef C (instanceRef dout_round_1_33)) + (portRef C (instanceRef dout_round_1_32)) + (portRef C (instanceRef dout_round_1_31)) + (portRef C (instanceRef dout_round_1_30)) + (portRef C (instanceRef dout_round_1_29)) + (portRef C (instanceRef dout_round_1_28)) + (portRef C (instanceRef dout_round_1_27)) + (portRef C (instanceRef dout_round_1_26)) + (portRef C (instanceRef dout_round_1_25)) + (portRef C (instanceRef dout_round_1_24)) + (portRef C (instanceRef dout_round_1_23)) + (portRef C (instanceRef dout_round_1_22)) + (portRef C (instanceRef dout_round_1_21)) + (portRef C (instanceRef dout_round_1_20)) + (portRef C (instanceRef dout_round_0_35)) + (portRef C (instanceRef dout_round_0_34)) + (portRef C (instanceRef dout_round_0_33)) + (portRef C (instanceRef dout_round_0_32)) + (portRef C (instanceRef dout_round_0_31)) + (portRef C (instanceRef dout_round_0_30)) + (portRef C (instanceRef dout_round_0_29)) + (portRef C (instanceRef dout_round_0_28)) + (portRef C (instanceRef dout_round_0_27)) + (portRef C (instanceRef dout_round_0_26)) + (portRef C (instanceRef dout_round_0_25)) + (portRef C (instanceRef dout_round_0_24)) + (portRef C (instanceRef dout_round_0_23)) + (portRef C (instanceRef dout_round_0_22)) + (portRef C (instanceRef dout_round_0_21)) + (portRef C (instanceRef dout_round_0_20)) + (portRef C (instanceRef din_r0_0)) + (portRef C (instanceRef din_r1_0)) + (portRef C (instanceRef din_r0_1)) + (portRef C (instanceRef din_r1_1)) + (portRef C (instanceRef din_r0_2)) + (portRef C (instanceRef din_r1_2)) + (portRef C (instanceRef din_r0_3)) + (portRef C (instanceRef din_r1_3)) + (portRef C (instanceRef din_r0_4)) + (portRef C (instanceRef din_r1_4)) + (portRef C (instanceRef din_r0_5)) + (portRef C (instanceRef din_r1_5)) + (portRef C (instanceRef din_r0_6)) + (portRef C (instanceRef din_r1_6)) + (portRef C (instanceRef din_r0_7)) + (portRef C (instanceRef din_r1_7)) + (portRef C (instanceRef din_r0_8)) + (portRef C (instanceRef din_r1_8)) + (portRef C (instanceRef din_r0_9)) + (portRef C (instanceRef din_r1_9)) + (portRef C (instanceRef din_r0_10)) + (portRef C (instanceRef din_r1_10)) + (portRef C (instanceRef din_r0_11)) + (portRef C (instanceRef din_r1_11)) + (portRef C (instanceRef din_r0_12)) + (portRef C (instanceRef din_r1_12)) + (portRef C (instanceRef din_r0_13)) + (portRef C (instanceRef din_r1_13)) + (portRef C (instanceRef din_r0_14)) + (portRef C (instanceRef din_r1_14)) + (portRef C (instanceRef din_r0_15)) + (portRef C (instanceRef din_r1_15)) + (portRef C (instanceRef din_r4_DOUT_0)) + (portRef C (instanceRef din_r4_0_DOUT_0)) + (portRef C (instanceRef din_r4_1_DOUT_0)) + (portRef C (instanceRef din_r4_2_DOUT_0)) + (portRef C (instanceRef din_r4_3_DOUT_0)) + (portRef C (instanceRef din_r4_4_DOUT_0)) + (portRef C (instanceRef din_r4_5_DOUT_0)) + (portRef C (instanceRef din_r4_6_DOUT_0)) + (portRef C (instanceRef din_r4_7_DOUT_0)) + (portRef C (instanceRef din_r4_8_DOUT_0)) + (portRef C (instanceRef din_r4_9_DOUT_0)) + (portRef C (instanceRef din_r4_10_DOUT_0)) + (portRef C (instanceRef din_r4_11_DOUT_0)) + (portRef C (instanceRef din_r4_12_DOUT_0)) + (portRef C (instanceRef din_r4_13_DOUT_0)) + (portRef C (instanceRef din_r4_14_DOUT_0)) + (portRef CLK (instanceRef din_r4_inst_z_dsp_inst_TailCorr_top_din_r4_1)) + (portRef CLK (instanceRef din_r4_0_inst_z_dsp_inst_TailCorr_top_din_r4_1)) + (portRef CLK (instanceRef din_r4_1_inst_z_dsp_inst_TailCorr_top_din_r4_1)) + (portRef CLK (instanceRef din_r4_2_inst_z_dsp_inst_TailCorr_top_din_r4_1)) + (portRef CLK (instanceRef din_r4_3_inst_z_dsp_inst_TailCorr_top_din_r4_1)) + (portRef CLK (instanceRef din_r4_4_inst_z_dsp_inst_TailCorr_top_din_r4_1)) + (portRef CLK (instanceRef din_r4_5_inst_z_dsp_inst_TailCorr_top_din_r4_1)) + (portRef CLK (instanceRef din_r4_6_inst_z_dsp_inst_TailCorr_top_din_r4_1)) + (portRef CLK (instanceRef din_r4_7_inst_z_dsp_inst_TailCorr_top_din_r4_1)) + (portRef CLK (instanceRef din_r4_8_inst_z_dsp_inst_TailCorr_top_din_r4_1)) + (portRef CLK (instanceRef din_r4_9_inst_z_dsp_inst_TailCorr_top_din_r4_1)) + (portRef CLK (instanceRef din_r4_10_inst_z_dsp_inst_TailCorr_top_din_r4_1)) + (portRef CLK (instanceRef din_r4_11_inst_z_dsp_inst_TailCorr_top_din_r4_1)) + (portRef CLK (instanceRef din_r4_12_inst_z_dsp_inst_TailCorr_top_din_r4_1)) + (portRef CLK (instanceRef din_r4_13_inst_z_dsp_inst_TailCorr_top_din_r4_1)) + (portRef CLK (instanceRef din_r4_14_inst_z_dsp_inst_TailCorr_top_din_r4_1)) + (portRef C (instanceRef dout_r_10)) + (portRef C (instanceRef dout_r_12)) + (portRef C (instanceRef dout_r_13)) + )) + (net rstn_i (joined + (portRef rstn_i) + (portRef rstn_i (instanceRef inst_iir_0)) + (portRef rstn_i (instanceRef inst_iir_1)) + (portRef rstn_i (instanceRef inst_iir_2)) + (portRef rstn_i (instanceRef inst_iir_3)) + (portRef rstn_i (instanceRef inst_iir_4)) + (portRef rstn_i (instanceRef inst_iir_5)) + (portRef rstn_i (instanceRef inst_diffRe)) + (portRef CLR (instanceRef dout_r_1)) + (portRef CLR (instanceRef dout_r_0)) + (portRef CLR (instanceRef dout_r_15)) + (portRef CLR (instanceRef dout_r_14)) + (portRef CLR (instanceRef dout_r_11)) + (portRef CLR (instanceRef dout_r_9)) + (portRef CLR (instanceRef dout_r_8)) + (portRef CLR (instanceRef dout_r_7)) + (portRef CLR (instanceRef dout_r_6)) + (portRef CLR (instanceRef dout_r_5)) + (portRef CLR (instanceRef dout_r_4)) + (portRef CLR (instanceRef dout_r_3)) + (portRef CLR (instanceRef dout_r_2)) + (portRef CLR (instanceRef dout_cut5_r0_15)) + (portRef CLR (instanceRef dout_cut5_r0_14)) + (portRef CLR (instanceRef dout_cut5_r0_13)) + (portRef CLR (instanceRef dout_cut5_r0_12)) + (portRef CLR (instanceRef dout_cut5_r0_11)) + (portRef CLR (instanceRef dout_cut5_r0_10)) + (portRef CLR (instanceRef dout_cut5_r0_9)) + (portRef CLR (instanceRef dout_cut5_r0_8)) + (portRef CLR (instanceRef dout_cut5_r0_7)) + (portRef CLR (instanceRef dout_cut5_r0_6)) + (portRef CLR (instanceRef dout_cut5_r0_5)) + (portRef CLR (instanceRef dout_cut5_r0_4)) + (portRef CLR (instanceRef dout_cut5_r0_3)) + (portRef CLR (instanceRef dout_cut5_r0_2)) + (portRef CLR (instanceRef dout_cut5_r0_1)) + (portRef CLR (instanceRef dout_cut5_r0_0)) + (portRef CLR (instanceRef dout_cut4_r0_15)) + (portRef CLR (instanceRef dout_cut4_r0_14)) + (portRef CLR (instanceRef dout_cut4_r0_13)) + (portRef CLR (instanceRef dout_cut4_r0_12)) + (portRef CLR (instanceRef dout_cut4_r0_11)) + (portRef CLR (instanceRef dout_cut4_r0_10)) + (portRef CLR (instanceRef dout_cut4_r0_9)) + (portRef CLR (instanceRef dout_cut4_r0_8)) + (portRef CLR (instanceRef dout_cut4_r0_7)) + (portRef CLR (instanceRef dout_cut4_r0_6)) + (portRef CLR (instanceRef dout_cut4_r0_5)) + (portRef CLR (instanceRef dout_cut4_r0_4)) + (portRef CLR (instanceRef dout_cut4_r0_3)) + (portRef CLR (instanceRef dout_cut4_r0_2)) + (portRef CLR (instanceRef dout_cut4_r0_1)) + (portRef CLR (instanceRef dout_cut4_r0_0)) + (portRef CLR (instanceRef dout_cut3_r0_15)) + (portRef CLR (instanceRef dout_cut3_r0_14)) + (portRef CLR (instanceRef dout_cut3_r0_13)) + (portRef CLR (instanceRef dout_cut3_r0_12)) + (portRef CLR (instanceRef dout_cut3_r0_11)) + (portRef CLR (instanceRef dout_cut3_r0_10)) + (portRef CLR (instanceRef dout_cut3_r0_9)) + (portRef CLR (instanceRef dout_cut3_r0_8)) + (portRef CLR (instanceRef dout_cut3_r0_7)) + (portRef CLR (instanceRef dout_cut3_r0_6)) + (portRef CLR (instanceRef dout_cut3_r0_5)) + (portRef CLR (instanceRef dout_cut3_r0_4)) + (portRef CLR (instanceRef dout_cut3_r0_3)) + (portRef CLR (instanceRef dout_cut3_r0_2)) + (portRef CLR (instanceRef dout_cut3_r0_1)) + (portRef CLR (instanceRef dout_cut3_r0_0)) + (portRef CLR (instanceRef dout_cut2_r0_15)) + (portRef CLR (instanceRef dout_cut2_r0_14)) + (portRef CLR (instanceRef dout_cut2_r0_13)) + (portRef CLR (instanceRef dout_cut2_r0_12)) + (portRef CLR (instanceRef dout_cut2_r0_11)) + (portRef CLR (instanceRef dout_cut2_r0_10)) + (portRef CLR (instanceRef dout_cut2_r0_9)) + (portRef CLR (instanceRef dout_cut2_r0_8)) + (portRef CLR (instanceRef dout_cut2_r0_7)) + (portRef CLR (instanceRef dout_cut2_r0_6)) + (portRef CLR (instanceRef dout_cut2_r0_5)) + (portRef CLR (instanceRef dout_cut2_r0_4)) + (portRef CLR (instanceRef dout_cut2_r0_3)) + (portRef CLR (instanceRef dout_cut2_r0_2)) + (portRef CLR (instanceRef dout_cut2_r0_1)) + (portRef CLR (instanceRef dout_cut2_r0_0)) + (portRef CLR (instanceRef dout_cut1_r0_15)) + (portRef CLR (instanceRef dout_cut1_r0_14)) + (portRef CLR (instanceRef dout_cut1_r0_13)) + (portRef CLR (instanceRef dout_cut1_r0_12)) + (portRef CLR (instanceRef dout_cut1_r0_11)) + (portRef CLR (instanceRef dout_cut1_r0_10)) + (portRef CLR (instanceRef dout_cut1_r0_9)) + (portRef CLR (instanceRef dout_cut1_r0_8)) + (portRef CLR (instanceRef dout_cut1_r0_7)) + (portRef CLR (instanceRef dout_cut1_r0_6)) + (portRef CLR (instanceRef dout_cut1_r0_5)) + (portRef CLR (instanceRef dout_cut1_r0_4)) + (portRef CLR (instanceRef dout_cut1_r0_3)) + (portRef CLR (instanceRef dout_cut1_r0_2)) + (portRef CLR (instanceRef dout_cut1_r0_1)) + (portRef CLR (instanceRef dout_cut1_r0_0)) + (portRef CLR (instanceRef dout_cut0_r0_15)) + (portRef CLR (instanceRef dout_cut0_r0_14)) + (portRef CLR (instanceRef dout_cut0_r0_13)) + (portRef CLR (instanceRef dout_cut0_r0_12)) + (portRef CLR (instanceRef dout_cut0_r0_11)) + (portRef CLR (instanceRef dout_cut0_r0_10)) + (portRef CLR (instanceRef dout_cut0_r0_9)) + (portRef CLR (instanceRef dout_cut0_r0_8)) + (portRef CLR (instanceRef dout_cut0_r0_7)) + (portRef CLR (instanceRef dout_cut0_r0_6)) + (portRef CLR (instanceRef dout_cut0_r0_5)) + (portRef CLR (instanceRef dout_cut0_r0_4)) + (portRef CLR (instanceRef dout_cut0_r0_3)) + (portRef CLR (instanceRef dout_cut0_r0_2)) + (portRef CLR (instanceRef dout_cut0_r0_1)) + (portRef CLR (instanceRef dout_cut0_r0_0)) + (portRef CLR (instanceRef dout_round_5_35)) + (portRef CLR (instanceRef dout_round_5_34)) + (portRef CLR (instanceRef dout_round_5_33)) + (portRef CLR (instanceRef dout_round_5_32)) + (portRef CLR (instanceRef dout_round_5_31)) + (portRef CLR (instanceRef dout_round_5_30)) + (portRef CLR (instanceRef dout_round_5_29)) + (portRef CLR (instanceRef dout_round_5_28)) + (portRef CLR (instanceRef dout_round_5_27)) + (portRef CLR (instanceRef dout_round_5_26)) + (portRef CLR (instanceRef dout_round_5_25)) + (portRef CLR (instanceRef dout_round_5_24)) + (portRef CLR (instanceRef dout_round_5_23)) + (portRef CLR (instanceRef dout_round_5_22)) + (portRef CLR (instanceRef dout_round_5_21)) + (portRef CLR (instanceRef dout_round_5_20)) + (portRef CLR (instanceRef dout_round_4_35)) + (portRef CLR (instanceRef dout_round_4_34)) + (portRef CLR (instanceRef dout_round_4_33)) + (portRef CLR (instanceRef dout_round_4_32)) + (portRef CLR (instanceRef dout_round_4_31)) + (portRef CLR (instanceRef dout_round_4_30)) + (portRef CLR (instanceRef dout_round_4_29)) + (portRef CLR (instanceRef dout_round_4_28)) + (portRef CLR (instanceRef dout_round_4_27)) + (portRef CLR (instanceRef dout_round_4_26)) + (portRef CLR (instanceRef dout_round_4_25)) + (portRef CLR (instanceRef dout_round_4_24)) + (portRef CLR (instanceRef dout_round_4_23)) + (portRef CLR (instanceRef dout_round_4_22)) + (portRef CLR (instanceRef dout_round_4_21)) + (portRef CLR (instanceRef dout_round_4_20)) + (portRef CLR (instanceRef dout_round_3_35)) + (portRef CLR (instanceRef dout_round_3_34)) + (portRef CLR (instanceRef dout_round_3_33)) + (portRef CLR (instanceRef dout_round_3_32)) + (portRef CLR (instanceRef dout_round_3_31)) + (portRef CLR (instanceRef dout_round_3_30)) + (portRef CLR (instanceRef dout_round_3_29)) + (portRef CLR (instanceRef dout_round_3_28)) + (portRef CLR (instanceRef dout_round_3_27)) + (portRef CLR (instanceRef dout_round_3_26)) + (portRef CLR (instanceRef dout_round_3_25)) + (portRef CLR (instanceRef dout_round_3_24)) + (portRef CLR (instanceRef dout_round_3_23)) + (portRef CLR (instanceRef dout_round_3_22)) + (portRef CLR (instanceRef dout_round_3_21)) + (portRef CLR (instanceRef dout_round_3_20)) + (portRef CLR (instanceRef dout_round_2_35)) + (portRef CLR (instanceRef dout_round_2_34)) + (portRef CLR (instanceRef dout_round_2_33)) + (portRef CLR (instanceRef dout_round_2_32)) + (portRef CLR (instanceRef dout_round_2_31)) + (portRef CLR (instanceRef dout_round_2_30)) + (portRef CLR (instanceRef dout_round_2_29)) + (portRef CLR (instanceRef dout_round_2_28)) + (portRef CLR (instanceRef dout_round_2_27)) + (portRef CLR (instanceRef dout_round_2_26)) + (portRef CLR (instanceRef dout_round_2_25)) + (portRef CLR (instanceRef dout_round_2_24)) + (portRef CLR (instanceRef dout_round_2_23)) + (portRef CLR (instanceRef dout_round_2_22)) + (portRef CLR (instanceRef dout_round_2_21)) + (portRef CLR (instanceRef dout_round_2_20)) + (portRef CLR (instanceRef dout_round_1_35)) + (portRef CLR (instanceRef dout_round_1_34)) + (portRef CLR (instanceRef dout_round_1_33)) + (portRef CLR (instanceRef dout_round_1_32)) + (portRef CLR (instanceRef dout_round_1_31)) + (portRef CLR (instanceRef dout_round_1_30)) + (portRef CLR (instanceRef dout_round_1_29)) + (portRef CLR (instanceRef dout_round_1_28)) + (portRef CLR (instanceRef dout_round_1_27)) + (portRef CLR (instanceRef dout_round_1_26)) + (portRef CLR (instanceRef dout_round_1_25)) + (portRef CLR (instanceRef dout_round_1_24)) + (portRef CLR (instanceRef dout_round_1_23)) + (portRef CLR (instanceRef dout_round_1_22)) + (portRef CLR (instanceRef dout_round_1_21)) + (portRef CLR (instanceRef dout_round_1_20)) + (portRef CLR (instanceRef dout_round_0_35)) + (portRef CLR (instanceRef dout_round_0_34)) + (portRef CLR (instanceRef dout_round_0_33)) + (portRef CLR (instanceRef dout_round_0_32)) + (portRef CLR (instanceRef dout_round_0_31)) + (portRef CLR (instanceRef dout_round_0_30)) + (portRef CLR (instanceRef dout_round_0_29)) + (portRef CLR (instanceRef dout_round_0_28)) + (portRef CLR (instanceRef dout_round_0_27)) + (portRef CLR (instanceRef dout_round_0_26)) + (portRef CLR (instanceRef dout_round_0_25)) + (portRef CLR (instanceRef dout_round_0_24)) + (portRef CLR (instanceRef dout_round_0_23)) + (portRef CLR (instanceRef dout_round_0_22)) + (portRef CLR (instanceRef dout_round_0_21)) + (portRef CLR (instanceRef dout_round_0_20)) + (portRef CLR (instanceRef din_r0_0)) + (portRef CLR (instanceRef din_r1_0)) + (portRef CLR (instanceRef din_r0_1)) + (portRef CLR (instanceRef din_r1_1)) + (portRef CLR (instanceRef din_r0_2)) + (portRef CLR (instanceRef din_r1_2)) + (portRef CLR (instanceRef din_r0_3)) + (portRef CLR (instanceRef din_r1_3)) + (portRef CLR (instanceRef din_r0_4)) + (portRef CLR (instanceRef din_r1_4)) + (portRef CLR (instanceRef din_r0_5)) + (portRef CLR (instanceRef din_r1_5)) + (portRef CLR (instanceRef din_r0_6)) + (portRef CLR (instanceRef din_r1_6)) + (portRef CLR (instanceRef din_r0_7)) + (portRef CLR (instanceRef din_r1_7)) + (portRef CLR (instanceRef din_r0_8)) + (portRef CLR (instanceRef din_r1_8)) + (portRef CLR (instanceRef din_r0_9)) + (portRef CLR (instanceRef din_r1_9)) + (portRef CLR (instanceRef din_r0_10)) + (portRef CLR (instanceRef din_r1_10)) + (portRef CLR (instanceRef din_r0_11)) + (portRef CLR (instanceRef din_r1_11)) + (portRef CLR (instanceRef din_r0_12)) + (portRef CLR (instanceRef din_r1_12)) + (portRef CLR (instanceRef din_r0_13)) + (portRef CLR (instanceRef din_r1_13)) + (portRef CLR (instanceRef din_r0_14)) + (portRef CLR (instanceRef din_r1_14)) + (portRef CLR (instanceRef din_r0_15)) + (portRef CLR (instanceRef din_r1_15)) + (portRef CLR (instanceRef dout_r_10)) + (portRef CLR (instanceRef dout_r_12)) + (portRef CLR (instanceRef dout_r_13)) + )) + (net (rename iir_out_2 "IIR_out_2") (joined + (portRef Q (instanceRef dout_r_12)) + (portRef I0 (instanceRef dout_r_8_iv_9)) + (portRef IIR_out_2) + )) + (net dout_r_8_iv_9_0 (joined + (portRef LO (instanceRef dout_r_8_iv_9)) + (portRef D (instanceRef dout_r_12)) + )) + (net (rename iir_out_4 "IIR_out_4") (joined + (portRef Q (instanceRef dout_r_10)) + (portRef I0 (instanceRef dout_r_8_iv_7)) + (portRef IIR_out_4) + )) + (net dout_r_8_iv_7_0 (joined + (portRef LO (instanceRef dout_r_8_iv_7)) + (portRef D (instanceRef dout_r_10)) + )) + (net (rename dout_r_3_sqmuxa_iZ0 "dout_r_3_sqmuxa_i") (joined + (portRef O (instanceRef dout_r_3_sqmuxa_i)) + (portRef CE (instanceRef dout_r_1)) + (portRef CE (instanceRef dout_r_0)) + (portRef CE (instanceRef dout_r_15)) + (portRef CE (instanceRef dout_r_14)) + (portRef CE (instanceRef dout_r_11)) + (portRef CE (instanceRef dout_r_9)) + (portRef CE (instanceRef dout_r_8)) + (portRef CE (instanceRef dout_r_7)) + (portRef CE (instanceRef dout_r_6)) + (portRef CE (instanceRef dout_r_5)) + (portRef CE (instanceRef dout_r_4)) + (portRef CE (instanceRef dout_r_3)) + (portRef CE (instanceRef dout_r_2)) + (portRef I1 (instanceRef dout_r_8_iv_7)) + (portRef I1 (instanceRef dout_r_8_iv_9)) + (portRef I1 (instanceRef dout_r_8_iv_10)) + )) + (net (rename dout_r_8_ivZ1Z_10 "dout_r_8_iv_10") (joined + (portRef LO (instanceRef dout_r_8_iv_13)) + (portRef I2 (instanceRef dout_r_8_iv_10)) + )) + (net (rename dout_r_8_ivZ1Z_9 "dout_r_8_iv_9") (joined + (portRef LO (instanceRef dout_r_8_iv_12)) + (portRef I2 (instanceRef dout_r_8_iv_9)) + )) + (net (rename dout_r_8_ivZ1Z_7 "dout_r_8_iv_7") (joined + (portRef LO (instanceRef dout_r_8_ivZ0Z_10)) + (portRef I2 (instanceRef dout_r_8_iv_7)) + )) (net (rename din_re_0 "din_re[0]") (joined (portRef (member din_re 15)) (portRef D (instanceRef din_r0_0)) @@ -76925,10 +77711,10 @@ (portRef I1 (instanceRef dout_r_8_iv_13)) (portRef I1 (instanceRef dout_r_8_iv_14)) (portRef I1 (instanceRef dout_r_8_iv_6)) - (portRef I1 (instanceRef dout_r_8_iv_7)) + (portRef I1 (instanceRef dout_r_8_ivZ0Z_7)) (portRef I1 (instanceRef dout_r_8_iv_8)) - (portRef I1 (instanceRef dout_r_8_iv_9)) - (portRef I1 (instanceRef dout_r_8_iv_10)) + (portRef I1 (instanceRef dout_r_8_ivZ0Z_9)) + (portRef I1 (instanceRef dout_r_8_ivZ0Z_10)) (portRef I1 (instanceRef dout_r_8_iv_1)) (portRef I1 (instanceRef dout_r_8_iv_2)) (portRef I1 (instanceRef dout_r_8_iv_3)) @@ -76947,12 +77733,12 @@ (portRef I2 (instanceRef dout_r_8_iv_13)) (portRef I2 (instanceRef dout_r_8_iv_14)) (portRef I2 (instanceRef dout_r_8_iv_6)) - (portRef I2 (instanceRef dout_r_8_iv_7)) + (portRef I2 (instanceRef dout_r_8_ivZ0Z_7)) (portRef I2 (instanceRef dout_r_8_iv_8)) - (portRef I2 (instanceRef dout_r_8_iv_9)) - (portRef I2 (instanceRef dout_r_8_iv_10)) + (portRef I2 (instanceRef dout_r_8_ivZ0Z_9)) + (portRef I2 (instanceRef dout_r_8_ivZ0Z_10)) (portRef I3 (instanceRef dout_r_8_iv_1)) - (portRef I3 (instanceRef dout_r_8_iv_2)) + (portRef I2 (instanceRef dout_r_8_iv_2)) (portRef I2 (instanceRef dout_r_8_iv_3)) (portRef I2 (instanceRef dout_r_8_iv_4)) (portRef I2 (instanceRef dout_r_8_iv_5)) @@ -77008,7 +77794,7 @@ )) (net (rename Ysum_2 "Ysum[2]") (joined (portRef Q (instanceRef Ysum_0_s_2_xorcy_latch)) - (portRef I2 (instanceRef dout_r_8_iv_2)) + (portRef I3 (instanceRef dout_r_8_iv_2)) )) (net dout_r_8_iv (joined (portRef LO (instanceRef dout_r_8_iv_2)) @@ -77030,27 +77816,23 @@ (net (rename din_re_10 "din_re[10]") (joined (portRef (member din_re 5)) (portRef D (instanceRef din_r0_10)) - (portRef I0 (instanceRef dout_r_8_iv_10)) + (portRef I0 (instanceRef dout_r_8_ivZ0Z_10)) )) (net (rename Ysum_10 "Ysum[10]") (joined (portRef Q (instanceRef Ysum_0_s_10_xorcy_latch)) - (portRef I3 (instanceRef dout_r_8_iv_10)) - )) - (net (rename dout_r_8_ivZ0Z_7 "dout_r_8_iv_7") (joined - (portRef LO (instanceRef dout_r_8_iv_10)) - (portRef D (instanceRef dout_r_10)) + (portRef I3 (instanceRef dout_r_8_ivZ0Z_10)) )) (net (rename din_re_9 "din_re[9]") (joined (portRef (member din_re 6)) (portRef D (instanceRef din_r0_9)) - (portRef I0 (instanceRef dout_r_8_iv_9)) + (portRef I0 (instanceRef dout_r_8_ivZ0Z_9)) )) (net (rename Ysum_9 "Ysum[9]") (joined (portRef Q (instanceRef Ysum_0_s_9_xorcy_latch)) - (portRef I3 (instanceRef dout_r_8_iv_9)) + (portRef I3 (instanceRef dout_r_8_ivZ0Z_9)) )) (net (rename dout_r_8_ivZ0Z_6 "dout_r_8_iv_6") (joined - (portRef LO (instanceRef dout_r_8_iv_9)) + (portRef LO (instanceRef dout_r_8_ivZ0Z_9)) (portRef D (instanceRef dout_r_9)) )) (net (rename din_re_8 "din_re[8]") (joined @@ -77069,14 +77851,14 @@ (net (rename din_re_7 "din_re[7]") (joined (portRef (member din_re 8)) (portRef D (instanceRef din_r0_7)) - (portRef I0 (instanceRef dout_r_8_iv_7)) + (portRef I0 (instanceRef dout_r_8_ivZ0Z_7)) )) (net (rename Ysum_7 "Ysum[7]") (joined (portRef Q (instanceRef Ysum_0_s_7_xorcy_latch)) - (portRef I3 (instanceRef dout_r_8_iv_7)) + (portRef I3 (instanceRef dout_r_8_ivZ0Z_7)) )) (net (rename dout_r_8_ivZ0Z_4 "dout_r_8_iv_4") (joined - (portRef LO (instanceRef dout_r_8_iv_7)) + (portRef LO (instanceRef dout_r_8_ivZ0Z_7)) (portRef D (instanceRef dout_r_7)) )) (net (rename din_re_6 "din_re[6]") (joined @@ -77112,11 +77894,7 @@ )) (net (rename Ysum_13 "Ysum[13]") (joined (portRef Q (instanceRef Ysum_0_s_13_xorcy_latch)) - (portRef I4 (instanceRef dout_r_8_iv_13)) - )) - (net (rename dout_r_8_ivZ0Z_10 "dout_r_8_iv_10") (joined - (portRef LO (instanceRef dout_r_8_iv_13)) - (portRef D (instanceRef dout_r_13)) + (portRef I3 (instanceRef dout_r_8_iv_13)) )) (net (rename din_re_12 "din_re[12]") (joined (portRef (member din_re 3)) @@ -77125,11 +77903,7 @@ )) (net (rename Ysum_12 "Ysum[12]") (joined (portRef Q (instanceRef Ysum_0_s_12_xorcy_latch)) - (portRef I4 (instanceRef dout_r_8_iv_12)) - )) - (net (rename dout_r_8_ivZ0Z_9 "dout_r_8_iv_9") (joined - (portRef LO (instanceRef dout_r_8_iv_12)) - (portRef D (instanceRef dout_r_12)) + (portRef I3 (instanceRef dout_r_8_iv_12)) )) (net (rename din_re_11 "din_re[11]") (joined (portRef (member din_re 4)) @@ -77144,42 +77918,42 @@ (portRef LO (instanceRef dout_r_8_iv_11)) (portRef D (instanceRef dout_r_11)) )) - (net (rename dout_cut3_r0Z0Z_2 "dout_cut3_r0[2]") (joined - (portRef Q (instanceRef dout_cut3_r0_2)) - (portRef I3 (instanceRef Ysum_6_0_axb_2)) - (portRef I3 (instanceRef Ysum_6_0_axb_3)) - (portRef I0 (instanceRef Ysum_6_0_o5_2)) - )) (net (rename dout_cut2_r0Z0Z_2 "dout_cut2_r0[2]") (joined (portRef Q (instanceRef dout_cut2_r0_2)) - (portRef I4 (instanceRef Ysum_6_0_axb_2)) - (portRef I0 (instanceRef Ysum_6_0_axb_3)) + (portRef I2 (instanceRef Ysum_6_0_axb_2)) + (portRef I2 (instanceRef Ysum_6_0_axb_3)) + (portRef I0 (instanceRef Ysum_6_0_o5_2)) + )) + (net (rename dout_cut3_r0Z0Z_2 "dout_cut3_r0[2]") (joined + (portRef Q (instanceRef dout_cut3_r0_2)) + (portRef I1 (instanceRef Ysum_6_0_axb_2)) + (portRef I4 (instanceRef Ysum_6_0_axb_3)) (portRef I1 (instanceRef Ysum_6_0_o5_2)) )) (net (rename dout_cut1_r0Z0Z_2 "dout_cut1_r0[2]") (joined (portRef Q (instanceRef dout_cut1_r0_2)) - (portRef I0 (instanceRef Ysum_6_0_axb_2)) - (portRef I4 (instanceRef Ysum_6_0_axb_3)) + (portRef I4 (instanceRef Ysum_6_0_axb_2)) + (portRef I0 (instanceRef Ysum_6_0_axb_3)) (portRef I2 (instanceRef Ysum_6_0_o5_2)) )) (net (rename Ysum_6_0_o5Z0Z_2 "Ysum_6_0_o5_2") (joined (portRef O (instanceRef Ysum_6_0_o5_2)) (portRef (member DI 0) (instanceRef Ysum_6_0_cry_3)) )) + (net (rename dout_cut2_r0Z0Z_3 "dout_cut2_r0[3]") (joined + (portRef Q (instanceRef dout_cut2_r0_3)) + (portRef I3 (instanceRef Ysum_6_0_axb_3)) + (portRef I2 (instanceRef Ysum_6_0_axb_4)) + (portRef I0 (instanceRef Ysum_6_0_o5_3)) + )) (net (rename dout_cut3_r0Z0Z_3 "dout_cut3_r0[3]") (joined (portRef Q (instanceRef dout_cut3_r0_3)) (portRef I1 (instanceRef Ysum_6_0_axb_3)) - (portRef I3 (instanceRef Ysum_6_0_axb_4)) - (portRef I0 (instanceRef Ysum_6_0_o5_3)) - )) - (net (rename dout_cut1_r0Z0Z_3 "dout_cut1_r0[3]") (joined - (portRef Q (instanceRef dout_cut1_r0_3)) - (portRef I2 (instanceRef Ysum_6_0_axb_3)) (portRef I0 (instanceRef Ysum_6_0_axb_4)) (portRef I1 (instanceRef Ysum_6_0_o5_3)) )) - (net (rename dout_cut2_r0Z0Z_3 "dout_cut2_r0[3]") (joined - (portRef Q (instanceRef dout_cut2_r0_3)) + (net (rename dout_cut1_r0Z0Z_3 "dout_cut1_r0[3]") (joined + (portRef Q (instanceRef dout_cut1_r0_3)) (portRef I5 (instanceRef Ysum_6_0_axb_3)) (portRef I5 (instanceRef Ysum_6_0_axb_4)) (portRef I2 (instanceRef Ysum_6_0_o5_3)) @@ -77188,44 +77962,44 @@ (portRef O (instanceRef Ysum_6_0_o5_3)) (portRef (member DI 3) (instanceRef Ysum_6_0_cry_7)) )) - (net (rename dout_cut2_r0Z0Z_4 "dout_cut2_r0[4]") (joined - (portRef Q (instanceRef dout_cut2_r0_4)) + (net (rename dout_cut3_r0Z0Z_4 "dout_cut3_r0[4]") (joined + (portRef Q (instanceRef dout_cut3_r0_4)) (portRef I1 (instanceRef Ysum_6_0_axb_4)) (portRef I2 (instanceRef Ysum_6_0_axb_5)) (portRef I0 (instanceRef Ysum_6_0_o5_4)) )) - (net (rename dout_cut3_r0Z0Z_4 "dout_cut3_r0[4]") (joined - (portRef Q (instanceRef dout_cut3_r0_4)) - (portRef I2 (instanceRef Ysum_6_0_axb_4)) - (portRef I3 (instanceRef Ysum_6_0_axb_5)) + (net (rename dout_cut2_r0Z0Z_4 "dout_cut2_r0[4]") (joined + (portRef Q (instanceRef dout_cut2_r0_4)) + (portRef I3 (instanceRef Ysum_6_0_axb_4)) + (portRef I0 (instanceRef Ysum_6_0_axb_5)) (portRef I1 (instanceRef Ysum_6_0_o5_4)) )) (net (rename dout_cut1_r0Z0Z_4 "dout_cut1_r0[4]") (joined (portRef Q (instanceRef dout_cut1_r0_4)) (portRef I4 (instanceRef Ysum_6_0_axb_4)) - (portRef I5 (instanceRef Ysum_6_0_axb_5)) + (portRef I4 (instanceRef Ysum_6_0_axb_5)) (portRef I2 (instanceRef Ysum_6_0_o5_4)) )) (net (rename Ysum_6_0_o5Z0Z_4 "Ysum_6_0_o5_4") (joined (portRef O (instanceRef Ysum_6_0_o5_4)) (portRef (member DI 2) (instanceRef Ysum_6_0_cry_7)) )) + (net (rename dout_cut1_r0Z0Z_5 "dout_cut1_r0[5]") (joined + (portRef Q (instanceRef dout_cut1_r0_5)) + (portRef I0 (instanceRef Ysum_6_0_axb_6)) + (portRef I3 (instanceRef Ysum_6_0_axb_5)) + (portRef I0 (instanceRef Ysum_6_0_o5_5)) + )) (net (rename dout_cut3_r0Z0Z_5 "dout_cut3_r0[5]") (joined (portRef Q (instanceRef dout_cut3_r0_5)) (portRef I3 (instanceRef Ysum_6_0_axb_6)) (portRef I1 (instanceRef Ysum_6_0_axb_5)) - (portRef I0 (instanceRef Ysum_6_0_o5_5)) - )) - (net (rename dout_cut1_r0Z0Z_5 "dout_cut1_r0[5]") (joined - (portRef Q (instanceRef dout_cut1_r0_5)) - (portRef I2 (instanceRef Ysum_6_0_axb_6)) - (portRef I0 (instanceRef Ysum_6_0_axb_5)) (portRef I1 (instanceRef Ysum_6_0_o5_5)) )) (net (rename dout_cut2_r0Z0Z_5 "dout_cut2_r0[5]") (joined (portRef Q (instanceRef dout_cut2_r0_5)) (portRef I5 (instanceRef Ysum_6_0_axb_6)) - (portRef I4 (instanceRef Ysum_6_0_axb_5)) + (portRef I5 (instanceRef Ysum_6_0_axb_5)) (portRef I2 (instanceRef Ysum_6_0_o5_5)) )) (net (rename Ysum_6_0_o5Z0Z_5 "Ysum_6_0_o5_5") (joined @@ -77234,42 +78008,42 @@ )) (net (rename dout_cut1_r0Z0Z_6 "dout_cut1_r0[6]") (joined (portRef Q (instanceRef dout_cut1_r0_6)) - (portRef I4 (instanceRef Ysum_6_0_axb_7)) - (portRef I4 (instanceRef Ysum_6_0_axb_6)) + (portRef I2 (instanceRef Ysum_6_0_axb_7)) + (portRef I1 (instanceRef Ysum_6_0_axb_6)) (portRef I0 (instanceRef Ysum_6_0_o5_6)) )) (net (rename dout_cut3_r0Z0Z_6 "dout_cut3_r0[6]") (joined (portRef Q (instanceRef dout_cut3_r0_6)) - (portRef I0 (instanceRef Ysum_6_0_axb_7)) - (portRef I1 (instanceRef Ysum_6_0_axb_6)) + (portRef I1 (instanceRef Ysum_6_0_axb_7)) + (portRef I2 (instanceRef Ysum_6_0_axb_6)) (portRef I1 (instanceRef Ysum_6_0_o5_6)) )) (net (rename dout_cut2_r0Z0Z_6 "dout_cut2_r0[6]") (joined (portRef Q (instanceRef dout_cut2_r0_6)) - (portRef I3 (instanceRef Ysum_6_0_axb_7)) - (portRef I0 (instanceRef Ysum_6_0_axb_6)) + (portRef I4 (instanceRef Ysum_6_0_axb_7)) + (portRef I4 (instanceRef Ysum_6_0_axb_6)) (portRef I2 (instanceRef Ysum_6_0_o5_6)) )) (net (rename Ysum_6_0_o5Z0Z_6 "Ysum_6_0_o5_6") (joined (portRef O (instanceRef Ysum_6_0_o5_6)) (portRef (member DI 0) (instanceRef Ysum_6_0_cry_7)) )) - (net (rename dout_cut2_r0Z0Z_7 "dout_cut2_r0[7]") (joined - (portRef Q (instanceRef dout_cut2_r0_7)) - (portRef I5 (instanceRef Ysum_6_0_axb_7)) - (portRef I4 (instanceRef Ysum_6_0_axb_8)) - (portRef I0 (instanceRef Ysum_6_0_o5_7)) - )) (net (rename dout_cut3_r0Z0Z_7 "dout_cut3_r0[7]") (joined (portRef Q (instanceRef dout_cut3_r0_7)) - (portRef I1 (instanceRef Ysum_6_0_axb_7)) + (portRef I3 (instanceRef Ysum_6_0_axb_7)) (portRef I1 (instanceRef Ysum_6_0_axb_8)) + (portRef I0 (instanceRef Ysum_6_0_o5_7)) + )) + (net (rename dout_cut2_r0Z0Z_7 "dout_cut2_r0[7]") (joined + (portRef Q (instanceRef dout_cut2_r0_7)) + (portRef I0 (instanceRef Ysum_6_0_axb_7)) + (portRef I2 (instanceRef Ysum_6_0_axb_8)) (portRef I1 (instanceRef Ysum_6_0_o5_7)) )) (net (rename dout_cut1_r0Z0Z_7 "dout_cut1_r0[7]") (joined (portRef Q (instanceRef dout_cut1_r0_7)) - (portRef I2 (instanceRef Ysum_6_0_axb_7)) - (portRef I0 (instanceRef Ysum_6_0_axb_8)) + (portRef I5 (instanceRef Ysum_6_0_axb_7)) + (portRef I5 (instanceRef Ysum_6_0_axb_8)) (portRef I2 (instanceRef Ysum_6_0_o5_7)) )) (net (rename Ysum_6_0_o5Z0Z_7 "Ysum_6_0_o5_7") (joined @@ -77284,35 +78058,35 @@ )) (net (rename dout_cut3_r0Z0Z_8 "dout_cut3_r0[8]") (joined (portRef Q (instanceRef dout_cut3_r0_8)) - (portRef I2 (instanceRef Ysum_6_0_axb_8)) - (portRef I2 (instanceRef Ysum_6_0_axb_9)) + (portRef I4 (instanceRef Ysum_6_0_axb_8)) + (portRef I1 (instanceRef Ysum_6_0_axb_9)) (portRef I1 (instanceRef Ysum_6_0_o5_8)) )) (net (rename dout_cut1_r0Z0Z_8 "dout_cut1_r0[8]") (joined (portRef Q (instanceRef dout_cut1_r0_8)) - (portRef I5 (instanceRef Ysum_6_0_axb_8)) - (portRef I5 (instanceRef Ysum_6_0_axb_9)) + (portRef I0 (instanceRef Ysum_6_0_axb_8)) + (portRef I3 (instanceRef Ysum_6_0_axb_9)) (portRef I2 (instanceRef Ysum_6_0_o5_8)) )) (net (rename Ysum_6_0_o5Z0Z_8 "Ysum_6_0_o5_8") (joined (portRef O (instanceRef Ysum_6_0_o5_8)) (portRef (member DI 2) (instanceRef Ysum_6_0_cry_11)) )) - (net (rename dout_cut2_r0Z0Z_9 "dout_cut2_r0[9]") (joined - (portRef Q (instanceRef dout_cut2_r0_9)) - (portRef I3 (instanceRef Ysum_6_0_axb_9)) + (net (rename dout_cut3_r0Z0Z_9 "dout_cut3_r0[9]") (joined + (portRef Q (instanceRef dout_cut3_r0_9)) + (portRef I2 (instanceRef Ysum_6_0_axb_9)) (portRef I2 (instanceRef Ysum_6_0_axb_10)) (portRef I0 (instanceRef Ysum_6_0_o5_9)) )) - (net (rename dout_cut3_r0Z0Z_9 "dout_cut3_r0[9]") (joined - (portRef Q (instanceRef dout_cut3_r0_9)) - (portRef I1 (instanceRef Ysum_6_0_axb_9)) - (portRef I0 (instanceRef Ysum_6_0_axb_10)) + (net (rename dout_cut2_r0Z0Z_9 "dout_cut2_r0[9]") (joined + (portRef Q (instanceRef dout_cut2_r0_9)) + (portRef I4 (instanceRef Ysum_6_0_axb_9)) + (portRef I5 (instanceRef Ysum_6_0_axb_10)) (portRef I1 (instanceRef Ysum_6_0_o5_9)) )) (net (rename dout_cut1_r0Z0Z_9 "dout_cut1_r0[9]") (joined (portRef Q (instanceRef dout_cut1_r0_9)) - (portRef I4 (instanceRef Ysum_6_0_axb_9)) + (portRef I5 (instanceRef Ysum_6_0_axb_9)) (portRef I4 (instanceRef Ysum_6_0_axb_10)) (portRef I2 (instanceRef Ysum_6_0_o5_9)) )) @@ -77320,43 +78094,43 @@ (portRef O (instanceRef Ysum_6_0_o5_9)) (portRef (member DI 1) (instanceRef Ysum_6_0_cry_11)) )) - (net (rename dout_cut3_r0Z0Z_10 "dout_cut3_r0[10]") (joined - (portRef Q (instanceRef dout_cut3_r0_10)) - (portRef I1 (instanceRef Ysum_6_0_axb_11)) - (portRef I1 (instanceRef Ysum_6_0_axb_10)) - (portRef I0 (instanceRef Ysum_6_0_o5_10)) - )) (net (rename dout_cut1_r0Z0Z_10 "dout_cut1_r0[10]") (joined (portRef Q (instanceRef dout_cut1_r0_10)) - (portRef I5 (instanceRef Ysum_6_0_axb_11)) - (portRef I5 (instanceRef Ysum_6_0_axb_10)) - (portRef I1 (instanceRef Ysum_6_0_o5_10)) + (portRef I0 (instanceRef Ysum_6_0_axb_11)) + (portRef I3 (instanceRef Ysum_6_0_axb_10)) + (portRef I0 (instanceRef Ysum_6_0_o5_10)) )) (net (rename dout_cut2_r0Z0Z_10 "dout_cut2_r0[10]") (joined (portRef Q (instanceRef dout_cut2_r0_10)) - (portRef I4 (instanceRef Ysum_6_0_axb_11)) - (portRef I3 (instanceRef Ysum_6_0_axb_10)) + (portRef I1 (instanceRef Ysum_6_0_axb_11)) + (portRef I0 (instanceRef Ysum_6_0_axb_10)) + (portRef I1 (instanceRef Ysum_6_0_o5_10)) + )) + (net (rename dout_cut3_r0Z0Z_10 "dout_cut3_r0[10]") (joined + (portRef Q (instanceRef dout_cut3_r0_10)) + (portRef I2 (instanceRef Ysum_6_0_axb_11)) + (portRef I1 (instanceRef Ysum_6_0_axb_10)) (portRef I2 (instanceRef Ysum_6_0_o5_10)) )) (net (rename Ysum_6_0_o5Z0Z_10 "Ysum_6_0_o5_10") (joined (portRef O (instanceRef Ysum_6_0_o5_10)) (portRef (member DI 0) (instanceRef Ysum_6_0_cry_11)) )) - (net (rename dout_cut3_r0Z0Z_11 "dout_cut3_r0[11]") (joined - (portRef Q (instanceRef dout_cut3_r0_11)) - (portRef I2 (instanceRef Ysum_6_0_axb_11)) - (portRef I1 (instanceRef Ysum_6_0_axb_12)) - (portRef I0 (instanceRef Ysum_6_0_o5_11)) - )) (net (rename dout_cut2_r0Z0Z_11 "dout_cut2_r0[11]") (joined (portRef Q (instanceRef dout_cut2_r0_11)) - (portRef I0 (instanceRef Ysum_6_0_axb_11)) - (portRef I3 (instanceRef Ysum_6_0_axb_12)) + (portRef I3 (instanceRef Ysum_6_0_axb_11)) + (portRef I2 (instanceRef Ysum_6_0_axb_12)) + (portRef I0 (instanceRef Ysum_6_0_o5_11)) + )) + (net (rename dout_cut3_r0Z0Z_11 "dout_cut3_r0[11]") (joined + (portRef Q (instanceRef dout_cut3_r0_11)) + (portRef I4 (instanceRef Ysum_6_0_axb_11)) + (portRef I4 (instanceRef Ysum_6_0_axb_12)) (portRef I1 (instanceRef Ysum_6_0_o5_11)) )) (net (rename dout_cut1_r0Z0Z_11 "dout_cut1_r0[11]") (joined (portRef Q (instanceRef dout_cut1_r0_11)) - (portRef I3 (instanceRef Ysum_6_0_axb_11)) + (portRef I5 (instanceRef Ysum_6_0_axb_11)) (portRef I5 (instanceRef Ysum_6_0_axb_12)) (portRef I2 (instanceRef Ysum_6_0_o5_11)) )) @@ -77364,36 +78138,36 @@ (portRef O (instanceRef Ysum_6_0_o5_11)) (portRef (member DI 3) (instanceRef Ysum_6_0_cry_15)) )) - (net (rename dout_cut2_r0Z0Z_12 "dout_cut2_r0[12]") (joined - (portRef Q (instanceRef dout_cut2_r0_12)) - (portRef I3 (instanceRef Ysum_6_0_axb_13)) - (portRef I4 (instanceRef Ysum_6_0_axb_12)) - (portRef I0 (instanceRef Ysum_6_0_o5_12)) - )) (net (rename dout_cut1_r0Z0Z_12 "dout_cut1_r0[12]") (joined (portRef Q (instanceRef dout_cut1_r0_12)) - (portRef I0 (instanceRef Ysum_6_0_axb_13)) - (portRef I0 (instanceRef Ysum_6_0_axb_12)) - (portRef I1 (instanceRef Ysum_6_0_o5_12)) + (portRef I2 (instanceRef Ysum_6_0_axb_13)) + (portRef I3 (instanceRef Ysum_6_0_axb_12)) + (portRef I0 (instanceRef Ysum_6_0_o5_12)) )) (net (rename dout_cut3_r0Z0Z_12 "dout_cut3_r0[12]") (joined (portRef Q (instanceRef dout_cut3_r0_12)) + (portRef I0 (instanceRef Ysum_6_0_axb_13)) + (portRef I1 (instanceRef Ysum_6_0_axb_12)) + (portRef I1 (instanceRef Ysum_6_0_o5_12)) + )) + (net (rename dout_cut2_r0Z0Z_12 "dout_cut2_r0[12]") (joined + (portRef Q (instanceRef dout_cut2_r0_12)) (portRef I1 (instanceRef Ysum_6_0_axb_13)) - (portRef I2 (instanceRef Ysum_6_0_axb_12)) + (portRef I0 (instanceRef Ysum_6_0_axb_12)) (portRef I2 (instanceRef Ysum_6_0_o5_12)) )) (net (rename Ysum_6_0_o5Z0Z_12 "Ysum_6_0_o5_12") (joined (portRef O (instanceRef Ysum_6_0_o5_12)) (portRef (member DI 2) (instanceRef Ysum_6_0_cry_15)) )) - (net (rename dout_cut3_r0Z0Z_13 "dout_cut3_r0[13]") (joined - (portRef Q (instanceRef dout_cut3_r0_13)) - (portRef I2 (instanceRef Ysum_6_0_axb_14)) - (portRef I2 (instanceRef Ysum_6_0_axb_13)) - (portRef I0 (instanceRef Ysum_6_0_o5_13)) - )) (net (rename dout_cut2_r0Z0Z_13 "dout_cut2_r0[13]") (joined (portRef Q (instanceRef dout_cut2_r0_13)) + (portRef I2 (instanceRef Ysum_6_0_axb_14)) + (portRef I3 (instanceRef Ysum_6_0_axb_13)) + (portRef I0 (instanceRef Ysum_6_0_o5_13)) + )) + (net (rename dout_cut3_r0Z0Z_13 "dout_cut3_r0[13]") (joined + (portRef Q (instanceRef dout_cut3_r0_13)) (portRef I4 (instanceRef Ysum_6_0_axb_14)) (portRef I4 (instanceRef Ysum_6_0_axb_13)) (portRef I1 (instanceRef Ysum_6_0_o5_13)) @@ -77408,22 +78182,22 @@ (portRef O (instanceRef Ysum_6_0_o5_13)) (portRef (member DI 1) (instanceRef Ysum_6_0_cry_15)) )) - (net (rename dout_cut2_r0Z0Z_14 "dout_cut2_r0[14]") (joined - (portRef Q (instanceRef dout_cut2_r0_14)) - (portRef I3 (instanceRef Ysum_6_0_axb_14)) + (net (rename dout_cut1_r0Z0Z_14 "dout_cut1_r0[14]") (joined + (portRef Q (instanceRef dout_cut1_r0_14)) + (portRef I1 (instanceRef Ysum_6_0_axb_14)) (portRef I3 (instanceRef Ysum_6_0_axb_15)) (portRef I0 (instanceRef Ysum_6_0_o5_14)) )) (net (rename dout_cut3_r0Z0Z_14 "dout_cut3_r0[14]") (joined (portRef Q (instanceRef dout_cut3_r0_14)) - (portRef I1 (instanceRef Ysum_6_0_axb_14)) - (portRef I0 (instanceRef Ysum_6_0_axb_15)) - (portRef I1 (instanceRef Ysum_6_0_o5_14)) - )) - (net (rename dout_cut1_r0Z0Z_14 "dout_cut1_r0[14]") (joined - (portRef Q (instanceRef dout_cut1_r0_14)) (portRef I0 (instanceRef Ysum_6_0_axb_14)) (portRef I2 (instanceRef Ysum_6_0_axb_15)) + (portRef I1 (instanceRef Ysum_6_0_o5_14)) + )) + (net (rename dout_cut2_r0Z0Z_14 "dout_cut2_r0[14]") (joined + (portRef Q (instanceRef dout_cut2_r0_14)) + (portRef I3 (instanceRef Ysum_6_0_axb_14)) + (portRef I0 (instanceRef Ysum_6_0_axb_15)) (portRef I2 (instanceRef Ysum_6_0_o5_14)) )) (net (rename Ysum_6_0_o5Z0Z_14 "Ysum_6_0_o5_14") (joined @@ -77432,8 +78206,8 @@ )) (net (rename dout_cut0_r0Z0Z_3 "dout_cut0_r0[3]") (joined (portRef Q (instanceRef dout_cut0_r0_3)) - (portRef I1 (instanceRef Ysum_0_axb_4)) - (portRef I0 (instanceRef Ysum_0_axb_3)) + (portRef I0 (instanceRef Ysum_0_axb_4)) + (portRef I1 (instanceRef Ysum_0_axb_3)) (portRef I0 (instanceRef Ysum_0_o5_3)) )) (net (rename Ysum_6_3 "Ysum_6[3]") (joined @@ -77445,7 +78219,7 @@ (net (rename Ysum_8_3 "Ysum_8[3]") (joined (portRef Q (instanceRef Ysum_8_0_s_3_xorcy_latch)) (portRef I4 (instanceRef Ysum_0_axb_4)) - (portRef I5 (instanceRef Ysum_0_axb_3)) + (portRef I3 (instanceRef Ysum_0_axb_3)) (portRef I2 (instanceRef Ysum_0_o5_3)) )) (net (rename Ysum_0_o5Z0Z_3 "Ysum_0_o5_3") (joined @@ -77454,14 +78228,14 @@ )) (net (rename dout_cut0_r0Z0Z_4 "dout_cut0_r0[4]") (joined (portRef Q (instanceRef dout_cut0_r0_4)) - (portRef I0 (instanceRef Ysum_0_axb_4)) + (portRef I1 (instanceRef Ysum_0_axb_4)) (portRef I1 (instanceRef Ysum_0_axb_5)) (portRef I0 (instanceRef Ysum_0_o5_4)) )) (net (rename Ysum_6_4 "Ysum_6[4]") (joined (portRef Q (instanceRef Ysum_6_0_s_4_xorcy_latch)) (portRef I3 (instanceRef Ysum_0_axb_4)) - (portRef I3 (instanceRef Ysum_0_axb_5)) + (portRef I2 (instanceRef Ysum_0_axb_5)) (portRef I1 (instanceRef Ysum_0_o5_4)) )) (net (rename Ysum_8_4 "Ysum_8[4]") (joined @@ -77477,12 +78251,12 @@ (net (rename dout_cut0_r0Z0Z_5 "dout_cut0_r0[5]") (joined (portRef Q (instanceRef dout_cut0_r0_5)) (portRef I0 (instanceRef Ysum_0_axb_5)) - (portRef I1 (instanceRef Ysum_0_axb_6)) + (portRef I0 (instanceRef Ysum_0_axb_6)) (portRef I0 (instanceRef Ysum_0_o5_5)) )) (net (rename Ysum_6_5 "Ysum_6[5]") (joined (portRef Q (instanceRef Ysum_6_0_s_5_xorcy_latch)) - (portRef I2 (instanceRef Ysum_0_axb_5)) + (portRef I3 (instanceRef Ysum_0_axb_5)) (portRef I3 (instanceRef Ysum_0_axb_6)) (portRef I1 (instanceRef Ysum_0_o5_5)) )) @@ -77498,7 +78272,7 @@ )) (net (rename dout_cut0_r0Z0Z_6 "dout_cut0_r0[6]") (joined (portRef Q (instanceRef dout_cut0_r0_6)) - (portRef I0 (instanceRef Ysum_0_axb_6)) + (portRef I1 (instanceRef Ysum_0_axb_6)) (portRef I0 (instanceRef Ysum_0_axb_7)) (portRef I0 (instanceRef Ysum_0_o5_6)) )) @@ -77521,7 +78295,7 @@ (net (rename dout_cut0_r0Z0Z_7 "dout_cut0_r0[7]") (joined (portRef Q (instanceRef dout_cut0_r0_7)) (portRef I1 (instanceRef Ysum_0_axb_7)) - (portRef I0 (instanceRef Ysum_0_axb_8)) + (portRef I1 (instanceRef Ysum_0_axb_8)) (portRef I0 (instanceRef Ysum_0_o5_7)) )) (net (rename Ysum_6_7 "Ysum_6[7]") (joined @@ -77533,7 +78307,7 @@ (net (rename Ysum_8_7 "Ysum_8[7]") (joined (portRef Q (instanceRef Ysum_8_0_s_7_xorcy_latch)) (portRef I4 (instanceRef Ysum_0_axb_7)) - (portRef I5 (instanceRef Ysum_0_axb_8)) + (portRef I4 (instanceRef Ysum_0_axb_8)) (portRef I2 (instanceRef Ysum_0_o5_7)) )) (net (rename Ysum_0_o5Z0Z_7 "Ysum_0_o5_7") (joined @@ -77542,7 +78316,7 @@ )) (net (rename dout_cut0_r0Z0Z_8 "dout_cut0_r0[8]") (joined (portRef Q (instanceRef dout_cut0_r0_8)) - (portRef I1 (instanceRef Ysum_0_axb_8)) + (portRef I0 (instanceRef Ysum_0_axb_8)) (portRef I0 (instanceRef Ysum_0_axb_9)) (portRef I0 (instanceRef Ysum_0_o5_8)) )) @@ -77554,7 +78328,7 @@ )) (net (rename Ysum_8_8 "Ysum_8[8]") (joined (portRef Q (instanceRef Ysum_8_0_s_8_xorcy_latch)) - (portRef I4 (instanceRef Ysum_0_axb_8)) + (portRef I5 (instanceRef Ysum_0_axb_8)) (portRef I5 (instanceRef Ysum_0_axb_9)) (portRef I2 (instanceRef Ysum_0_o5_8)) )) @@ -77565,7 +78339,7 @@ (net (rename dout_cut0_r0Z0Z_9 "dout_cut0_r0[9]") (joined (portRef Q (instanceRef dout_cut0_r0_9)) (portRef I1 (instanceRef Ysum_0_axb_9)) - (portRef I0 (instanceRef Ysum_0_axb_10)) + (portRef I1 (instanceRef Ysum_0_axb_10)) (portRef I0 (instanceRef Ysum_0_o5_9)) )) (net (rename Ysum_6_9 "Ysum_6[9]") (joined @@ -77577,7 +78351,7 @@ (net (rename Ysum_8_9 "Ysum_8[9]") (joined (portRef Q (instanceRef Ysum_8_0_s_9_xorcy_latch)) (portRef I4 (instanceRef Ysum_0_axb_9)) - (portRef I4 (instanceRef Ysum_0_axb_10)) + (portRef I5 (instanceRef Ysum_0_axb_10)) (portRef I2 (instanceRef Ysum_0_o5_9)) )) (net (rename Ysum_0_o5Z0Z_9 "Ysum_0_o5_9") (joined @@ -77586,7 +78360,7 @@ )) (net (rename dout_cut0_r0Z0Z_10 "dout_cut0_r0[10]") (joined (portRef Q (instanceRef dout_cut0_r0_10)) - (portRef I1 (instanceRef Ysum_0_axb_10)) + (portRef I0 (instanceRef Ysum_0_axb_10)) (portRef I0 (instanceRef Ysum_0_axb_11)) (portRef I0 (instanceRef Ysum_0_o5_10)) )) @@ -77598,7 +78372,7 @@ )) (net (rename Ysum_8_10 "Ysum_8[10]") (joined (portRef Q (instanceRef Ysum_8_0_s_10_xorcy_latch)) - (portRef I5 (instanceRef Ysum_0_axb_10)) + (portRef I4 (instanceRef Ysum_0_axb_10)) (portRef I4 (instanceRef Ysum_0_axb_11)) (portRef I2 (instanceRef Ysum_0_o5_10)) )) @@ -77609,13 +78383,13 @@ (net (rename dout_cut0_r0Z0Z_11 "dout_cut0_r0[11]") (joined (portRef Q (instanceRef dout_cut0_r0_11)) (portRef I1 (instanceRef Ysum_0_axb_11)) - (portRef I1 (instanceRef Ysum_0_axb_12)) + (portRef I0 (instanceRef Ysum_0_axb_12)) (portRef I0 (instanceRef Ysum_0_o5_11)) )) (net (rename Ysum_6_11 "Ysum_6[11]") (joined (portRef Q (instanceRef Ysum_6_0_s_11_xorcy_latch)) (portRef I3 (instanceRef Ysum_0_axb_11)) - (portRef I2 (instanceRef Ysum_0_axb_12)) + (portRef I3 (instanceRef Ysum_0_axb_12)) (portRef I1 (instanceRef Ysum_0_o5_11)) )) (net (rename Ysum_8_11 "Ysum_8[11]") (joined @@ -77630,13 +78404,13 @@ )) (net (rename dout_cut0_r0Z0Z_12 "dout_cut0_r0[12]") (joined (portRef Q (instanceRef dout_cut0_r0_12)) - (portRef I0 (instanceRef Ysum_0_axb_12)) - (portRef I0 (instanceRef Ysum_0_axb_13)) + (portRef I1 (instanceRef Ysum_0_axb_12)) + (portRef I1 (instanceRef Ysum_0_axb_13)) (portRef I0 (instanceRef Ysum_0_o5_12)) )) (net (rename Ysum_6_12 "Ysum_6[12]") (joined (portRef Q (instanceRef Ysum_6_0_s_12_xorcy_latch)) - (portRef I3 (instanceRef Ysum_0_axb_12)) + (portRef I2 (instanceRef Ysum_0_axb_12)) (portRef I2 (instanceRef Ysum_0_axb_13)) (portRef I1 (instanceRef Ysum_0_o5_12)) )) @@ -77652,14 +78426,14 @@ )) (net (rename dout_cut0_r0Z0Z_13 "dout_cut0_r0[13]") (joined (portRef Q (instanceRef dout_cut0_r0_13)) - (portRef I1 (instanceRef Ysum_0_axb_13)) - (portRef I0 (instanceRef Ysum_0_axb_14)) + (portRef I0 (instanceRef Ysum_0_axb_13)) + (portRef I1 (instanceRef Ysum_0_axb_14)) (portRef I0 (instanceRef Ysum_0_o5_13)) )) (net (rename Ysum_6_13 "Ysum_6[13]") (joined (portRef Q (instanceRef Ysum_6_0_s_13_xorcy_latch)) (portRef I3 (instanceRef Ysum_0_axb_13)) - (portRef I2 (instanceRef Ysum_0_axb_14)) + (portRef I3 (instanceRef Ysum_0_axb_14)) (portRef I1 (instanceRef Ysum_0_o5_13)) )) (net (rename Ysum_8_13 "Ysum_8[13]") (joined @@ -77674,14 +78448,14 @@ )) (net (rename dout_cut0_r0Z0Z_14 "dout_cut0_r0[14]") (joined (portRef Q (instanceRef dout_cut0_r0_14)) - (portRef I1 (instanceRef Ysum_0_axb_14)) + (portRef I0 (instanceRef Ysum_0_axb_14)) (portRef I0 (instanceRef Ysum_0_axb_15)) (portRef I0 (instanceRef Ysum_0_o5_14)) )) (net (rename Ysum_6_14 "Ysum_6[14]") (joined (portRef Q (instanceRef Ysum_6_0_s_14_xorcy_latch)) - (portRef I3 (instanceRef Ysum_0_axb_14)) - (portRef I3 (instanceRef Ysum_0_axb_15)) + (portRef I2 (instanceRef Ysum_0_axb_14)) + (portRef I4 (instanceRef Ysum_0_axb_15)) (portRef I1 (instanceRef Ysum_0_o5_14)) )) (net (rename Ysum_8_14 "Ysum_8[14]") (joined @@ -77694,48 +78468,39 @@ (portRef O (instanceRef Ysum_0_o5_14)) (portRef (member DI 0) (instanceRef Ysum_0_cry_15)) )) - (net en_rep_1 (joined - (portRef en_rep_1) - (portRef en_rep_1 (instanceRef inst_iir_0)) - (portRef CE (instanceRef dout_cut3_r0_15)) - (portRef CE (instanceRef dout_cut3_r0_14)) - (portRef CE (instanceRef dout_cut3_r0_13)) - (portRef CE (instanceRef dout_cut3_r0_12)) - (portRef CE (instanceRef dout_cut3_r0_11)) - (portRef CE (instanceRef dout_cut3_r0_10)) - (portRef CE (instanceRef dout_cut3_r0_9)) - (portRef CE (instanceRef dout_cut3_r0_8)) - (portRef CE (instanceRef dout_cut3_r0_7)) - (portRef CE (instanceRef dout_cut3_r0_6)) - (portRef CE (instanceRef dout_cut3_r0_5)) - (portRef CE (instanceRef dout_cut3_r0_4)) - (portRef CE (instanceRef dout_cut3_r0_3)) - (portRef CE (instanceRef dout_cut3_r0_2)) - (portRef CE (instanceRef dout_cut3_r0_1)) - (portRef CE (instanceRef dout_cut3_r0_0)) - (portRef CE (instanceRef dout_cut2_r0_15)) - (portRef CE (instanceRef dout_cut2_r0_14)) - (portRef CE (instanceRef dout_cut2_r0_13)) - (portRef CE (instanceRef dout_cut2_r0_12)) - (portRef CE (instanceRef dout_cut2_r0_11)) - (portRef CE (instanceRef dout_cut2_r0_10)) - (portRef CE (instanceRef dout_cut2_r0_9)) - (portRef CE (instanceRef dout_cut2_r0_8)) - (portRef CE (instanceRef dout_cut2_r0_7)) - (portRef CE (instanceRef dout_cut2_r0_6)) - (portRef CE (instanceRef dout_cut2_r0_5)) - (portRef CE (instanceRef dout_cut2_r0_4)) - (portRef CE (instanceRef dout_cut2_r0_3)) - (portRef CE (instanceRef dout_cut2_r0_2)) - (portRef CE (instanceRef dout_cut2_r0_1)) - (portRef CE (instanceRef dout_cut2_r0_0)) - (portRef CE (instanceRef dout_cut1_r0_14)) - (portRef CE (instanceRef dout_cut1_r0_12)) - (portRef CE (instanceRef dout_cut1_r0_7)) - (portRef CE (instanceRef dout_cut1_r0_6)) - (portRef CE (instanceRef dout_cut1_r0_5)) - (portRef CE (instanceRef dout_cut1_r0_3)) - (portRef CE (instanceRef dout_cut1_r0_2)) + (net en_rep_3 (joined + (portRef en_rep_3) + (portRef en_rep_3 (instanceRef inst_iir_0)) + (portRef en_rep_3 (instanceRef inst_iir_4)) + (portRef CE (instanceRef dout_cut5_r0_14)) + (portRef CE (instanceRef dout_cut5_r0_13)) + (portRef CE (instanceRef dout_cut5_r0_12)) + (portRef CE (instanceRef dout_cut5_r0_10)) + (portRef CE (instanceRef dout_cut5_r0_9)) + (portRef CE (instanceRef dout_cut5_r0_8)) + (portRef CE (instanceRef dout_cut5_r0_7)) + (portRef CE (instanceRef dout_cut5_r0_6)) + (portRef CE (instanceRef dout_cut5_r0_5)) + (portRef CE (instanceRef dout_cut5_r0_4)) + (portRef CE (instanceRef dout_cut5_r0_3)) + (portRef CE (instanceRef dout_cut5_r0_2)) + (portRef CE (instanceRef dout_cut5_r0_1)) + (portRef CE (instanceRef dout_cut4_r0_15)) + (portRef CE (instanceRef dout_cut4_r0_14)) + (portRef CE (instanceRef dout_cut4_r0_13)) + (portRef CE (instanceRef dout_cut4_r0_12)) + (portRef CE (instanceRef dout_cut4_r0_11)) + (portRef CE (instanceRef dout_cut4_r0_10)) + (portRef CE (instanceRef dout_cut4_r0_9)) + (portRef CE (instanceRef dout_cut4_r0_8)) + (portRef CE (instanceRef dout_cut4_r0_7)) + (portRef CE (instanceRef dout_cut4_r0_6)) + (portRef CE (instanceRef dout_cut4_r0_5)) + (portRef CE (instanceRef dout_cut4_r0_4)) + (portRef CE (instanceRef dout_cut4_r0_3)) + (portRef CE (instanceRef dout_cut4_r0_2)) + (portRef CE (instanceRef dout_cut4_r0_1)) + (portRef CE (instanceRef dout_cut4_r0_0)) (portRef CE (instanceRef dout_cut0_r0_15)) (portRef CE (instanceRef dout_cut0_r0_14)) (portRef CE (instanceRef dout_cut0_r0_13)) @@ -77752,45 +78517,34 @@ (portRef CE (instanceRef dout_cut0_r0_2)) (portRef CE (instanceRef dout_cut0_r0_1)) (portRef CE (instanceRef dout_cut0_r0_0)) - (portRef CE (instanceRef dout_round_3_35)) - (portRef CE (instanceRef dout_round_3_34)) - (portRef CE (instanceRef dout_round_3_33)) - (portRef CE (instanceRef dout_round_3_32)) - (portRef CE (instanceRef dout_round_3_31)) - (portRef CE (instanceRef dout_round_3_30)) - (portRef CE (instanceRef dout_round_3_29)) - (portRef CE (instanceRef dout_round_3_28)) - (portRef CE (instanceRef dout_round_3_27)) - (portRef CE (instanceRef dout_round_3_26)) - (portRef CE (instanceRef dout_round_3_25)) - (portRef CE (instanceRef dout_round_3_24)) - (portRef CE (instanceRef dout_round_3_23)) - (portRef CE (instanceRef dout_round_3_22)) - (portRef CE (instanceRef dout_round_3_21)) - (portRef CE (instanceRef dout_round_3_20)) - (portRef CE (instanceRef dout_round_2_35)) - (portRef CE (instanceRef dout_round_2_34)) - (portRef CE (instanceRef dout_round_2_33)) - (portRef CE (instanceRef dout_round_2_32)) - (portRef CE (instanceRef dout_round_2_31)) - (portRef CE (instanceRef dout_round_2_30)) - (portRef CE (instanceRef dout_round_2_29)) - (portRef CE (instanceRef dout_round_2_28)) - (portRef CE (instanceRef dout_round_2_27)) - (portRef CE (instanceRef dout_round_2_26)) - (portRef CE (instanceRef dout_round_2_25)) - (portRef CE (instanceRef dout_round_2_24)) - (portRef CE (instanceRef dout_round_2_23)) - (portRef CE (instanceRef dout_round_2_22)) - (portRef CE (instanceRef dout_round_2_21)) - (portRef CE (instanceRef dout_round_2_20)) - (portRef CE (instanceRef dout_round_1_34)) - (portRef CE (instanceRef dout_round_1_32)) - (portRef CE (instanceRef dout_round_1_27)) - (portRef CE (instanceRef dout_round_1_26)) - (portRef CE (instanceRef dout_round_1_25)) - (portRef CE (instanceRef dout_round_1_23)) - (portRef CE (instanceRef dout_round_1_22)) + (portRef CE (instanceRef dout_round_5_34)) + (portRef CE (instanceRef dout_round_5_33)) + (portRef CE (instanceRef dout_round_5_32)) + (portRef CE (instanceRef dout_round_5_30)) + (portRef CE (instanceRef dout_round_5_29)) + (portRef CE (instanceRef dout_round_5_28)) + (portRef CE (instanceRef dout_round_5_27)) + (portRef CE (instanceRef dout_round_5_26)) + (portRef CE (instanceRef dout_round_5_24)) + (portRef CE (instanceRef dout_round_5_23)) + (portRef CE (instanceRef dout_round_5_22)) + (portRef CE (instanceRef dout_round_5_21)) + (portRef CE (instanceRef dout_round_4_35)) + (portRef CE (instanceRef dout_round_4_34)) + (portRef CE (instanceRef dout_round_4_33)) + (portRef CE (instanceRef dout_round_4_32)) + (portRef CE (instanceRef dout_round_4_31)) + (portRef CE (instanceRef dout_round_4_30)) + (portRef CE (instanceRef dout_round_4_29)) + (portRef CE (instanceRef dout_round_4_28)) + (portRef CE (instanceRef dout_round_4_27)) + (portRef CE (instanceRef dout_round_4_26)) + (portRef CE (instanceRef dout_round_4_25)) + (portRef CE (instanceRef dout_round_4_24)) + (portRef CE (instanceRef dout_round_4_23)) + (portRef CE (instanceRef dout_round_4_22)) + (portRef CE (instanceRef dout_round_4_21)) + (portRef CE (instanceRef dout_round_4_20)) (portRef CE (instanceRef dout_round_0_35)) (portRef CE (instanceRef dout_round_0_34)) (portRef CE (instanceRef dout_round_0_33)) @@ -77807,30 +78561,60 @@ (portRef CE (instanceRef dout_round_0_22)) (portRef CE (instanceRef dout_round_0_21)) (portRef CE (instanceRef dout_round_0_20)) - (portRef CE (instanceRef din_r0_0)) + (portRef CE (instanceRef din_r0_1)) + (portRef CE (instanceRef din_r1_1)) + (portRef CE (instanceRef din_r0_2)) + (portRef CE (instanceRef din_r1_2)) + (portRef CE (instanceRef din_r0_5)) + (portRef CE (instanceRef din_r1_5)) + (portRef CE (instanceRef din_r0_8)) + (portRef CE (instanceRef din_r1_8)) + (portRef CE (instanceRef din_r0_9)) + (portRef CE (instanceRef din_r1_9)) + (portRef CE (instanceRef din_r0_10)) + (portRef CE (instanceRef din_r1_10)) + (portRef CE (instanceRef din_r0_11)) + (portRef CE (instanceRef din_r1_11)) + (portRef CE (instanceRef din_r0_12)) + (portRef CE (instanceRef din_r1_12)) + (portRef CE (instanceRef din_r0_13)) + (portRef CE (instanceRef din_r1_13)) + (portRef CE (instanceRef din_r0_14)) + (portRef CE (instanceRef din_r1_14)) (portRef CE (instanceRef din_r0_15)) (portRef CE (instanceRef din_r1_15)) + (portRef CE (instanceRef din_r4_DOUT_0)) + (portRef CE (instanceRef din_r4_0_DOUT_0)) + (portRef CE (instanceRef din_r4_1_DOUT_0)) + (portRef CE (instanceRef din_r4_2_DOUT_0)) + (portRef CE (instanceRef din_r4_3_DOUT_0)) + (portRef CE (instanceRef din_r4_4_DOUT_0)) + (portRef CE (instanceRef din_r4_5_DOUT_0)) + (portRef CE (instanceRef din_r4_6_DOUT_0)) + (portRef CE (instanceRef din_r4_7_DOUT_0)) + (portRef CE (instanceRef din_r4_8_DOUT_0)) + (portRef CE (instanceRef din_r4_9_DOUT_0)) + (portRef CE (instanceRef din_r4_10_DOUT_0)) + (portRef CE (instanceRef din_r4_11_DOUT_0)) + (portRef CE (instanceRef din_r4_12_DOUT_0)) + (portRef CE (instanceRef din_r4_13_DOUT_0)) + (portRef CE (instanceRef din_r4_14_DOUT_0)) + (portRef CE (instanceRef din_r4_inst_z_dsp_inst_TailCorr_top_din_r4_1)) + (portRef CE (instanceRef din_r4_0_inst_z_dsp_inst_TailCorr_top_din_r4_1)) + (portRef CE (instanceRef din_r4_1_inst_z_dsp_inst_TailCorr_top_din_r4_1)) + (portRef CE (instanceRef din_r4_2_inst_z_dsp_inst_TailCorr_top_din_r4_1)) + (portRef CE (instanceRef din_r4_3_inst_z_dsp_inst_TailCorr_top_din_r4_1)) + (portRef CE (instanceRef din_r4_4_inst_z_dsp_inst_TailCorr_top_din_r4_1)) + (portRef CE (instanceRef din_r4_5_inst_z_dsp_inst_TailCorr_top_din_r4_1)) + (portRef CE (instanceRef din_r4_6_inst_z_dsp_inst_TailCorr_top_din_r4_1)) + (portRef CE (instanceRef din_r4_7_inst_z_dsp_inst_TailCorr_top_din_r4_1)) + (portRef CE (instanceRef din_r4_8_inst_z_dsp_inst_TailCorr_top_din_r4_1)) + (portRef CE (instanceRef din_r4_9_inst_z_dsp_inst_TailCorr_top_din_r4_1)) + (portRef CE (instanceRef din_r4_10_inst_z_dsp_inst_TailCorr_top_din_r4_1)) + (portRef CE (instanceRef din_r4_11_inst_z_dsp_inst_TailCorr_top_din_r4_1)) + (portRef CE (instanceRef din_r4_13_inst_z_dsp_inst_TailCorr_top_din_r4_1)) (portRef I1 (instanceRef dout_r_3_sqmuxa_i)) )) - (net (rename dout_r_3_sqmuxa_iZ0 "dout_r_3_sqmuxa_i") (joined - (portRef O (instanceRef dout_r_3_sqmuxa_i)) - (portRef CE (instanceRef dout_r_1)) - (portRef CE (instanceRef dout_r_0)) - (portRef CE (instanceRef dout_r_15)) - (portRef CE (instanceRef dout_r_14)) - (portRef CE (instanceRef dout_r_13)) - (portRef CE (instanceRef dout_r_12)) - (portRef CE (instanceRef dout_r_11)) - (portRef CE (instanceRef dout_r_10)) - (portRef CE (instanceRef dout_r_9)) - (portRef CE (instanceRef dout_r_8)) - (portRef CE (instanceRef dout_r_7)) - (portRef CE (instanceRef dout_r_6)) - (portRef CE (instanceRef dout_r_5)) - (portRef CE (instanceRef dout_r_4)) - (portRef CE (instanceRef dout_r_3)) - (portRef CE (instanceRef dout_r_2)) - )) (net (rename din_re_15 "din_re[15]") (joined (portRef (member din_re 0)) (portRef D (instanceRef din_r0_15)) @@ -78794,12 +79578,12 @@ )) (net (rename din_r4_11_DOUTZ0Z_0 "din_r4_11_DOUT[0]") (joined (portRef Q (instanceRef din_r4_11_DOUT_0)) - (portRef I0 (instanceRef Ysum_8_0_axb_3)) + (portRef I1 (instanceRef Ysum_8_0_axb_3)) (portRef I0 (instanceRef Ysum_8_0_o5_3)) )) (net (rename dout_cut5_r0Z0Z_3 "dout_cut5_r0[3]") (joined (portRef Q (instanceRef dout_cut5_r0_3)) - (portRef I1 (instanceRef Ysum_8_0_axb_3)) + (portRef I0 (instanceRef Ysum_8_0_axb_3)) (portRef I1 (instanceRef Ysum_8_0_o5_3)) )) (net (rename dout_cut4_r0Z0Z_3 "dout_cut4_r0[3]") (joined @@ -78843,12 +79627,12 @@ )) (net (rename dout_cut4_r0Z0Z_12 "dout_cut4_r0[12]") (joined (portRef Q (instanceRef dout_cut4_r0_12)) - (portRef I2 (instanceRef Ysum_8_0_axb_12)) + (portRef I0 (instanceRef Ysum_8_0_axb_12)) (portRef I1 (instanceRef Ysum_8_0_o5_12)) )) (net (rename dout_cut5_r0Z0Z_12 "dout_cut5_r0[12]") (joined (portRef Q (instanceRef dout_cut5_r0_12)) - (portRef I0 (instanceRef Ysum_8_0_axb_12)) + (portRef I2 (instanceRef Ysum_8_0_axb_12)) (portRef I2 (instanceRef Ysum_8_0_o5_12)) )) (net (rename Ysum_8_0_o5Z0Z_12 "Ysum_8_0_o5_12") (joined @@ -78869,7 +79653,7 @@ (net (rename dout_cut5_r0Z0Z_11 "dout_cut5_r0[11]") (joined (portRef Q (instanceRef dout_cut5_r0_11)) (portRef I2 (instanceRef Ysum_8_0_axb_11)) - (portRef I2 (instanceRef Ysum_8_0_o5_11)) + (portRef I3 (instanceRef Ysum_8_0_o5_11)) )) (net (rename Ysum_8_0_o5Z0Z_11 "Ysum_8_0_o5_11") (joined (portRef O (instanceRef Ysum_8_0_o5_11)) @@ -78881,13 +79665,13 @@ (portRef I1 (instanceRef Ysum_8_0_axb_10)) (portRef I0 (instanceRef Ysum_8_0_o5_10)) )) - (net (rename dout_cut4_r0Z0Z_10 "dout_cut4_r0[10]") (joined - (portRef Q (instanceRef dout_cut4_r0_10)) + (net (rename dout_cut5_r0Z0Z_10 "dout_cut5_r0[10]") (joined + (portRef Q (instanceRef dout_cut5_r0_10)) (portRef I0 (instanceRef Ysum_8_0_axb_10)) (portRef I1 (instanceRef Ysum_8_0_o5_10)) )) - (net (rename dout_cut5_r0Z0Z_10 "dout_cut5_r0[10]") (joined - (portRef Q (instanceRef dout_cut5_r0_10)) + (net (rename dout_cut4_r0Z0Z_10 "dout_cut4_r0[10]") (joined + (portRef Q (instanceRef dout_cut4_r0_10)) (portRef I2 (instanceRef Ysum_8_0_axb_10)) (portRef I2 (instanceRef Ysum_8_0_o5_10)) )) @@ -78898,12 +79682,12 @@ )) (net (rename din_r4_DOUTZ0Z_0 "din_r4_DOUT[0]") (joined (portRef Q (instanceRef din_r4_DOUT_0)) - (portRef I1 (instanceRef Ysum_8_0_axb_15)) + (portRef I0 (instanceRef Ysum_8_0_axb_15)) (portRef I0 (instanceRef Ysum_8_0_axb_16)) )) (net (rename dout_cut4_r0Z0Z_15 "dout_cut4_r0[15]") (joined (portRef Q (instanceRef dout_cut4_r0_15)) - (portRef I0 (instanceRef Ysum_8_0_axb_15)) + (portRef I1 (instanceRef Ysum_8_0_axb_15)) (portRef I1 (instanceRef Ysum_8_0_axb_16)) )) (net (rename dout_cut5_r0Z0Z_15 "dout_cut5_r0[15]") (joined @@ -78949,16 +79733,16 @@ )) (net (rename din_r4_0_DOUTZ0Z_0 "din_r4_0_DOUT[0]") (joined (portRef Q (instanceRef din_r4_0_DOUT_0)) - (portRef I0 (instanceRef Ysum_8_0_axb_14)) - (portRef I0 (instanceRef Ysum_8_0_o5_14)) - )) - (net (rename dout_cut4_r0Z0Z_14 "dout_cut4_r0[14]") (joined - (portRef Q (instanceRef dout_cut4_r0_14)) (portRef I1 (instanceRef Ysum_8_0_axb_14)) - (portRef I1 (instanceRef Ysum_8_0_o5_14)) + (portRef I0 (instanceRef Ysum_8_0_o5_14)) )) (net (rename dout_cut5_r0Z0Z_14 "dout_cut5_r0[14]") (joined (portRef Q (instanceRef dout_cut5_r0_14)) + (portRef I0 (instanceRef Ysum_8_0_axb_14)) + (portRef I1 (instanceRef Ysum_8_0_o5_14)) + )) + (net (rename dout_cut4_r0Z0Z_14 "dout_cut4_r0[14]") (joined + (portRef Q (instanceRef dout_cut4_r0_14)) (portRef I2 (instanceRef Ysum_8_0_axb_14)) (portRef I2 (instanceRef Ysum_8_0_o5_14)) )) @@ -78977,12 +79761,12 @@ )) (net (rename din_r4_12_DOUTZ0Z_0 "din_r4_12_DOUT[0]") (joined (portRef Q (instanceRef din_r4_12_DOUT_0)) - (portRef I0 (instanceRef Ysum_8_0_axb_2)) + (portRef I1 (instanceRef Ysum_8_0_axb_2)) (portRef I0 (instanceRef Ysum_8_0_o5_2)) )) (net (rename dout_cut5_r0Z0Z_2 "dout_cut5_r0[2]") (joined (portRef Q (instanceRef dout_cut5_r0_2)) - (portRef I1 (instanceRef Ysum_8_0_axb_2)) + (portRef I0 (instanceRef Ysum_8_0_axb_2)) (portRef I1 (instanceRef Ysum_8_0_o5_2)) )) (net (rename dout_cut4_r0Z0Z_2 "dout_cut4_r0[2]") (joined @@ -78995,14 +79779,14 @@ (portRef (member DI 3) (instanceRef Ysum_8_0_cry_6)) (portRef I4 (instanceRef Ysum_8_0_axb_3)) )) - (net (rename dout_cut5_r0Z0Z_9 "dout_cut5_r0[9]") (joined - (portRef Q (instanceRef dout_cut5_r0_9)) - (portRef I0 (instanceRef Ysum_8_0_axb_9)) - (portRef I0 (instanceRef Ysum_8_0_o5_9)) - )) (net (rename din_r4_5_DOUTZ0Z_0 "din_r4_5_DOUT[0]") (joined (portRef Q (instanceRef din_r4_5_DOUT_0)) (portRef I1 (instanceRef Ysum_8_0_axb_9)) + (portRef I0 (instanceRef Ysum_8_0_o5_9)) + )) + (net (rename dout_cut5_r0Z0Z_9 "dout_cut5_r0[9]") (joined + (portRef Q (instanceRef dout_cut5_r0_9)) + (portRef I0 (instanceRef Ysum_8_0_axb_9)) (portRef I1 (instanceRef Ysum_8_0_o5_9)) )) (net (rename dout_cut4_r0Z0Z_9 "dout_cut4_r0[9]") (joined @@ -79017,17 +79801,17 @@ )) (net (rename din_r4_6_DOUTZ0Z_0 "din_r4_6_DOUT[0]") (joined (portRef Q (instanceRef din_r4_6_DOUT_0)) - (portRef I0 (instanceRef Ysum_8_0_axb_8)) + (portRef I2 (instanceRef Ysum_8_0_axb_8)) (portRef I0 (instanceRef Ysum_8_0_o5_8)) )) + (net (rename dout_cut5_r0Z0Z_8 "dout_cut5_r0[8]") (joined + (portRef Q (instanceRef dout_cut5_r0_8)) + (portRef I0 (instanceRef Ysum_8_0_axb_8)) + (portRef I1 (instanceRef Ysum_8_0_o5_8)) + )) (net (rename dout_cut4_r0Z0Z_8 "dout_cut4_r0[8]") (joined (portRef Q (instanceRef dout_cut4_r0_8)) (portRef I1 (instanceRef Ysum_8_0_axb_8)) - (portRef I1 (instanceRef Ysum_8_0_o5_8)) - )) - (net (rename dout_cut5_r0Z0Z_8 "dout_cut5_r0[8]") (joined - (portRef Q (instanceRef dout_cut5_r0_8)) - (portRef I2 (instanceRef Ysum_8_0_axb_8)) (portRef I2 (instanceRef Ysum_8_0_o5_8)) )) (net (rename Ysum_8_0_o5Z0Z_8 "Ysum_8_0_o5_8") (joined @@ -79035,19 +79819,19 @@ (portRef (member DI 1) (instanceRef Ysum_8_0_cry_10)) (portRef I4 (instanceRef Ysum_8_0_axb_9)) )) - (net (rename din_r4_7_DOUTZ0Z_0 "din_r4_7_DOUT[0]") (joined - (portRef Q (instanceRef din_r4_7_DOUT_0)) + (net (rename dout_cut4_r0Z0Z_7 "dout_cut4_r0[7]") (joined + (portRef Q (instanceRef dout_cut4_r0_7)) (portRef I2 (instanceRef Ysum_8_0_axb_7)) (portRef I0 (instanceRef Ysum_8_0_o5_7)) )) - (net (rename dout_cut4_r0Z0Z_7 "dout_cut4_r0[7]") (joined - (portRef Q (instanceRef dout_cut4_r0_7)) - (portRef I1 (instanceRef Ysum_8_0_axb_7)) + (net (rename din_r4_7_DOUTZ0Z_0 "din_r4_7_DOUT[0]") (joined + (portRef Q (instanceRef din_r4_7_DOUT_0)) + (portRef I0 (instanceRef Ysum_8_0_axb_7)) (portRef I1 (instanceRef Ysum_8_0_o5_7)) )) (net (rename dout_cut5_r0Z0Z_7 "dout_cut5_r0[7]") (joined (portRef Q (instanceRef dout_cut5_r0_7)) - (portRef I0 (instanceRef Ysum_8_0_axb_7)) + (portRef I1 (instanceRef Ysum_8_0_axb_7)) (portRef I2 (instanceRef Ysum_8_0_o5_7)) )) (net (rename Ysum_8_0_o5Z0Z_7 "Ysum_8_0_o5_7") (joined @@ -79060,13 +79844,13 @@ (portRef I1 (instanceRef Ysum_8_0_axb_6)) (portRef I0 (instanceRef Ysum_8_0_o5_6)) )) - (net (rename dout_cut4_r0Z0Z_6 "dout_cut4_r0[6]") (joined - (portRef Q (instanceRef dout_cut4_r0_6)) + (net (rename dout_cut5_r0Z0Z_6 "dout_cut5_r0[6]") (joined + (portRef Q (instanceRef dout_cut5_r0_6)) (portRef I0 (instanceRef Ysum_8_0_axb_6)) (portRef I1 (instanceRef Ysum_8_0_o5_6)) )) - (net (rename dout_cut5_r0Z0Z_6 "dout_cut5_r0[6]") (joined - (portRef Q (instanceRef dout_cut5_r0_6)) + (net (rename dout_cut4_r0Z0Z_6 "dout_cut4_r0[6]") (joined + (portRef Q (instanceRef dout_cut4_r0_6)) (portRef I2 (instanceRef Ysum_8_0_axb_6)) (portRef I2 (instanceRef Ysum_8_0_o5_6)) )) @@ -79080,13 +79864,13 @@ (portRef I1 (instanceRef Ysum_8_0_axb_5)) (portRef I0 (instanceRef Ysum_8_0_o5_5)) )) - (net (rename dout_cut4_r0Z0Z_5 "dout_cut4_r0[5]") (joined - (portRef Q (instanceRef dout_cut4_r0_5)) + (net (rename dout_cut5_r0Z0Z_5 "dout_cut5_r0[5]") (joined + (portRef Q (instanceRef dout_cut5_r0_5)) (portRef I2 (instanceRef Ysum_8_0_axb_5)) (portRef I1 (instanceRef Ysum_8_0_o5_5)) )) - (net (rename dout_cut5_r0Z0Z_5 "dout_cut5_r0[5]") (joined - (portRef Q (instanceRef dout_cut5_r0_5)) + (net (rename dout_cut4_r0Z0Z_5 "dout_cut4_r0[5]") (joined + (portRef Q (instanceRef dout_cut4_r0_5)) (portRef I0 (instanceRef Ysum_8_0_axb_5)) (portRef I2 (instanceRef Ysum_8_0_o5_5)) )) @@ -79097,17 +79881,17 @@ )) (net (rename din_r4_10_DOUTZ0Z_0 "din_r4_10_DOUT[0]") (joined (portRef Q (instanceRef din_r4_10_DOUT_0)) - (portRef I0 (instanceRef Ysum_8_0_axb_4)) + (portRef I2 (instanceRef Ysum_8_0_axb_4)) (portRef I0 (instanceRef Ysum_8_0_o5_4)) )) + (net (rename dout_cut5_r0Z0Z_4 "dout_cut5_r0[4]") (joined + (portRef Q (instanceRef dout_cut5_r0_4)) + (portRef I0 (instanceRef Ysum_8_0_axb_4)) + (portRef I1 (instanceRef Ysum_8_0_o5_4)) + )) (net (rename dout_cut4_r0Z0Z_4 "dout_cut4_r0[4]") (joined (portRef Q (instanceRef dout_cut4_r0_4)) (portRef I1 (instanceRef Ysum_8_0_axb_4)) - (portRef I1 (instanceRef Ysum_8_0_o5_4)) - )) - (net (rename dout_cut5_r0Z0Z_4 "dout_cut5_r0[4]") (joined - (portRef Q (instanceRef dout_cut5_r0_4)) - (portRef I2 (instanceRef Ysum_8_0_axb_4)) (portRef I2 (instanceRef Ysum_8_0_o5_4)) )) (net (rename Ysum_8_0_o5Z0Z_4 "Ysum_8_0_o5_4") (joined @@ -79180,422 +79964,108 @@ (portRef din_r1 (instanceRef inst_diffRe)) (portRef D (instanceRef din_r4_14_inst_z_dsp_inst_TailCorr_top_din_r4_1)) )) - (net clk (joined - (portRef clk) - (portRef clk (instanceRef inst_iir_0)) - (portRef clk (instanceRef inst_iir_1)) - (portRef clk (instanceRef inst_iir_2)) - (portRef clk (instanceRef inst_iir_3)) - (portRef clk (instanceRef inst_iir_4)) - (portRef clk (instanceRef inst_iir_5)) - (portRef clk (instanceRef inst_diffRe)) - (portRef C (instanceRef dout_r_1)) - (portRef C (instanceRef dout_r_0)) - (portRef C (instanceRef dout_r_15)) - (portRef C (instanceRef dout_r_14)) - (portRef C (instanceRef dout_r_13)) - (portRef C (instanceRef dout_r_12)) - (portRef C (instanceRef dout_r_11)) - (portRef C (instanceRef dout_r_10)) - (portRef C (instanceRef dout_r_9)) - (portRef C (instanceRef dout_r_8)) - (portRef C (instanceRef dout_r_7)) - (portRef C (instanceRef dout_r_6)) - (portRef C (instanceRef dout_r_5)) - (portRef C (instanceRef dout_r_4)) - (portRef C (instanceRef dout_r_3)) - (portRef C (instanceRef dout_r_2)) - (portRef C (instanceRef dout_cut5_r0_15)) - (portRef C (instanceRef dout_cut5_r0_14)) - (portRef C (instanceRef dout_cut5_r0_13)) - (portRef C (instanceRef dout_cut5_r0_12)) - (portRef C (instanceRef dout_cut5_r0_11)) - (portRef C (instanceRef dout_cut5_r0_10)) - (portRef C (instanceRef dout_cut5_r0_9)) - (portRef C (instanceRef dout_cut5_r0_8)) - (portRef C (instanceRef dout_cut5_r0_7)) - (portRef C (instanceRef dout_cut5_r0_6)) - (portRef C (instanceRef dout_cut5_r0_5)) - (portRef C (instanceRef dout_cut5_r0_4)) - (portRef C (instanceRef dout_cut5_r0_3)) - (portRef C (instanceRef dout_cut5_r0_2)) - (portRef C (instanceRef dout_cut5_r0_1)) - (portRef C (instanceRef dout_cut5_r0_0)) - (portRef C (instanceRef dout_cut4_r0_15)) - (portRef C (instanceRef dout_cut4_r0_14)) - (portRef C (instanceRef dout_cut4_r0_13)) - (portRef C (instanceRef dout_cut4_r0_12)) - (portRef C (instanceRef dout_cut4_r0_11)) - (portRef C (instanceRef dout_cut4_r0_10)) - (portRef C (instanceRef dout_cut4_r0_9)) - (portRef C (instanceRef dout_cut4_r0_8)) - (portRef C (instanceRef dout_cut4_r0_7)) - (portRef C (instanceRef dout_cut4_r0_6)) - (portRef C (instanceRef dout_cut4_r0_5)) - (portRef C (instanceRef dout_cut4_r0_4)) - (portRef C (instanceRef dout_cut4_r0_3)) - (portRef C (instanceRef dout_cut4_r0_2)) - (portRef C (instanceRef dout_cut4_r0_1)) - (portRef C (instanceRef dout_cut4_r0_0)) - (portRef C (instanceRef dout_cut3_r0_15)) - (portRef C (instanceRef dout_cut3_r0_14)) - (portRef C (instanceRef dout_cut3_r0_13)) - (portRef C (instanceRef dout_cut3_r0_12)) - (portRef C (instanceRef dout_cut3_r0_11)) - (portRef C (instanceRef dout_cut3_r0_10)) - (portRef C (instanceRef dout_cut3_r0_9)) - (portRef C (instanceRef dout_cut3_r0_8)) - (portRef C (instanceRef dout_cut3_r0_7)) - (portRef C (instanceRef dout_cut3_r0_6)) - (portRef C (instanceRef dout_cut3_r0_5)) - (portRef C (instanceRef dout_cut3_r0_4)) - (portRef C (instanceRef dout_cut3_r0_3)) - (portRef C (instanceRef dout_cut3_r0_2)) - (portRef C (instanceRef dout_cut3_r0_1)) - (portRef C (instanceRef dout_cut3_r0_0)) - (portRef C (instanceRef dout_cut2_r0_15)) - (portRef C (instanceRef dout_cut2_r0_14)) - (portRef C (instanceRef dout_cut2_r0_13)) - (portRef C (instanceRef dout_cut2_r0_12)) - (portRef C (instanceRef dout_cut2_r0_11)) - (portRef C (instanceRef dout_cut2_r0_10)) - (portRef C (instanceRef dout_cut2_r0_9)) - (portRef C (instanceRef dout_cut2_r0_8)) - (portRef C (instanceRef dout_cut2_r0_7)) - (portRef C (instanceRef dout_cut2_r0_6)) - (portRef C (instanceRef dout_cut2_r0_5)) - (portRef C (instanceRef dout_cut2_r0_4)) - (portRef C (instanceRef dout_cut2_r0_3)) - (portRef C (instanceRef dout_cut2_r0_2)) - (portRef C (instanceRef dout_cut2_r0_1)) - (portRef C (instanceRef dout_cut2_r0_0)) - (portRef C (instanceRef dout_cut1_r0_15)) - (portRef C (instanceRef dout_cut1_r0_14)) - (portRef C (instanceRef dout_cut1_r0_13)) - (portRef C (instanceRef dout_cut1_r0_12)) - (portRef C (instanceRef dout_cut1_r0_11)) - (portRef C (instanceRef dout_cut1_r0_10)) - (portRef C (instanceRef dout_cut1_r0_9)) - (portRef C (instanceRef dout_cut1_r0_8)) - (portRef C (instanceRef dout_cut1_r0_7)) - (portRef C (instanceRef dout_cut1_r0_6)) - (portRef C (instanceRef dout_cut1_r0_5)) - (portRef C (instanceRef dout_cut1_r0_4)) - (portRef C (instanceRef dout_cut1_r0_3)) - (portRef C (instanceRef dout_cut1_r0_2)) - (portRef C (instanceRef dout_cut1_r0_1)) - (portRef C (instanceRef dout_cut1_r0_0)) - (portRef C (instanceRef dout_cut0_r0_15)) - (portRef C (instanceRef dout_cut0_r0_14)) - (portRef C (instanceRef dout_cut0_r0_13)) - (portRef C (instanceRef dout_cut0_r0_12)) - (portRef C (instanceRef dout_cut0_r0_11)) - (portRef C (instanceRef dout_cut0_r0_10)) - (portRef C (instanceRef dout_cut0_r0_9)) - (portRef C (instanceRef dout_cut0_r0_8)) - (portRef C (instanceRef dout_cut0_r0_7)) - (portRef C (instanceRef dout_cut0_r0_6)) - (portRef C (instanceRef dout_cut0_r0_5)) - (portRef C (instanceRef dout_cut0_r0_4)) - (portRef C (instanceRef dout_cut0_r0_3)) - (portRef C (instanceRef dout_cut0_r0_2)) - (portRef C (instanceRef dout_cut0_r0_1)) - (portRef C (instanceRef dout_cut0_r0_0)) - (portRef C (instanceRef dout_round_5_35)) - (portRef C (instanceRef dout_round_5_34)) - (portRef C (instanceRef dout_round_5_33)) - (portRef C (instanceRef dout_round_5_32)) - (portRef C (instanceRef dout_round_5_31)) - (portRef C (instanceRef dout_round_5_30)) - (portRef C (instanceRef dout_round_5_29)) - (portRef C (instanceRef dout_round_5_28)) - (portRef C (instanceRef dout_round_5_27)) - (portRef C (instanceRef dout_round_5_26)) - (portRef C (instanceRef dout_round_5_25)) - (portRef C (instanceRef dout_round_5_24)) - (portRef C (instanceRef dout_round_5_23)) - (portRef C (instanceRef dout_round_5_22)) - (portRef C (instanceRef dout_round_5_21)) - (portRef C (instanceRef dout_round_5_20)) - (portRef C (instanceRef dout_round_4_35)) - (portRef C (instanceRef dout_round_4_34)) - (portRef C (instanceRef dout_round_4_33)) - (portRef C (instanceRef dout_round_4_32)) - (portRef C (instanceRef dout_round_4_31)) - (portRef C (instanceRef dout_round_4_30)) - (portRef C (instanceRef dout_round_4_29)) - (portRef C (instanceRef dout_round_4_28)) - (portRef C (instanceRef dout_round_4_27)) - (portRef C (instanceRef dout_round_4_26)) - (portRef C (instanceRef dout_round_4_25)) - (portRef C (instanceRef dout_round_4_24)) - (portRef C (instanceRef dout_round_4_23)) - (portRef C (instanceRef dout_round_4_22)) - (portRef C (instanceRef dout_round_4_21)) - (portRef C (instanceRef dout_round_4_20)) - (portRef C (instanceRef dout_round_3_35)) - (portRef C (instanceRef dout_round_3_34)) - (portRef C (instanceRef dout_round_3_33)) - (portRef C (instanceRef dout_round_3_32)) - (portRef C (instanceRef dout_round_3_31)) - (portRef C (instanceRef dout_round_3_30)) - (portRef C (instanceRef dout_round_3_29)) - (portRef C (instanceRef dout_round_3_28)) - (portRef C (instanceRef dout_round_3_27)) - (portRef C (instanceRef dout_round_3_26)) - (portRef C (instanceRef dout_round_3_25)) - (portRef C (instanceRef dout_round_3_24)) - (portRef C (instanceRef dout_round_3_23)) - (portRef C (instanceRef dout_round_3_22)) - (portRef C (instanceRef dout_round_3_21)) - (portRef C (instanceRef dout_round_3_20)) - (portRef C (instanceRef dout_round_2_35)) - (portRef C (instanceRef dout_round_2_34)) - (portRef C (instanceRef dout_round_2_33)) - (portRef C (instanceRef dout_round_2_32)) - (portRef C (instanceRef dout_round_2_31)) - (portRef C (instanceRef dout_round_2_30)) - (portRef C (instanceRef dout_round_2_29)) - (portRef C (instanceRef dout_round_2_28)) - (portRef C (instanceRef dout_round_2_27)) - (portRef C (instanceRef dout_round_2_26)) - (portRef C (instanceRef dout_round_2_25)) - (portRef C (instanceRef dout_round_2_24)) - (portRef C (instanceRef dout_round_2_23)) - (portRef C (instanceRef dout_round_2_22)) - (portRef C (instanceRef dout_round_2_21)) - (portRef C (instanceRef dout_round_2_20)) - (portRef C (instanceRef dout_round_1_35)) - (portRef C (instanceRef dout_round_1_34)) - (portRef C (instanceRef dout_round_1_33)) - (portRef C (instanceRef dout_round_1_32)) - (portRef C (instanceRef dout_round_1_31)) - (portRef C (instanceRef dout_round_1_30)) - (portRef C (instanceRef dout_round_1_29)) - (portRef C (instanceRef dout_round_1_28)) - (portRef C (instanceRef dout_round_1_27)) - (portRef C (instanceRef dout_round_1_26)) - (portRef C (instanceRef dout_round_1_25)) - (portRef C (instanceRef dout_round_1_24)) - (portRef C (instanceRef dout_round_1_23)) - (portRef C (instanceRef dout_round_1_22)) - (portRef C (instanceRef dout_round_1_21)) - (portRef C (instanceRef dout_round_1_20)) - (portRef C (instanceRef dout_round_0_35)) - (portRef C (instanceRef dout_round_0_34)) - (portRef C (instanceRef dout_round_0_33)) - (portRef C (instanceRef dout_round_0_32)) - (portRef C (instanceRef dout_round_0_31)) - (portRef C (instanceRef dout_round_0_30)) - (portRef C (instanceRef dout_round_0_29)) - (portRef C (instanceRef dout_round_0_28)) - (portRef C (instanceRef dout_round_0_27)) - (portRef C (instanceRef dout_round_0_26)) - (portRef C (instanceRef dout_round_0_25)) - (portRef C (instanceRef dout_round_0_24)) - (portRef C (instanceRef dout_round_0_23)) - (portRef C (instanceRef dout_round_0_22)) - (portRef C (instanceRef dout_round_0_21)) - (portRef C (instanceRef dout_round_0_20)) - (portRef C (instanceRef din_r0_0)) - (portRef C (instanceRef din_r1_0)) - (portRef C (instanceRef din_r0_1)) - (portRef C (instanceRef din_r1_1)) - (portRef C (instanceRef din_r0_2)) - (portRef C (instanceRef din_r1_2)) - (portRef C (instanceRef din_r0_3)) - (portRef C (instanceRef din_r1_3)) - (portRef C (instanceRef din_r0_4)) - (portRef C (instanceRef din_r1_4)) - (portRef C (instanceRef din_r0_5)) - (portRef C (instanceRef din_r1_5)) - (portRef C (instanceRef din_r0_6)) - (portRef C (instanceRef din_r1_6)) - (portRef C (instanceRef din_r0_7)) - (portRef C (instanceRef din_r1_7)) - (portRef C (instanceRef din_r0_8)) - (portRef C (instanceRef din_r1_8)) - (portRef C (instanceRef din_r0_9)) - (portRef C (instanceRef din_r1_9)) - (portRef C (instanceRef din_r0_10)) - (portRef C (instanceRef din_r1_10)) - (portRef C (instanceRef din_r0_11)) - (portRef C (instanceRef din_r1_11)) - (portRef C (instanceRef din_r0_12)) - (portRef C (instanceRef din_r1_12)) - (portRef C (instanceRef din_r0_13)) - (portRef C (instanceRef din_r1_13)) - (portRef C (instanceRef din_r0_14)) - (portRef C (instanceRef din_r1_14)) - (portRef C (instanceRef din_r0_15)) - (portRef C (instanceRef din_r1_15)) - (portRef C (instanceRef din_r4_DOUT_0)) - (portRef C (instanceRef din_r4_0_DOUT_0)) - (portRef C (instanceRef din_r4_1_DOUT_0)) - (portRef C (instanceRef din_r4_2_DOUT_0)) - (portRef C (instanceRef din_r4_3_DOUT_0)) - (portRef C (instanceRef din_r4_4_DOUT_0)) - (portRef C (instanceRef din_r4_5_DOUT_0)) - (portRef C (instanceRef din_r4_6_DOUT_0)) - (portRef C (instanceRef din_r4_7_DOUT_0)) - (portRef C (instanceRef din_r4_8_DOUT_0)) - (portRef C (instanceRef din_r4_9_DOUT_0)) - (portRef C (instanceRef din_r4_10_DOUT_0)) - (portRef C (instanceRef din_r4_11_DOUT_0)) - (portRef C (instanceRef din_r4_12_DOUT_0)) - (portRef C (instanceRef din_r4_13_DOUT_0)) - (portRef C (instanceRef din_r4_14_DOUT_0)) - (portRef CLK (instanceRef din_r4_inst_z_dsp_inst_TailCorr_top_din_r4_1)) - (portRef CLK (instanceRef din_r4_0_inst_z_dsp_inst_TailCorr_top_din_r4_1)) - (portRef CLK (instanceRef din_r4_1_inst_z_dsp_inst_TailCorr_top_din_r4_1)) - (portRef CLK (instanceRef din_r4_2_inst_z_dsp_inst_TailCorr_top_din_r4_1)) - (portRef CLK (instanceRef din_r4_3_inst_z_dsp_inst_TailCorr_top_din_r4_1)) - (portRef CLK (instanceRef din_r4_4_inst_z_dsp_inst_TailCorr_top_din_r4_1)) - (portRef CLK (instanceRef din_r4_5_inst_z_dsp_inst_TailCorr_top_din_r4_1)) - (portRef CLK (instanceRef din_r4_6_inst_z_dsp_inst_TailCorr_top_din_r4_1)) - (portRef CLK (instanceRef din_r4_7_inst_z_dsp_inst_TailCorr_top_din_r4_1)) - (portRef CLK (instanceRef din_r4_8_inst_z_dsp_inst_TailCorr_top_din_r4_1)) - (portRef CLK (instanceRef din_r4_9_inst_z_dsp_inst_TailCorr_top_din_r4_1)) - (portRef CLK (instanceRef din_r4_10_inst_z_dsp_inst_TailCorr_top_din_r4_1)) - (portRef CLK (instanceRef din_r4_11_inst_z_dsp_inst_TailCorr_top_din_r4_1)) - (portRef CLK (instanceRef din_r4_12_inst_z_dsp_inst_TailCorr_top_din_r4_1)) - (portRef CLK (instanceRef din_r4_13_inst_z_dsp_inst_TailCorr_top_din_r4_1)) - (portRef CLK (instanceRef din_r4_14_inst_z_dsp_inst_TailCorr_top_din_r4_1)) - )) - (net en_rep_0 (joined - (portRef en_rep_0) - (portRef en_rep_0 (instanceRef inst_iir_4)) - (portRef en_rep_0 (instanceRef inst_diffRe)) - (portRef CE (instanceRef dout_cut5_r0_15)) - (portRef CE (instanceRef dout_cut5_r0_14)) - (portRef CE (instanceRef dout_cut5_r0_13)) - (portRef CE (instanceRef dout_cut5_r0_12)) - (portRef CE (instanceRef dout_cut5_r0_11)) - (portRef CE (instanceRef dout_cut5_r0_10)) - (portRef CE (instanceRef dout_cut5_r0_9)) - (portRef CE (instanceRef dout_cut5_r0_8)) - (portRef CE (instanceRef dout_cut5_r0_7)) - (portRef CE (instanceRef dout_cut5_r0_6)) - (portRef CE (instanceRef dout_cut5_r0_5)) - (portRef CE (instanceRef dout_cut5_r0_4)) - (portRef CE (instanceRef dout_cut5_r0_3)) - (portRef CE (instanceRef dout_cut5_r0_2)) - (portRef CE (instanceRef dout_cut5_r0_1)) - (portRef CE (instanceRef dout_cut5_r0_0)) - (portRef CE (instanceRef dout_cut4_r0_15)) - (portRef CE (instanceRef dout_cut4_r0_14)) - (portRef CE (instanceRef dout_cut4_r0_13)) - (portRef CE (instanceRef dout_cut4_r0_12)) - (portRef CE (instanceRef dout_cut4_r0_11)) - (portRef CE (instanceRef dout_cut4_r0_10)) - (portRef CE (instanceRef dout_cut4_r0_9)) - (portRef CE (instanceRef dout_cut4_r0_8)) - (portRef CE (instanceRef dout_cut4_r0_7)) - (portRef CE (instanceRef dout_cut4_r0_6)) - (portRef CE (instanceRef dout_cut4_r0_5)) - (portRef CE (instanceRef dout_cut4_r0_4)) - (portRef CE (instanceRef dout_cut4_r0_3)) - (portRef CE (instanceRef dout_cut4_r0_2)) - (portRef CE (instanceRef dout_cut4_r0_1)) - (portRef CE (instanceRef dout_cut4_r0_0)) + (net en_rep_1 (joined + (portRef en_rep_1) + (portRef en_rep_1 (instanceRef inst_iir_2)) + (portRef en_rep_1 (instanceRef inst_iir_3)) + (portRef en_rep_1 (instanceRef inst_diffRe)) + (portRef CE (instanceRef dout_cut3_r0_15)) + (portRef CE (instanceRef dout_cut3_r0_14)) + (portRef CE (instanceRef dout_cut3_r0_13)) + (portRef CE (instanceRef dout_cut3_r0_12)) + (portRef CE (instanceRef dout_cut3_r0_11)) + (portRef CE (instanceRef dout_cut3_r0_10)) + (portRef CE (instanceRef dout_cut3_r0_9)) + (portRef CE (instanceRef dout_cut3_r0_8)) + (portRef CE (instanceRef dout_cut3_r0_7)) + (portRef CE (instanceRef dout_cut3_r0_6)) + (portRef CE (instanceRef dout_cut3_r0_5)) + (portRef CE (instanceRef dout_cut3_r0_4)) + (portRef CE (instanceRef dout_cut3_r0_3)) + (portRef CE (instanceRef dout_cut3_r0_2)) + (portRef CE (instanceRef dout_cut3_r0_1)) + (portRef CE (instanceRef dout_cut3_r0_0)) + (portRef CE (instanceRef dout_cut2_r0_15)) + (portRef CE (instanceRef dout_cut2_r0_14)) + (portRef CE (instanceRef dout_cut2_r0_13)) + (portRef CE (instanceRef dout_cut2_r0_12)) + (portRef CE (instanceRef dout_cut2_r0_11)) + (portRef CE (instanceRef dout_cut2_r0_10)) + (portRef CE (instanceRef dout_cut2_r0_9)) + (portRef CE (instanceRef dout_cut2_r0_8)) + (portRef CE (instanceRef dout_cut2_r0_7)) + (portRef CE (instanceRef dout_cut2_r0_6)) + (portRef CE (instanceRef dout_cut2_r0_5)) + (portRef CE (instanceRef dout_cut2_r0_4)) + (portRef CE (instanceRef dout_cut2_r0_3)) + (portRef CE (instanceRef dout_cut2_r0_2)) + (portRef CE (instanceRef dout_cut2_r0_1)) + (portRef CE (instanceRef dout_cut2_r0_0)) + (portRef CE (instanceRef dout_cut1_r0_14)) (portRef CE (instanceRef dout_cut1_r0_13)) - (portRef CE (instanceRef dout_cut1_r0_11)) + (portRef CE (instanceRef dout_cut1_r0_12)) + (portRef CE (instanceRef dout_cut1_r0_10)) (portRef CE (instanceRef dout_cut1_r0_9)) - (portRef CE (instanceRef dout_round_5_35)) - (portRef CE (instanceRef dout_round_5_34)) - (portRef CE (instanceRef dout_round_5_33)) - (portRef CE (instanceRef dout_round_5_32)) - (portRef CE (instanceRef dout_round_5_31)) - (portRef CE (instanceRef dout_round_5_30)) - (portRef CE (instanceRef dout_round_5_29)) - (portRef CE (instanceRef dout_round_5_28)) - (portRef CE (instanceRef dout_round_5_27)) - (portRef CE (instanceRef dout_round_5_26)) - (portRef CE (instanceRef dout_round_5_25)) - (portRef CE (instanceRef dout_round_5_24)) - (portRef CE (instanceRef dout_round_5_23)) - (portRef CE (instanceRef dout_round_5_22)) - (portRef CE (instanceRef dout_round_5_21)) - (portRef CE (instanceRef dout_round_5_20)) - (portRef CE (instanceRef dout_round_4_35)) - (portRef CE (instanceRef dout_round_4_34)) - (portRef CE (instanceRef dout_round_4_33)) - (portRef CE (instanceRef dout_round_4_32)) - (portRef CE (instanceRef dout_round_4_31)) - (portRef CE (instanceRef dout_round_4_30)) - (portRef CE (instanceRef dout_round_4_29)) - (portRef CE (instanceRef dout_round_4_28)) - (portRef CE (instanceRef dout_round_4_27)) - (portRef CE (instanceRef dout_round_4_26)) - (portRef CE (instanceRef dout_round_4_25)) - (portRef CE (instanceRef dout_round_4_24)) - (portRef CE (instanceRef dout_round_4_23)) - (portRef CE (instanceRef dout_round_4_22)) - (portRef CE (instanceRef dout_round_4_21)) - (portRef CE (instanceRef dout_round_4_20)) + (portRef CE (instanceRef dout_cut1_r0_8)) + (portRef CE (instanceRef dout_cut1_r0_6)) + (portRef CE (instanceRef dout_cut1_r0_5)) + (portRef CE (instanceRef dout_cut1_r0_4)) + (portRef CE (instanceRef dout_cut1_r0_2)) + (portRef CE (instanceRef dout_cut1_r0_1)) + (portRef CE (instanceRef dout_round_3_35)) + (portRef CE (instanceRef dout_round_3_34)) + (portRef CE (instanceRef dout_round_3_33)) + (portRef CE (instanceRef dout_round_3_32)) + (portRef CE (instanceRef dout_round_3_31)) + (portRef CE (instanceRef dout_round_3_30)) + (portRef CE (instanceRef dout_round_3_29)) + (portRef CE (instanceRef dout_round_3_28)) + (portRef CE (instanceRef dout_round_3_27)) + (portRef CE (instanceRef dout_round_3_26)) + (portRef CE (instanceRef dout_round_3_25)) + (portRef CE (instanceRef dout_round_3_24)) + (portRef CE (instanceRef dout_round_3_23)) + (portRef CE (instanceRef dout_round_3_22)) + (portRef CE (instanceRef dout_round_3_21)) + (portRef CE (instanceRef dout_round_3_20)) + (portRef CE (instanceRef dout_round_2_35)) + (portRef CE (instanceRef dout_round_2_34)) + (portRef CE (instanceRef dout_round_2_33)) + (portRef CE (instanceRef dout_round_2_32)) + (portRef CE (instanceRef dout_round_2_31)) + (portRef CE (instanceRef dout_round_2_30)) + (portRef CE (instanceRef dout_round_2_29)) + (portRef CE (instanceRef dout_round_2_28)) + (portRef CE (instanceRef dout_round_2_27)) + (portRef CE (instanceRef dout_round_2_26)) + (portRef CE (instanceRef dout_round_2_25)) + (portRef CE (instanceRef dout_round_2_24)) + (portRef CE (instanceRef dout_round_2_23)) + (portRef CE (instanceRef dout_round_2_22)) + (portRef CE (instanceRef dout_round_2_21)) + (portRef CE (instanceRef dout_round_2_20)) + (portRef CE (instanceRef dout_round_1_34)) (portRef CE (instanceRef dout_round_1_33)) - (portRef CE (instanceRef dout_round_1_31)) + (portRef CE (instanceRef dout_round_1_32)) + (portRef CE (instanceRef dout_round_1_30)) (portRef CE (instanceRef dout_round_1_29)) + (portRef CE (instanceRef dout_round_1_28)) + (portRef CE (instanceRef dout_round_1_26)) + (portRef CE (instanceRef dout_round_1_25)) + (portRef CE (instanceRef dout_round_1_24)) + (portRef CE (instanceRef dout_round_1_22)) + (portRef CE (instanceRef dout_round_1_21)) + (portRef CE (instanceRef din_r0_0)) (portRef CE (instanceRef din_r1_0)) - (portRef CE (instanceRef din_r0_1)) - (portRef CE (instanceRef din_r1_1)) - (portRef CE (instanceRef din_r0_2)) - (portRef CE (instanceRef din_r1_2)) (portRef CE (instanceRef din_r0_3)) (portRef CE (instanceRef din_r1_3)) (portRef CE (instanceRef din_r0_4)) (portRef CE (instanceRef din_r1_4)) - (portRef CE (instanceRef din_r0_5)) - (portRef CE (instanceRef din_r1_5)) (portRef CE (instanceRef din_r0_6)) (portRef CE (instanceRef din_r1_6)) (portRef CE (instanceRef din_r0_7)) (portRef CE (instanceRef din_r1_7)) - (portRef CE (instanceRef din_r0_8)) - (portRef CE (instanceRef din_r1_8)) - (portRef CE (instanceRef din_r0_9)) - (portRef CE (instanceRef din_r1_9)) - (portRef CE (instanceRef din_r0_10)) - (portRef CE (instanceRef din_r1_10)) - (portRef CE (instanceRef din_r0_11)) - (portRef CE (instanceRef din_r1_11)) - (portRef CE (instanceRef din_r0_12)) - (portRef CE (instanceRef din_r1_12)) - (portRef CE (instanceRef din_r0_13)) - (portRef CE (instanceRef din_r1_13)) - (portRef CE (instanceRef din_r0_14)) - (portRef CE (instanceRef din_r1_14)) - (portRef CE (instanceRef din_r4_DOUT_0)) - (portRef CE (instanceRef din_r4_0_DOUT_0)) - (portRef CE (instanceRef din_r4_1_DOUT_0)) - (portRef CE (instanceRef din_r4_2_DOUT_0)) - (portRef CE (instanceRef din_r4_3_DOUT_0)) - (portRef CE (instanceRef din_r4_4_DOUT_0)) - (portRef CE (instanceRef din_r4_5_DOUT_0)) - (portRef CE (instanceRef din_r4_6_DOUT_0)) - (portRef CE (instanceRef din_r4_7_DOUT_0)) - (portRef CE (instanceRef din_r4_8_DOUT_0)) - (portRef CE (instanceRef din_r4_9_DOUT_0)) - (portRef CE (instanceRef din_r4_10_DOUT_0)) - (portRef CE (instanceRef din_r4_11_DOUT_0)) - (portRef CE (instanceRef din_r4_12_DOUT_0)) - (portRef CE (instanceRef din_r4_13_DOUT_0)) - (portRef CE (instanceRef din_r4_14_DOUT_0)) - (portRef CE (instanceRef din_r4_inst_z_dsp_inst_TailCorr_top_din_r4_1)) - (portRef CE (instanceRef din_r4_0_inst_z_dsp_inst_TailCorr_top_din_r4_1)) - (portRef CE (instanceRef din_r4_1_inst_z_dsp_inst_TailCorr_top_din_r4_1)) - (portRef CE (instanceRef din_r4_2_inst_z_dsp_inst_TailCorr_top_din_r4_1)) - (portRef CE (instanceRef din_r4_3_inst_z_dsp_inst_TailCorr_top_din_r4_1)) - (portRef CE (instanceRef din_r4_4_inst_z_dsp_inst_TailCorr_top_din_r4_1)) - (portRef CE (instanceRef din_r4_5_inst_z_dsp_inst_TailCorr_top_din_r4_1)) - (portRef CE (instanceRef din_r4_6_inst_z_dsp_inst_TailCorr_top_din_r4_1)) - (portRef CE (instanceRef din_r4_7_inst_z_dsp_inst_TailCorr_top_din_r4_1)) - (portRef CE (instanceRef din_r4_8_inst_z_dsp_inst_TailCorr_top_din_r4_1)) - (portRef CE (instanceRef din_r4_9_inst_z_dsp_inst_TailCorr_top_din_r4_1)) - (portRef CE (instanceRef din_r4_10_inst_z_dsp_inst_TailCorr_top_din_r4_1)) - (portRef CE (instanceRef din_r4_11_inst_z_dsp_inst_TailCorr_top_din_r4_1)) (portRef CE (instanceRef din_r4_12_inst_z_dsp_inst_TailCorr_top_din_r4_1)) - (portRef CE (instanceRef din_r4_13_inst_z_dsp_inst_TailCorr_top_din_r4_1)) (portRef CE (instanceRef din_r4_14_inst_z_dsp_inst_TailCorr_top_din_r4_1)) )) (net (rename din_r4_13_tmp_d_array_0_0 "din_r4_13_tmp_d_array_0[0]") (joined @@ -79738,256 +80208,6 @@ (portRef din_r0_14 (instanceRef inst_diffRe)) (portRef D (instanceRef din_r1_15)) )) - (net rstn_i (joined - (portRef rstn_i) - (portRef rstn_i (instanceRef inst_iir_0)) - (portRef rstn_i (instanceRef inst_iir_1)) - (portRef rstn_i (instanceRef inst_iir_2)) - (portRef rstn_i (instanceRef inst_iir_3)) - (portRef rstn_i (instanceRef inst_iir_4)) - (portRef rstn_i (instanceRef inst_iir_5)) - (portRef rstn_i (instanceRef inst_diffRe)) - (portRef CLR (instanceRef dout_r_1)) - (portRef CLR (instanceRef dout_r_0)) - (portRef CLR (instanceRef dout_r_15)) - (portRef CLR (instanceRef dout_r_14)) - (portRef CLR (instanceRef dout_r_13)) - (portRef CLR (instanceRef dout_r_12)) - (portRef CLR (instanceRef dout_r_11)) - (portRef CLR (instanceRef dout_r_10)) - (portRef CLR (instanceRef dout_r_9)) - (portRef CLR (instanceRef dout_r_8)) - (portRef CLR (instanceRef dout_r_7)) - (portRef CLR (instanceRef dout_r_6)) - (portRef CLR (instanceRef dout_r_5)) - (portRef CLR (instanceRef dout_r_4)) - (portRef CLR (instanceRef dout_r_3)) - (portRef CLR (instanceRef dout_r_2)) - (portRef CLR (instanceRef dout_cut5_r0_15)) - (portRef CLR (instanceRef dout_cut5_r0_14)) - (portRef CLR (instanceRef dout_cut5_r0_13)) - (portRef CLR (instanceRef dout_cut5_r0_12)) - (portRef CLR (instanceRef dout_cut5_r0_11)) - (portRef CLR (instanceRef dout_cut5_r0_10)) - (portRef CLR (instanceRef dout_cut5_r0_9)) - (portRef CLR (instanceRef dout_cut5_r0_8)) - (portRef CLR (instanceRef dout_cut5_r0_7)) - (portRef CLR (instanceRef dout_cut5_r0_6)) - (portRef CLR (instanceRef dout_cut5_r0_5)) - (portRef CLR (instanceRef dout_cut5_r0_4)) - (portRef CLR (instanceRef dout_cut5_r0_3)) - (portRef CLR (instanceRef dout_cut5_r0_2)) - (portRef CLR (instanceRef dout_cut5_r0_1)) - (portRef CLR (instanceRef dout_cut5_r0_0)) - (portRef CLR (instanceRef dout_cut4_r0_15)) - (portRef CLR (instanceRef dout_cut4_r0_14)) - (portRef CLR (instanceRef dout_cut4_r0_13)) - (portRef CLR (instanceRef dout_cut4_r0_12)) - (portRef CLR (instanceRef dout_cut4_r0_11)) - (portRef CLR (instanceRef dout_cut4_r0_10)) - (portRef CLR (instanceRef dout_cut4_r0_9)) - (portRef CLR (instanceRef dout_cut4_r0_8)) - (portRef CLR (instanceRef dout_cut4_r0_7)) - (portRef CLR (instanceRef dout_cut4_r0_6)) - (portRef CLR (instanceRef dout_cut4_r0_5)) - (portRef CLR (instanceRef dout_cut4_r0_4)) - (portRef CLR (instanceRef dout_cut4_r0_3)) - (portRef CLR (instanceRef dout_cut4_r0_2)) - (portRef CLR (instanceRef dout_cut4_r0_1)) - (portRef CLR (instanceRef dout_cut4_r0_0)) - (portRef CLR (instanceRef dout_cut3_r0_15)) - (portRef CLR (instanceRef dout_cut3_r0_14)) - (portRef CLR (instanceRef dout_cut3_r0_13)) - (portRef CLR (instanceRef dout_cut3_r0_12)) - (portRef CLR (instanceRef dout_cut3_r0_11)) - (portRef CLR (instanceRef dout_cut3_r0_10)) - (portRef CLR (instanceRef dout_cut3_r0_9)) - (portRef CLR (instanceRef dout_cut3_r0_8)) - (portRef CLR (instanceRef dout_cut3_r0_7)) - (portRef CLR (instanceRef dout_cut3_r0_6)) - (portRef CLR (instanceRef dout_cut3_r0_5)) - (portRef CLR (instanceRef dout_cut3_r0_4)) - (portRef CLR (instanceRef dout_cut3_r0_3)) - (portRef CLR (instanceRef dout_cut3_r0_2)) - (portRef CLR (instanceRef dout_cut3_r0_1)) - (portRef CLR (instanceRef dout_cut3_r0_0)) - (portRef CLR (instanceRef dout_cut2_r0_15)) - (portRef CLR (instanceRef dout_cut2_r0_14)) - (portRef CLR (instanceRef dout_cut2_r0_13)) - (portRef CLR (instanceRef dout_cut2_r0_12)) - (portRef CLR (instanceRef dout_cut2_r0_11)) - (portRef CLR (instanceRef dout_cut2_r0_10)) - (portRef CLR (instanceRef dout_cut2_r0_9)) - (portRef CLR (instanceRef dout_cut2_r0_8)) - (portRef CLR (instanceRef dout_cut2_r0_7)) - (portRef CLR (instanceRef dout_cut2_r0_6)) - (portRef CLR (instanceRef dout_cut2_r0_5)) - (portRef CLR (instanceRef dout_cut2_r0_4)) - (portRef CLR (instanceRef dout_cut2_r0_3)) - (portRef CLR (instanceRef dout_cut2_r0_2)) - (portRef CLR (instanceRef dout_cut2_r0_1)) - (portRef CLR (instanceRef dout_cut2_r0_0)) - (portRef CLR (instanceRef dout_cut1_r0_15)) - (portRef CLR (instanceRef dout_cut1_r0_14)) - (portRef CLR (instanceRef dout_cut1_r0_13)) - (portRef CLR (instanceRef dout_cut1_r0_12)) - (portRef CLR (instanceRef dout_cut1_r0_11)) - (portRef CLR (instanceRef dout_cut1_r0_10)) - (portRef CLR (instanceRef dout_cut1_r0_9)) - (portRef CLR (instanceRef dout_cut1_r0_8)) - (portRef CLR (instanceRef dout_cut1_r0_7)) - (portRef CLR (instanceRef dout_cut1_r0_6)) - (portRef CLR (instanceRef dout_cut1_r0_5)) - (portRef CLR (instanceRef dout_cut1_r0_4)) - (portRef CLR (instanceRef dout_cut1_r0_3)) - (portRef CLR (instanceRef dout_cut1_r0_2)) - (portRef CLR (instanceRef dout_cut1_r0_1)) - (portRef CLR (instanceRef dout_cut1_r0_0)) - (portRef CLR (instanceRef dout_cut0_r0_15)) - (portRef CLR (instanceRef dout_cut0_r0_14)) - (portRef CLR (instanceRef dout_cut0_r0_13)) - (portRef CLR (instanceRef dout_cut0_r0_12)) - (portRef CLR (instanceRef dout_cut0_r0_11)) - (portRef CLR (instanceRef dout_cut0_r0_10)) - (portRef CLR (instanceRef dout_cut0_r0_9)) - (portRef CLR (instanceRef dout_cut0_r0_8)) - (portRef CLR (instanceRef dout_cut0_r0_7)) - (portRef CLR (instanceRef dout_cut0_r0_6)) - (portRef CLR (instanceRef dout_cut0_r0_5)) - (portRef CLR (instanceRef dout_cut0_r0_4)) - (portRef CLR (instanceRef dout_cut0_r0_3)) - (portRef CLR (instanceRef dout_cut0_r0_2)) - (portRef CLR (instanceRef dout_cut0_r0_1)) - (portRef CLR (instanceRef dout_cut0_r0_0)) - (portRef CLR (instanceRef dout_round_5_35)) - (portRef CLR (instanceRef dout_round_5_34)) - (portRef CLR (instanceRef dout_round_5_33)) - (portRef CLR (instanceRef dout_round_5_32)) - (portRef CLR (instanceRef dout_round_5_31)) - (portRef CLR (instanceRef dout_round_5_30)) - (portRef CLR (instanceRef dout_round_5_29)) - (portRef CLR (instanceRef dout_round_5_28)) - (portRef CLR (instanceRef dout_round_5_27)) - (portRef CLR (instanceRef dout_round_5_26)) - (portRef CLR (instanceRef dout_round_5_25)) - (portRef CLR (instanceRef dout_round_5_24)) - (portRef CLR (instanceRef dout_round_5_23)) - (portRef CLR (instanceRef dout_round_5_22)) - (portRef CLR (instanceRef dout_round_5_21)) - (portRef CLR (instanceRef dout_round_5_20)) - (portRef CLR (instanceRef dout_round_4_35)) - (portRef CLR (instanceRef dout_round_4_34)) - (portRef CLR (instanceRef dout_round_4_33)) - (portRef CLR (instanceRef dout_round_4_32)) - (portRef CLR (instanceRef dout_round_4_31)) - (portRef CLR (instanceRef dout_round_4_30)) - (portRef CLR (instanceRef dout_round_4_29)) - (portRef CLR (instanceRef dout_round_4_28)) - (portRef CLR (instanceRef dout_round_4_27)) - (portRef CLR (instanceRef dout_round_4_26)) - (portRef CLR (instanceRef dout_round_4_25)) - (portRef CLR (instanceRef dout_round_4_24)) - (portRef CLR (instanceRef dout_round_4_23)) - (portRef CLR (instanceRef dout_round_4_22)) - (portRef CLR (instanceRef dout_round_4_21)) - (portRef CLR (instanceRef dout_round_4_20)) - (portRef CLR (instanceRef dout_round_3_35)) - (portRef CLR (instanceRef dout_round_3_34)) - (portRef CLR (instanceRef dout_round_3_33)) - (portRef CLR (instanceRef dout_round_3_32)) - (portRef CLR (instanceRef dout_round_3_31)) - (portRef CLR (instanceRef dout_round_3_30)) - (portRef CLR (instanceRef dout_round_3_29)) - (portRef CLR (instanceRef dout_round_3_28)) - (portRef CLR (instanceRef dout_round_3_27)) - (portRef CLR (instanceRef dout_round_3_26)) - (portRef CLR (instanceRef dout_round_3_25)) - (portRef CLR (instanceRef dout_round_3_24)) - (portRef CLR (instanceRef dout_round_3_23)) - (portRef CLR (instanceRef dout_round_3_22)) - (portRef CLR (instanceRef dout_round_3_21)) - (portRef CLR (instanceRef dout_round_3_20)) - (portRef CLR (instanceRef dout_round_2_35)) - (portRef CLR (instanceRef dout_round_2_34)) - (portRef CLR (instanceRef dout_round_2_33)) - (portRef CLR (instanceRef dout_round_2_32)) - (portRef CLR (instanceRef dout_round_2_31)) - (portRef CLR (instanceRef dout_round_2_30)) - (portRef CLR (instanceRef dout_round_2_29)) - (portRef CLR (instanceRef dout_round_2_28)) - (portRef CLR (instanceRef dout_round_2_27)) - (portRef CLR (instanceRef dout_round_2_26)) - (portRef CLR (instanceRef dout_round_2_25)) - (portRef CLR (instanceRef dout_round_2_24)) - (portRef CLR (instanceRef dout_round_2_23)) - (portRef CLR (instanceRef dout_round_2_22)) - (portRef CLR (instanceRef dout_round_2_21)) - (portRef CLR (instanceRef dout_round_2_20)) - (portRef CLR (instanceRef dout_round_1_35)) - (portRef CLR (instanceRef dout_round_1_34)) - (portRef CLR (instanceRef dout_round_1_33)) - (portRef CLR (instanceRef dout_round_1_32)) - (portRef CLR (instanceRef dout_round_1_31)) - (portRef CLR (instanceRef dout_round_1_30)) - (portRef CLR (instanceRef dout_round_1_29)) - (portRef CLR (instanceRef dout_round_1_28)) - (portRef CLR (instanceRef dout_round_1_27)) - (portRef CLR (instanceRef dout_round_1_26)) - (portRef CLR (instanceRef dout_round_1_25)) - (portRef CLR (instanceRef dout_round_1_24)) - (portRef CLR (instanceRef dout_round_1_23)) - (portRef CLR (instanceRef dout_round_1_22)) - (portRef CLR (instanceRef dout_round_1_21)) - (portRef CLR (instanceRef dout_round_1_20)) - (portRef CLR (instanceRef dout_round_0_35)) - (portRef CLR (instanceRef dout_round_0_34)) - (portRef CLR (instanceRef dout_round_0_33)) - (portRef CLR (instanceRef dout_round_0_32)) - (portRef CLR (instanceRef dout_round_0_31)) - (portRef CLR (instanceRef dout_round_0_30)) - (portRef CLR (instanceRef dout_round_0_29)) - (portRef CLR (instanceRef dout_round_0_28)) - (portRef CLR (instanceRef dout_round_0_27)) - (portRef CLR (instanceRef dout_round_0_26)) - (portRef CLR (instanceRef dout_round_0_25)) - (portRef CLR (instanceRef dout_round_0_24)) - (portRef CLR (instanceRef dout_round_0_23)) - (portRef CLR (instanceRef dout_round_0_22)) - (portRef CLR (instanceRef dout_round_0_21)) - (portRef CLR (instanceRef dout_round_0_20)) - (portRef CLR (instanceRef din_r0_0)) - (portRef CLR (instanceRef din_r1_0)) - (portRef CLR (instanceRef din_r0_1)) - (portRef CLR (instanceRef din_r1_1)) - (portRef CLR (instanceRef din_r0_2)) - (portRef CLR (instanceRef din_r1_2)) - (portRef CLR (instanceRef din_r0_3)) - (portRef CLR (instanceRef din_r1_3)) - (portRef CLR (instanceRef din_r0_4)) - (portRef CLR (instanceRef din_r1_4)) - (portRef CLR (instanceRef din_r0_5)) - (portRef CLR (instanceRef din_r1_5)) - (portRef CLR (instanceRef din_r0_6)) - (portRef CLR (instanceRef din_r1_6)) - (portRef CLR (instanceRef din_r0_7)) - (portRef CLR (instanceRef din_r1_7)) - (portRef CLR (instanceRef din_r0_8)) - (portRef CLR (instanceRef din_r1_8)) - (portRef CLR (instanceRef din_r0_9)) - (portRef CLR (instanceRef din_r1_9)) - (portRef CLR (instanceRef din_r0_10)) - (portRef CLR (instanceRef din_r1_10)) - (portRef CLR (instanceRef din_r0_11)) - (portRef CLR (instanceRef din_r1_11)) - (portRef CLR (instanceRef din_r0_12)) - (portRef CLR (instanceRef din_r1_12)) - (portRef CLR (instanceRef din_r0_13)) - (portRef CLR (instanceRef din_r1_13)) - (portRef CLR (instanceRef din_r0_14)) - (portRef CLR (instanceRef din_r1_14)) - (portRef CLR (instanceRef din_r0_15)) - (portRef CLR (instanceRef din_r1_15)) - )) (net (rename din_r0Z0Z_13 "din_r0_13") (joined (portRef Q (instanceRef din_r0_14)) (portRef din_r0_13 (instanceRef inst_diffRe)) @@ -80199,23 +80419,18 @@ (portRef (member O 3) (instanceRef un1_dout_1_1_cry_23)) (portRef D (instanceRef dout_round_1_20)) )) - (net en (joined - (portRef en) - (portRef en (instanceRef inst_iir_1)) - (portRef en (instanceRef inst_iir_2)) - (portRef en (instanceRef inst_iir_3)) - (portRef en (instanceRef inst_iir_5)) + (net en_rep_0 (joined + (portRef en_rep_0) + (portRef en_rep_0 (instanceRef inst_iir_1)) (portRef CE (instanceRef dout_cut1_r0_15)) - (portRef CE (instanceRef dout_cut1_r0_10)) - (portRef CE (instanceRef dout_cut1_r0_8)) - (portRef CE (instanceRef dout_cut1_r0_4)) - (portRef CE (instanceRef dout_cut1_r0_1)) + (portRef CE (instanceRef dout_cut1_r0_11)) + (portRef CE (instanceRef dout_cut1_r0_7)) + (portRef CE (instanceRef dout_cut1_r0_3)) (portRef CE (instanceRef dout_cut1_r0_0)) (portRef CE (instanceRef dout_round_1_35)) - (portRef CE (instanceRef dout_round_1_30)) - (portRef CE (instanceRef dout_round_1_28)) - (portRef CE (instanceRef dout_round_1_24)) - (portRef CE (instanceRef dout_round_1_21)) + (portRef CE (instanceRef dout_round_1_31)) + (portRef CE (instanceRef dout_round_1_27)) + (portRef CE (instanceRef dout_round_1_23)) (portRef CE (instanceRef dout_round_1_20)) )) (net dout_round_1_13 (joined @@ -80730,6 +80945,17 @@ (portRef (member O 3) (instanceRef un1_dout_5_1_cry_23)) (portRef D (instanceRef dout_round_5_20)) )) + (net en_rep_2 (joined + (portRef en_rep_2) + (portRef en_rep_2 (instanceRef inst_iir_5)) + (portRef CE (instanceRef dout_cut5_r0_15)) + (portRef CE (instanceRef dout_cut5_r0_11)) + (portRef CE (instanceRef dout_cut5_r0_0)) + (portRef CE (instanceRef dout_round_5_35)) + (portRef CE (instanceRef dout_round_5_31)) + (portRef CE (instanceRef dout_round_5_25)) + (portRef CE (instanceRef dout_round_5_20)) + )) (net dout_round_5_13 (joined (portRef Q (instanceRef dout_round_5_21)) (portRef D (instanceRef dout_cut5_r0_1)) @@ -80908,22 +81134,10 @@ (portRef Q (instanceRef dout_r_9)) (portRef IIR_out_5) )) - (net (rename iir_out_4 "IIR_out_4") (joined - (portRef Q (instanceRef dout_r_10)) - (portRef IIR_out_4) - )) (net (rename iir_out_3 "IIR_out_3") (joined (portRef Q (instanceRef dout_r_11)) (portRef IIR_out_3) )) - (net (rename iir_out_2 "IIR_out_2") (joined - (portRef Q (instanceRef dout_r_12)) - (portRef IIR_out_2) - )) - (net (rename iir_out_1 "IIR_out_1") (joined - (portRef Q (instanceRef dout_r_13)) - (portRef IIR_out_1) - )) (net (rename iir_out_0 "IIR_out_0") (joined (portRef Q (instanceRef dout_r_14)) (portRef IIR_out_0) @@ -80940,23 +81154,6 @@ (portRef Q (instanceRef dout_r_1)) (portRef IIR_out_13) )) - (net (rename dout_1_19 "dout_1[19]") (joined - (portRef (member dout_1 16) (instanceRef inst_iir_1)) - (portRef (member DI 0) (instanceRef un1_dout_1_1_cry_19)) - (portRef I0 (instanceRef un1_dout_1_1_axb_19)) - )) - (net (rename un1_dout_1_1_axbZ0Z_19 "un1_dout_1_1_axb_19") (joined - (portRef O (instanceRef un1_dout_1_1_axb_19)) - (portRef (member S 0) (instanceRef un1_dout_1_1_cry_19)) - )) - (net (rename dout_1_17 "dout_1[17]") (joined - (portRef (member dout_1 18) (instanceRef inst_iir_1)) - (portRef I0 (instanceRef un1_dout_1_1_axb_17)) - )) - (net (rename un1_dout_1_1_axbZ0Z_17 "un1_dout_1_1_axb_17") (joined - (portRef O (instanceRef un1_dout_1_1_axb_17)) - (portRef (member S 2) (instanceRef un1_dout_1_1_cry_19)) - )) (net (rename Ysum_6_0_axbZ0Z_0 "Ysum_6_0_axb_0") (joined (portRef O (instanceRef Ysum_6_0_axb_0)) (portRef (member S 3) (instanceRef Ysum_6_0_cry_3)) @@ -82982,6 +83179,14 @@ (cell z_dsp (cellType GENERIC) (view netlist (viewType NETLIST) (interface + (port (array (rename doutNI_4 "doutNI_4[15:0]") 16) (direction OUTPUT)) + (port (array (rename doutNI_0 "doutNI_0[15:0]") 16) (direction OUTPUT)) + (port (array (rename doutNI_5 "doutNI_5[15:0]") 16) (direction OUTPUT)) + (port (array (rename doutNI_1 "doutNI_1[15:0]") 16) (direction OUTPUT)) + (port (array (rename doutNI_6 "doutNI_6[15:0]") 16) (direction OUTPUT)) + (port (array (rename doutNI_2 "doutNI_2[15:0]") 16) (direction OUTPUT)) + (port (array (rename doutNI_3 "doutNI_3[15:0]") 16) (direction OUTPUT)) + (port (array (rename doutNI_7 "doutNI_7[15:0]") 16) (direction OUTPUT)) (port (array (rename dout0 "dout0[15:0]") 16) (direction OUTPUT)) (port (array (rename dout1 "dout1[15:0]") 16) (direction OUTPUT)) (port (array (rename dout2 "dout2[15:0]") 16) (direction OUTPUT)) @@ -83002,12 +83207,13 @@ (port (array (rename intp_mode "intp_mode[1:0]") 2) (direction INPUT)) (port clk (direction INPUT)) (port rstn_i (direction INPUT)) + (port en_rep_3 (direction INPUT)) + (port en_rep_2 (direction INPUT)) (port en_rep_0 (direction INPUT)) - (port en_rep_1 (direction INPUT)) (port vldo (direction OUTPUT)) (port vldi (direction INPUT)) (port tc_bypass (direction INPUT)) - (port en (direction INPUT)) + (port en_rep_1 (direction INPUT)) (port rstn (direction INPUT)) (port rstn_i_fo (direction OUTPUT)) (port saturation_5 (direction OUTPUT)) @@ -83018,29 +83224,29 @@ (port saturation_0 (direction OUTPUT)) ) (contents - (instance (rename vldo_r_0_0 "vldo_r_0[0]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) (instance (rename vldo_r_1_0 "vldo_r_1[0]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename vldo_r_2_0 "vldo_r_2[0]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) + (instance (rename vldo_r_0_0 "vldo_r_0[0]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) (instance (rename doutf_0_4_15 "doutf_0_4[15]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hCA")) ) (instance (rename doutf_0_4_14 "doutf_0_4[14]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (property INIT (string "8'hCA")) ) (instance (rename doutf_0_4_13 "doutf_0_4[13]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (property INIT (string "8'hCA")) ) (instance (rename doutf_0_4_12 "doutf_0_4[12]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hCA")) ) (instance (rename doutf_0_4_11 "doutf_0_4[11]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (property INIT (string "8'hCA")) ) (instance (rename doutf_0_4_10 "doutf_0_4[10]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (property INIT (string "8'hCA")) ) (instance (rename doutf_0_4_9 "doutf_0_4[9]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hCA")) @@ -83049,7 +83255,7 @@ (property INIT (string "8'hCA")) ) (instance (rename doutf_0_4_7 "doutf_0_4[7]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (property INIT (string "8'hCA")) ) (instance (rename doutf_0_4_6 "doutf_0_4[6]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hCA")) @@ -83061,52 +83267,52 @@ (property INIT (string "8'hCA")) ) (instance (rename doutf_0_4_3 "doutf_0_4[3]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (property INIT (string "8'hCA")) ) (instance (rename doutf_0_4_2 "doutf_0_4[2]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hCA")) ) (instance (rename doutf_0_4_1 "doutf_0_4[1]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (property INIT (string "8'hE2")) ) (instance (rename doutf_0_4_0 "doutf_0_4[0]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (property INIT (string "8'hB8")) ) (instance (rename doutf_1_4_15 "doutf_1_4[15]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (property INIT (string "8'hE2")) ) (instance (rename doutf_1_4_14 "doutf_1_4[14]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (property INIT (string "8'hE2")) ) (instance (rename doutf_1_4_13 "doutf_1_4[13]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (property INIT (string "8'hE2")) ) (instance (rename doutf_1_4_12 "doutf_1_4[12]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (property INIT (string "8'hE2")) ) (instance (rename doutf_1_4_11 "doutf_1_4[11]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (property INIT (string "8'hAC")) ) (instance (rename doutf_1_4_10 "doutf_1_4[10]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (property INIT (string "8'hAC")) ) (instance (rename doutf_1_4_9 "doutf_1_4[9]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (property INIT (string "8'hAC")) ) (instance (rename doutf_1_4_8 "doutf_1_4[8]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (property INIT (string "8'hAC")) ) (instance (rename doutf_1_4_7 "doutf_1_4[7]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (property INIT (string "8'hAC")) ) (instance (rename doutf_1_4_6 "doutf_1_4[6]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (property INIT (string "8'hAC")) ) (instance (rename doutf_1_4_5 "doutf_1_4[5]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (property INIT (string "8'hAC")) ) (instance (rename doutf_1_4_4 "doutf_1_4[4]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (property INIT (string "8'hAC")) ) (instance (rename doutf_1_4_3 "doutf_1_4[3]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hCA")) @@ -83118,16 +83324,16 @@ (property INIT (string "8'hCA")) ) (instance (rename doutf_1_4_0 "doutf_1_4[0]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (property INIT (string "8'hB8")) ) (instance (rename doutf_2_4_15 "doutf_2_4[15]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (property INIT (string "8'hAC")) ) (instance (rename doutf_2_4_14 "doutf_2_4[14]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hAC")) ) (instance (rename doutf_2_4_13 "doutf_2_4[13]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (property INIT (string "8'hAC")) ) (instance (rename doutf_2_4_12 "doutf_2_4[12]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hAC")) @@ -83136,22 +83342,22 @@ (property INIT (string "8'hAC")) ) (instance (rename doutf_2_4_10 "doutf_2_4[10]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (property INIT (string "8'hAC")) ) (instance (rename doutf_2_4_9 "doutf_2_4[9]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hAC")) ) (instance (rename doutf_2_4_8 "doutf_2_4[8]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (property INIT (string "8'hAC")) ) (instance (rename doutf_2_4_7 "doutf_2_4[7]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hAC")) ) (instance (rename doutf_2_4_6 "doutf_2_4[6]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (property INIT (string "8'hAC")) ) (instance (rename doutf_2_4_5 "doutf_2_4[5]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (property INIT (string "8'hAC")) ) (instance (rename doutf_2_4_4 "doutf_2_4[4]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hAC")) @@ -83166,10 +83372,10 @@ (property INIT (string "8'hCA")) ) (instance (rename doutf_2_4_0 "doutf_2_4[0]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (property INIT (string "8'hB8")) ) (instance (rename doutf_3_4_15 "doutf_3_4[15]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (property INIT (string "8'hAC")) ) (instance (rename doutf_3_4_14 "doutf_3_4[14]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hAC")) @@ -83193,13 +83399,13 @@ (property INIT (string "8'hAC")) ) (instance (rename doutf_3_4_7 "doutf_3_4[7]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (property INIT (string "8'hAC")) ) (instance (rename doutf_3_4_6 "doutf_3_4[6]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hAC")) ) (instance (rename doutf_3_4_5 "doutf_3_4[5]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (property INIT (string "8'hAC")) ) (instance (rename doutf_3_4_4 "doutf_3_4[4]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hAC")) @@ -83211,28 +83417,28 @@ (property INIT (string "8'hAC")) ) (instance (rename doutf_3_4_1 "doutf_3_4[1]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) - ) - (instance (rename doutf_3_4_0 "doutf_3_4[0]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hAC")) ) - (instance (rename vldo_r_1168_0_DOUT_RNI4CEO_0 "vldo_r_1168_0_DOUT_RNI4CEO[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename doutf_3_4_0 "doutf_3_4[0]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) + ) + (instance (rename vldo_r_976_0_DOUT_RNI6N3K_0 "vldo_r_976_0_DOUT_RNI6N3K[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) ) - (instance vldo_r_1172_1195_RNIB8HK (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance vldo_r_980_1003_RNIB0LL (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h80")) ) - (instance (rename vldo_r_1172_0_inst_z_dsp_vldo_r_1172_1 "vldo_r_1172_0_inst_z_dsp.vldo_r_1172_1") (viewRef PRIM (cellRef SRL16E (libraryRef VIRTEX))) + (instance (rename vldo_r_980_0_inst_z_dsp_vldo_r_980_1 "vldo_r_980_0_inst_z_dsp.vldo_r_980_1") (viewRef PRIM (cellRef SRL16E (libraryRef VIRTEX))) ) - (instance (rename vldo_r_1168_0_inst_z_dsp_inst_TailCorr_top_din_r4_1 "vldo_r_1168_0_inst_z_dsp.inst_TailCorr_top.din_r4_1") (viewRef PRIM (cellRef SRL16E (libraryRef VIRTEX))) + (instance (rename vldo_r_976_0_inst_z_dsp_inst_TailCorr_top_din_r4_1 "vldo_r_976_0_inst_z_dsp.inst_TailCorr_top.din_r4_1") (viewRef PRIM (cellRef SRL16E (libraryRef VIRTEX))) ) (instance (rename vldo_r_inst_z_dsp_vldo_r_1 "vldo_r_inst_z_dsp.vldo_r_1") (viewRef PRIM (cellRef SRL16E (libraryRef VIRTEX))) ) - (instance vldo_r_1172_1195 (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + (instance vldo_r_980_1003 (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) - (instance (rename vldo_r_1172_0_DOUT_0 "vldo_r_1172_0_DOUT[0]") (viewRef PRIM (cellRef FDE (libraryRef UNILIB))) + (instance (rename vldo_r_980_0_DOUT_0 "vldo_r_980_0_DOUT[0]") (viewRef PRIM (cellRef FDE (libraryRef UNILIB))) ) - (instance (rename vldo_r_1168_0_DOUT_0 "vldo_r_1168_0_DOUT[0]") (viewRef PRIM (cellRef FDE (libraryRef UNILIB))) + (instance (rename vldo_r_976_0_DOUT_0 "vldo_r_976_0_DOUT[0]") (viewRef PRIM (cellRef FDE (libraryRef UNILIB))) ) (instance (rename vldo_r_DOUT_0 "vldo_r_DOUT[0]") (viewRef PRIM (cellRef FDE (libraryRef UNILIB))) ) @@ -83372,19 +83578,14 @@ ) (instance VCC (viewRef PRIM (cellRef VCC (libraryRef UNILIB))) ) (instance GND (viewRef PRIM (cellRef GND (libraryRef UNILIB))) ) + (net (rename vldo_r_1Z0Z_0 "vldo_r_1[0]") (joined + (portRef Q (instanceRef vldo_r_1_0)) + (portRef D (instanceRef vldo_r_2_0)) + )) (net (rename vldo_r_0Z0Z_0 "vldo_r_0[0]") (joined (portRef Q (instanceRef vldo_r_0_0)) (portRef D (instanceRef vldo_r_1_0)) )) - (net (rename VCCZ0 "VCC") (joined - (portRef P (instanceRef VCC)) - (portRef A2 (instanceRef vldo_r_inst_z_dsp_vldo_r_1)) - (portRef A0 (instanceRef vldo_r_inst_z_dsp_vldo_r_1)) - (portRef D (instanceRef vldo_r_1168_0_inst_z_dsp_inst_TailCorr_top_din_r4_1)) - (portRef A0 (instanceRef vldo_r_1168_0_inst_z_dsp_inst_TailCorr_top_din_r4_1)) - (portRef A1 (instanceRef vldo_r_1172_0_inst_z_dsp_vldo_r_1172_1)) - (portRef D (instanceRef vldo_r_0_0)) - )) (net clk (joined (portRef clk) (portRef clk (instanceRef inst_MeanIntp_8)) @@ -83455,15 +83656,15 @@ (portRef C (instanceRef doutf_0_12)) (portRef C (instanceRef vldo_r_0)) (portRef C (instanceRef vldo_r_DOUT_0)) - (portRef C (instanceRef vldo_r_1168_0_DOUT_0)) - (portRef C (instanceRef vldo_r_1172_0_DOUT_0)) - (portRef C (instanceRef vldo_r_1172_1195)) + (portRef C (instanceRef vldo_r_976_0_DOUT_0)) + (portRef C (instanceRef vldo_r_980_0_DOUT_0)) + (portRef C (instanceRef vldo_r_980_1003)) (portRef CLK (instanceRef vldo_r_inst_z_dsp_vldo_r_1)) - (portRef CLK (instanceRef vldo_r_1168_0_inst_z_dsp_inst_TailCorr_top_din_r4_1)) - (portRef CLK (instanceRef vldo_r_1172_0_inst_z_dsp_vldo_r_1172_1)) + (portRef CLK (instanceRef vldo_r_976_0_inst_z_dsp_inst_TailCorr_top_din_r4_1)) + (portRef CLK (instanceRef vldo_r_980_0_inst_z_dsp_vldo_r_980_1)) + (portRef C (instanceRef vldo_r_0_0)) (portRef C (instanceRef vldo_r_2_0)) (portRef C (instanceRef vldo_r_1_0)) - (portRef C (instanceRef vldo_r_0_0)) )) (net rstn_i (joined (portRef rstn_i) @@ -83534,48 +83735,119 @@ (portRef CLR (instanceRef doutf_0_13)) (portRef CLR (instanceRef doutf_0_12)) (portRef CLR (instanceRef vldo_r_0)) - (portRef CLR (instanceRef vldo_r_1172_1195)) + (portRef CLR (instanceRef vldo_r_980_1003)) + (portRef CLR (instanceRef vldo_r_0_0)) (portRef CLR (instanceRef vldo_r_2_0)) (portRef CLR (instanceRef vldo_r_1_0)) - (portRef CLR (instanceRef vldo_r_0_0)) )) - (net en_rep_0 (joined - (portRef en_rep_0) - (portRef en_rep_0 (instanceRef inst_TailCorr_top)) + (net en_rep_3 (joined + (portRef en_rep_3) + (portRef en_rep_3 (instanceRef inst_MeanIntp_8)) + (portRef en_rep_3 (instanceRef inst_TailCorr_top)) (portRef CE (instanceRef vldo_r_0)) (portRef CE (instanceRef vldo_r_DOUT_0)) - (portRef CE (instanceRef vldo_r_1168_0_DOUT_0)) - (portRef CE (instanceRef vldo_r_1172_0_DOUT_0)) - (portRef CE (instanceRef vldo_r_1172_1195)) + (portRef CE (instanceRef vldo_r_976_0_DOUT_0)) + (portRef CE (instanceRef vldo_r_980_0_DOUT_0)) + (portRef CE (instanceRef vldo_r_980_1003)) (portRef CE (instanceRef vldo_r_inst_z_dsp_vldo_r_1)) - (portRef CE (instanceRef vldo_r_1168_0_inst_z_dsp_inst_TailCorr_top_din_r4_1)) - (portRef CE (instanceRef vldo_r_1172_0_inst_z_dsp_vldo_r_1172_1)) + (portRef CE (instanceRef vldo_r_976_0_inst_z_dsp_inst_TailCorr_top_din_r4_1)) + (portRef CE (instanceRef vldo_r_980_0_inst_z_dsp_vldo_r_980_1)) + (portRef I1 (instanceRef doutf_1_4_12)) + (portRef I1 (instanceRef doutf_1_4_13)) + (portRef I1 (instanceRef doutf_1_4_14)) + (portRef I1 (instanceRef doutf_1_4_15)) + (portRef I2 (instanceRef doutf_0_4_12)) + (portRef I2 (instanceRef doutf_0_4_13)) + (portRef I2 (instanceRef doutf_0_4_14)) + (portRef I2 (instanceRef doutf_0_4_15)) + (portRef CE (instanceRef vldo_r_0_0)) (portRef CE (instanceRef vldo_r_2_0)) (portRef CE (instanceRef vldo_r_1_0)) - (portRef CE (instanceRef vldo_r_0_0)) )) - (net (rename vldo_r_1Z0Z_0 "vldo_r_1[0]") (joined - (portRef Q (instanceRef vldo_r_1_0)) - (portRef D (instanceRef vldo_r_2_0)) - )) - (net vldo_r_1168_1189 (joined + (net vldo_r_976_997 (joined (portRef Q (instanceRef vldo_r_2_0)) - (portRef D (instanceRef vldo_r_1172_1195)) - (portRef I1 (instanceRef vldo_r_1168_0_DOUT_RNI4CEO_0)) + (portRef D (instanceRef vldo_r_980_1003)) + (portRef I1 (instanceRef vldo_r_976_0_DOUT_RNI6N3K_0)) )) - (net (rename dout_0_15 "dout_0[15]") (joined - (portRef (member dout_0 0) (instanceRef inst_MeanIntp_8)) + (net (rename VCCZ0 "VCC") (joined + (portRef P (instanceRef VCC)) + (portRef A2 (instanceRef vldo_r_inst_z_dsp_vldo_r_1)) + (portRef A0 (instanceRef vldo_r_inst_z_dsp_vldo_r_1)) + (portRef D (instanceRef vldo_r_976_0_inst_z_dsp_inst_TailCorr_top_din_r4_1)) + (portRef A0 (instanceRef vldo_r_976_0_inst_z_dsp_inst_TailCorr_top_din_r4_1)) + (portRef A1 (instanceRef vldo_r_980_0_inst_z_dsp_vldo_r_980_1)) + (portRef D (instanceRef vldo_r_0_0)) + )) + (net (rename doutni_0_15 "doutNI_0[15]") (joined + (portRef (member doutNI_0 0) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_0_4_15)) + (portRef (member doutNI_0 0)) )) - (net (rename dout_4_15 "dout_4[15]") (joined - (portRef (member dout_4 0) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_4_15 "doutNI_4[15]") (joined + (portRef (member doutNI_4 0) (instanceRef inst_MeanIntp_8)) (portRef I1 (instanceRef doutf_0_4_15)) + (portRef (member doutNI_4 0)) )) - (net en_rep_1 (joined - (portRef en_rep_1) - (portRef en_rep_1 (instanceRef inst_MeanIntp_8)) - (portRef en_rep_1 (instanceRef inst_TailCorr_top)) - (portRef I2 (instanceRef doutf_3_4_0)) + (net (rename doutf_0_4Z0Z_15 "doutf_0_4[15]") (joined + (portRef LO (instanceRef doutf_0_4_15)) + (portRef D (instanceRef doutf_0_15)) + )) + (net (rename doutni_0_14 "doutNI_0[14]") (joined + (portRef (member doutNI_0 1) (instanceRef inst_MeanIntp_8)) + (portRef I0 (instanceRef doutf_0_4_14)) + (portRef (member doutNI_0 1)) + )) + (net (rename doutni_4_14 "doutNI_4[14]") (joined + (portRef (member doutNI_4 1) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_0_4_14)) + (portRef (member doutNI_4 1)) + )) + (net (rename doutf_0_4Z0Z_14 "doutf_0_4[14]") (joined + (portRef LO (instanceRef doutf_0_4_14)) + (portRef D (instanceRef doutf_0_14)) + )) + (net (rename doutni_0_13 "doutNI_0[13]") (joined + (portRef (member doutNI_0 2) (instanceRef inst_MeanIntp_8)) + (portRef I0 (instanceRef doutf_0_4_13)) + (portRef (member doutNI_0 2)) + )) + (net (rename doutni_4_13 "doutNI_4[13]") (joined + (portRef (member doutNI_4 2) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_0_4_13)) + (portRef (member doutNI_4 2)) + )) + (net (rename doutf_0_4Z0Z_13 "doutf_0_4[13]") (joined + (portRef LO (instanceRef doutf_0_4_13)) + (portRef D (instanceRef doutf_0_13)) + )) + (net (rename doutni_0_12 "doutNI_0[12]") (joined + (portRef (member doutNI_0 3) (instanceRef inst_MeanIntp_8)) + (portRef I0 (instanceRef doutf_0_4_12)) + (portRef (member doutNI_0 3)) + )) + (net (rename doutni_4_12 "doutNI_4[12]") (joined + (portRef (member doutNI_4 3) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_0_4_12)) + (portRef (member doutNI_4 3)) + )) + (net (rename doutf_0_4Z0Z_12 "doutf_0_4[12]") (joined + (portRef LO (instanceRef doutf_0_4_12)) + (portRef D (instanceRef doutf_0_12)) + )) + (net (rename doutni_0_11 "doutNI_0[11]") (joined + (portRef (member doutNI_0 4) (instanceRef inst_MeanIntp_8)) + (portRef I0 (instanceRef doutf_0_4_11)) + (portRef (member doutNI_0 4)) + )) + (net (rename doutni_4_11 "doutNI_4[11]") (joined + (portRef (member doutNI_4 4) (instanceRef inst_MeanIntp_8)) + (portRef I1 (instanceRef doutf_0_4_11)) + (portRef (member doutNI_4 4)) + )) + (net en_rep_2 (joined + (portRef en_rep_2) + (portRef en_rep_2 (instanceRef inst_MeanIntp_8)) + (portRef en_rep_2 (instanceRef inst_TailCorr_top)) (portRef I2 (instanceRef doutf_3_4_1)) (portRef I2 (instanceRef doutf_3_4_2)) (portRef I2 (instanceRef doutf_3_4_3)) @@ -83591,10 +83863,6 @@ (portRef I2 (instanceRef doutf_3_4_13)) (portRef I2 (instanceRef doutf_3_4_14)) (portRef I2 (instanceRef doutf_3_4_15)) - (portRef I2 (instanceRef doutf_2_4_0)) - (portRef I2 (instanceRef doutf_2_4_1)) - (portRef I2 (instanceRef doutf_2_4_2)) - (portRef I2 (instanceRef doutf_2_4_3)) (portRef I2 (instanceRef doutf_2_4_4)) (portRef I2 (instanceRef doutf_2_4_5)) (portRef I2 (instanceRef doutf_2_4_6)) @@ -83607,10 +83875,6 @@ (portRef I2 (instanceRef doutf_2_4_13)) (portRef I2 (instanceRef doutf_2_4_14)) (portRef I2 (instanceRef doutf_2_4_15)) - (portRef I2 (instanceRef doutf_1_4_0)) - (portRef I2 (instanceRef doutf_1_4_1)) - (portRef I2 (instanceRef doutf_1_4_2)) - (portRef I2 (instanceRef doutf_1_4_3)) (portRef I2 (instanceRef doutf_1_4_4)) (portRef I2 (instanceRef doutf_1_4_5)) (portRef I2 (instanceRef doutf_1_4_6)) @@ -83619,14 +83883,6 @@ (portRef I2 (instanceRef doutf_1_4_9)) (portRef I2 (instanceRef doutf_1_4_10)) (portRef I2 (instanceRef doutf_1_4_11)) - (portRef I2 (instanceRef doutf_1_4_12)) - (portRef I2 (instanceRef doutf_1_4_13)) - (portRef I2 (instanceRef doutf_1_4_14)) - (portRef I2 (instanceRef doutf_1_4_15)) - (portRef I2 (instanceRef doutf_0_4_0)) - (portRef I2 (instanceRef doutf_0_4_1)) - (portRef I2 (instanceRef doutf_0_4_2)) - (portRef I2 (instanceRef doutf_0_4_3)) (portRef I2 (instanceRef doutf_0_4_4)) (portRef I2 (instanceRef doutf_0_4_5)) (portRef I2 (instanceRef doutf_0_4_6)) @@ -83635,814 +83891,898 @@ (portRef I2 (instanceRef doutf_0_4_9)) (portRef I2 (instanceRef doutf_0_4_10)) (portRef I2 (instanceRef doutf_0_4_11)) - (portRef I2 (instanceRef doutf_0_4_12)) - (portRef I2 (instanceRef doutf_0_4_13)) - (portRef I2 (instanceRef doutf_0_4_14)) - (portRef I2 (instanceRef doutf_0_4_15)) - )) - (net (rename doutf_0_4Z0Z_15 "doutf_0_4[15]") (joined - (portRef LO (instanceRef doutf_0_4_15)) - (portRef D (instanceRef doutf_0_15)) - )) - (net (rename dout_4_14 "dout_4[14]") (joined - (portRef (member dout_4 1) (instanceRef inst_MeanIntp_8)) - (portRef I0 (instanceRef doutf_0_4_14)) - )) - (net (rename dout_0_14 "dout_0[14]") (joined - (portRef (member dout_0 1) (instanceRef inst_MeanIntp_8)) - (portRef I1 (instanceRef doutf_0_4_14)) - )) - (net (rename doutf_0_4Z0Z_14 "doutf_0_4[14]") (joined - (portRef LO (instanceRef doutf_0_4_14)) - (portRef D (instanceRef doutf_0_14)) - )) - (net (rename dout_4_13 "dout_4[13]") (joined - (portRef (member dout_4 2) (instanceRef inst_MeanIntp_8)) - (portRef I0 (instanceRef doutf_0_4_13)) - )) - (net (rename dout_0_13 "dout_0[13]") (joined - (portRef (member dout_0 2) (instanceRef inst_MeanIntp_8)) - (portRef I1 (instanceRef doutf_0_4_13)) - )) - (net (rename doutf_0_4Z0Z_13 "doutf_0_4[13]") (joined - (portRef LO (instanceRef doutf_0_4_13)) - (portRef D (instanceRef doutf_0_13)) - )) - (net (rename dout_0_12 "dout_0[12]") (joined - (portRef (member dout_0 3) (instanceRef inst_MeanIntp_8)) - (portRef I0 (instanceRef doutf_0_4_12)) - )) - (net (rename dout_4_12 "dout_4[12]") (joined - (portRef (member dout_4 3) (instanceRef inst_MeanIntp_8)) - (portRef I1 (instanceRef doutf_0_4_12)) - )) - (net (rename doutf_0_4Z0Z_12 "doutf_0_4[12]") (joined - (portRef LO (instanceRef doutf_0_4_12)) - (portRef D (instanceRef doutf_0_12)) - )) - (net (rename dout_4_11 "dout_4[11]") (joined - (portRef (member dout_4 4) (instanceRef inst_MeanIntp_8)) - (portRef I0 (instanceRef doutf_0_4_11)) - )) - (net (rename dout_0_11 "dout_0[11]") (joined - (portRef (member dout_0 4) (instanceRef inst_MeanIntp_8)) - (portRef I1 (instanceRef doutf_0_4_11)) )) (net (rename doutf_0_4Z0Z_11 "doutf_0_4[11]") (joined (portRef LO (instanceRef doutf_0_4_11)) (portRef D (instanceRef doutf_0_11)) )) - (net (rename dout_4_10 "dout_4[10]") (joined - (portRef (member dout_4 5) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_0_10 "doutNI_0[10]") (joined + (portRef (member doutNI_0 5) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_0_4_10)) + (portRef (member doutNI_0 5)) )) - (net (rename dout_0_10 "dout_0[10]") (joined - (portRef (member dout_0 5) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_4_10 "doutNI_4[10]") (joined + (portRef (member doutNI_4 5) (instanceRef inst_MeanIntp_8)) (portRef I1 (instanceRef doutf_0_4_10)) + (portRef (member doutNI_4 5)) )) (net (rename doutf_0_4Z0Z_10 "doutf_0_4[10]") (joined (portRef LO (instanceRef doutf_0_4_10)) (portRef D (instanceRef doutf_0_10)) )) - (net (rename dout_0_9 "dout_0[9]") (joined - (portRef (member dout_0 6) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_0_9 "doutNI_0[9]") (joined + (portRef (member doutNI_0 6) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_0_4_9)) + (portRef (member doutNI_0 6)) )) - (net (rename dout_4_9 "dout_4[9]") (joined - (portRef (member dout_4 6) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_4_9 "doutNI_4[9]") (joined + (portRef (member doutNI_4 6) (instanceRef inst_MeanIntp_8)) (portRef I1 (instanceRef doutf_0_4_9)) + (portRef (member doutNI_4 6)) )) (net (rename doutf_0_4Z0Z_9 "doutf_0_4[9]") (joined (portRef LO (instanceRef doutf_0_4_9)) (portRef D (instanceRef doutf_0_9)) )) - (net (rename dout_0_8 "dout_0[8]") (joined - (portRef (member dout_0 7) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_0_8 "doutNI_0[8]") (joined + (portRef (member doutNI_0 7) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_0_4_8)) + (portRef (member doutNI_0 7)) )) - (net (rename dout_4_8 "dout_4[8]") (joined - (portRef (member dout_4 7) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_4_8 "doutNI_4[8]") (joined + (portRef (member doutNI_4 7) (instanceRef inst_MeanIntp_8)) (portRef I1 (instanceRef doutf_0_4_8)) + (portRef (member doutNI_4 7)) )) (net (rename doutf_0_4Z0Z_8 "doutf_0_4[8]") (joined (portRef LO (instanceRef doutf_0_4_8)) (portRef D (instanceRef doutf_0_8)) )) - (net (rename dout_4_7 "dout_4[7]") (joined - (portRef (member dout_4 8) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_0_7 "doutNI_0[7]") (joined + (portRef (member doutNI_0 8) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_0_4_7)) + (portRef (member doutNI_0 8)) )) - (net (rename dout_0_7 "dout_0[7]") (joined - (portRef (member dout_0 8) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_4_7 "doutNI_4[7]") (joined + (portRef (member doutNI_4 8) (instanceRef inst_MeanIntp_8)) (portRef I1 (instanceRef doutf_0_4_7)) + (portRef (member doutNI_4 8)) )) (net (rename doutf_0_4Z0Z_7 "doutf_0_4[7]") (joined (portRef LO (instanceRef doutf_0_4_7)) (portRef D (instanceRef doutf_0_7)) )) - (net (rename dout_0_6 "dout_0[6]") (joined - (portRef (member dout_0 9) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_0_6 "doutNI_0[6]") (joined + (portRef (member doutNI_0 9) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_0_4_6)) + (portRef (member doutNI_0 9)) )) - (net (rename dout_4_6 "dout_4[6]") (joined - (portRef (member dout_4 9) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_4_6 "doutNI_4[6]") (joined + (portRef (member doutNI_4 9) (instanceRef inst_MeanIntp_8)) (portRef I1 (instanceRef doutf_0_4_6)) + (portRef (member doutNI_4 9)) )) (net (rename doutf_0_4Z0Z_6 "doutf_0_4[6]") (joined (portRef LO (instanceRef doutf_0_4_6)) (portRef D (instanceRef doutf_0_6)) )) - (net (rename dout_0_5 "dout_0[5]") (joined - (portRef (member dout_0 10) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_0_5 "doutNI_0[5]") (joined + (portRef (member doutNI_0 10) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_0_4_5)) + (portRef (member doutNI_0 10)) )) - (net (rename dout_4_5 "dout_4[5]") (joined - (portRef (member dout_4 10) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_4_5 "doutNI_4[5]") (joined + (portRef (member doutNI_4 10) (instanceRef inst_MeanIntp_8)) (portRef I1 (instanceRef doutf_0_4_5)) + (portRef (member doutNI_4 10)) )) (net (rename doutf_0_4Z0Z_5 "doutf_0_4[5]") (joined (portRef LO (instanceRef doutf_0_4_5)) (portRef D (instanceRef doutf_0_5)) )) - (net (rename dout_0_4 "dout_0[4]") (joined - (portRef (member dout_0 11) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_0_4 "doutNI_0[4]") (joined + (portRef (member doutNI_0 11) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_0_4_4)) + (portRef (member doutNI_0 11)) )) - (net (rename dout_4_4 "dout_4[4]") (joined - (portRef (member dout_4 11) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_4_4 "doutNI_4[4]") (joined + (portRef (member doutNI_4 11) (instanceRef inst_MeanIntp_8)) (portRef I1 (instanceRef doutf_0_4_4)) + (portRef (member doutNI_4 11)) )) (net (rename doutf_0_4Z0Z_4 "doutf_0_4[4]") (joined (portRef LO (instanceRef doutf_0_4_4)) (portRef D (instanceRef doutf_0_4)) )) - (net (rename dout_4_3 "dout_4[3]") (joined - (portRef (member dout_4 12) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_0_3 "doutNI_0[3]") (joined + (portRef (member doutNI_0 12) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_0_4_3)) + (portRef (member doutNI_0 12)) )) - (net (rename dout_0_3 "dout_0[3]") (joined - (portRef (member dout_0 12) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_4_3 "doutNI_4[3]") (joined + (portRef (member doutNI_4 12) (instanceRef inst_MeanIntp_8)) (portRef I1 (instanceRef doutf_0_4_3)) + (portRef (member doutNI_4 12)) + )) + (net en_rep_0 (joined + (portRef en_rep_0) + (portRef en_rep_0 (instanceRef inst_MeanIntp_8)) + (portRef en_rep_0 (instanceRef inst_TailCorr_top)) + (portRef I2 (instanceRef doutf_3_4_0)) + (portRef I1 (instanceRef doutf_2_4_0)) + (portRef I2 (instanceRef doutf_2_4_1)) + (portRef I2 (instanceRef doutf_2_4_2)) + (portRef I2 (instanceRef doutf_2_4_3)) + (portRef I1 (instanceRef doutf_1_4_0)) + (portRef I2 (instanceRef doutf_1_4_1)) + (portRef I2 (instanceRef doutf_1_4_2)) + (portRef I2 (instanceRef doutf_1_4_3)) + (portRef I1 (instanceRef doutf_0_4_0)) + (portRef I1 (instanceRef doutf_0_4_1)) + (portRef I2 (instanceRef doutf_0_4_2)) + (portRef I2 (instanceRef doutf_0_4_3)) )) (net (rename doutf_0_4Z0Z_3 "doutf_0_4[3]") (joined (portRef LO (instanceRef doutf_0_4_3)) (portRef D (instanceRef doutf_0_3)) )) - (net (rename dout_0_2 "dout_0[2]") (joined - (portRef (member dout_0 13) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_0_2 "doutNI_0[2]") (joined + (portRef (member doutNI_0 13) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_0_4_2)) + (portRef (member doutNI_0 13)) )) - (net (rename dout_4_2 "dout_4[2]") (joined - (portRef (member dout_4 13) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_4_2 "doutNI_4[2]") (joined + (portRef (member doutNI_4 13) (instanceRef inst_MeanIntp_8)) (portRef I1 (instanceRef doutf_0_4_2)) + (portRef (member doutNI_4 13)) )) (net (rename doutf_0_4Z0Z_2 "doutf_0_4[2]") (joined (portRef LO (instanceRef doutf_0_4_2)) (portRef D (instanceRef doutf_0_2)) )) - (net (rename dout_0_1 "dout_0[1]") (joined - (portRef (member dout_0 14) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_0_1 "doutNI_0[1]") (joined + (portRef (member doutNI_0 14) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_0_4_1)) + (portRef (member doutNI_0 14)) )) - (net (rename dout_4_1 "dout_4[1]") (joined - (portRef (member dout_4 14) (instanceRef inst_MeanIntp_8)) - (portRef I1 (instanceRef doutf_0_4_1)) + (net (rename doutni_4_1 "doutNI_4[1]") (joined + (portRef (member doutNI_4 14) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_0_4_1)) + (portRef (member doutNI_4 14)) )) (net (rename doutf_0_4Z0Z_1 "doutf_0_4[1]") (joined (portRef LO (instanceRef doutf_0_4_1)) (portRef D (instanceRef doutf_0_1)) )) - (net (rename dout_4_0 "dout_4[0]") (joined - (portRef (member dout_4 15) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_4_0 "doutNI_4[0]") (joined + (portRef (member doutNI_4 15) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_0_4_0)) + (portRef (member doutNI_4 15)) )) - (net (rename dout_0_0 "dout_0[0]") (joined - (portRef (member dout_0 15) (instanceRef inst_MeanIntp_8)) - (portRef I1 (instanceRef doutf_0_4_0)) + (net (rename doutni_0_0 "doutNI_0[0]") (joined + (portRef (member doutNI_0 15) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_0_4_0)) + (portRef (member doutNI_0 15)) )) (net (rename doutf_0_4Z0Z_0 "doutf_0_4[0]") (joined (portRef LO (instanceRef doutf_0_4_0)) (portRef D (instanceRef doutf_0_0)) )) - (net (rename dout_1_15 "dout_1[15]") (joined - (portRef (member dout_1 0) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_1_15 "doutNI_1[15]") (joined + (portRef (member doutNI_1 0) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_1_4_15)) + (portRef (member doutNI_1 0)) )) - (net (rename dout_5_15 "dout_5[15]") (joined - (portRef (member dout_5 0) (instanceRef inst_MeanIntp_8)) - (portRef I1 (instanceRef doutf_1_4_15)) + (net (rename doutni_5_15 "doutNI_5[15]") (joined + (portRef (member doutNI_5 0) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_1_4_15)) + (portRef (member doutNI_5 0)) )) (net (rename doutf_1_4Z0Z_15 "doutf_1_4[15]") (joined (portRef LO (instanceRef doutf_1_4_15)) (portRef D (instanceRef doutf_1_15)) )) - (net (rename dout_1_14 "dout_1[14]") (joined - (portRef (member dout_1 1) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_1_14 "doutNI_1[14]") (joined + (portRef (member doutNI_1 1) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_1_4_14)) + (portRef (member doutNI_1 1)) )) - (net (rename dout_5_14 "dout_5[14]") (joined - (portRef (member dout_5 1) (instanceRef inst_MeanIntp_8)) - (portRef I1 (instanceRef doutf_1_4_14)) + (net (rename doutni_5_14 "doutNI_5[14]") (joined + (portRef (member doutNI_5 1) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_1_4_14)) + (portRef (member doutNI_5 1)) )) (net (rename doutf_1_4Z0Z_14 "doutf_1_4[14]") (joined (portRef LO (instanceRef doutf_1_4_14)) (portRef D (instanceRef doutf_1_14)) )) - (net (rename dout_1_13 "dout_1[13]") (joined - (portRef (member dout_1 2) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_1_13 "doutNI_1[13]") (joined + (portRef (member doutNI_1 2) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_1_4_13)) + (portRef (member doutNI_1 2)) )) - (net (rename dout_5_13 "dout_5[13]") (joined - (portRef (member dout_5 2) (instanceRef inst_MeanIntp_8)) - (portRef I1 (instanceRef doutf_1_4_13)) + (net (rename doutni_5_13 "doutNI_5[13]") (joined + (portRef (member doutNI_5 2) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_1_4_13)) + (portRef (member doutNI_5 2)) )) (net (rename doutf_1_4Z0Z_13 "doutf_1_4[13]") (joined (portRef LO (instanceRef doutf_1_4_13)) (portRef D (instanceRef doutf_1_13)) )) - (net (rename dout_1_12 "dout_1[12]") (joined - (portRef (member dout_1 3) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_1_12 "doutNI_1[12]") (joined + (portRef (member doutNI_1 3) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_1_4_12)) + (portRef (member doutNI_1 3)) )) - (net (rename dout_5_12 "dout_5[12]") (joined - (portRef (member dout_5 3) (instanceRef inst_MeanIntp_8)) - (portRef I1 (instanceRef doutf_1_4_12)) + (net (rename doutni_5_12 "doutNI_5[12]") (joined + (portRef (member doutNI_5 3) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_1_4_12)) + (portRef (member doutNI_5 3)) )) (net (rename doutf_1_4Z0Z_12 "doutf_1_4[12]") (joined (portRef LO (instanceRef doutf_1_4_12)) (portRef D (instanceRef doutf_1_12)) )) - (net (rename dout_1_11 "dout_1[11]") (joined - (portRef (member dout_1 4) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_5_11 "doutNI_5[11]") (joined + (portRef (member doutNI_5 4) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_1_4_11)) + (portRef (member doutNI_5 4)) )) - (net (rename dout_5_11 "dout_5[11]") (joined - (portRef (member dout_5 4) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_1_11 "doutNI_1[11]") (joined + (portRef (member doutNI_1 4) (instanceRef inst_MeanIntp_8)) (portRef I1 (instanceRef doutf_1_4_11)) + (portRef (member doutNI_1 4)) )) (net (rename doutf_1_4Z0Z_11 "doutf_1_4[11]") (joined (portRef LO (instanceRef doutf_1_4_11)) (portRef D (instanceRef doutf_1_11)) )) - (net (rename dout_1_10 "dout_1[10]") (joined - (portRef (member dout_1 5) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_5_10 "doutNI_5[10]") (joined + (portRef (member doutNI_5 5) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_1_4_10)) + (portRef (member doutNI_5 5)) )) - (net (rename dout_5_10 "dout_5[10]") (joined - (portRef (member dout_5 5) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_1_10 "doutNI_1[10]") (joined + (portRef (member doutNI_1 5) (instanceRef inst_MeanIntp_8)) (portRef I1 (instanceRef doutf_1_4_10)) + (portRef (member doutNI_1 5)) )) (net (rename doutf_1_4Z0Z_10 "doutf_1_4[10]") (joined (portRef LO (instanceRef doutf_1_4_10)) (portRef D (instanceRef doutf_1_10)) )) - (net (rename dout_1_9 "dout_1[9]") (joined - (portRef (member dout_1 6) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_5_9 "doutNI_5[9]") (joined + (portRef (member doutNI_5 6) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_1_4_9)) + (portRef (member doutNI_5 6)) )) - (net (rename dout_5_9 "dout_5[9]") (joined - (portRef (member dout_5 6) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_1_9 "doutNI_1[9]") (joined + (portRef (member doutNI_1 6) (instanceRef inst_MeanIntp_8)) (portRef I1 (instanceRef doutf_1_4_9)) + (portRef (member doutNI_1 6)) )) (net (rename doutf_1_4Z0Z_9 "doutf_1_4[9]") (joined (portRef LO (instanceRef doutf_1_4_9)) (portRef D (instanceRef doutf_1_9)) )) - (net (rename dout_1_8 "dout_1[8]") (joined - (portRef (member dout_1 7) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_5_8 "doutNI_5[8]") (joined + (portRef (member doutNI_5 7) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_1_4_8)) + (portRef (member doutNI_5 7)) )) - (net (rename dout_5_8 "dout_5[8]") (joined - (portRef (member dout_5 7) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_1_8 "doutNI_1[8]") (joined + (portRef (member doutNI_1 7) (instanceRef inst_MeanIntp_8)) (portRef I1 (instanceRef doutf_1_4_8)) + (portRef (member doutNI_1 7)) )) (net (rename doutf_1_4Z0Z_8 "doutf_1_4[8]") (joined (portRef LO (instanceRef doutf_1_4_8)) (portRef D (instanceRef doutf_1_8)) )) - (net (rename dout_1_7 "dout_1[7]") (joined - (portRef (member dout_1 8) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_5_7 "doutNI_5[7]") (joined + (portRef (member doutNI_5 8) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_1_4_7)) + (portRef (member doutNI_5 8)) )) - (net (rename dout_5_7 "dout_5[7]") (joined - (portRef (member dout_5 8) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_1_7 "doutNI_1[7]") (joined + (portRef (member doutNI_1 8) (instanceRef inst_MeanIntp_8)) (portRef I1 (instanceRef doutf_1_4_7)) + (portRef (member doutNI_1 8)) )) (net (rename doutf_1_4Z0Z_7 "doutf_1_4[7]") (joined (portRef LO (instanceRef doutf_1_4_7)) (portRef D (instanceRef doutf_1_7)) )) - (net (rename dout_1_6 "dout_1[6]") (joined - (portRef (member dout_1 9) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_5_6 "doutNI_5[6]") (joined + (portRef (member doutNI_5 9) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_1_4_6)) + (portRef (member doutNI_5 9)) )) - (net (rename dout_5_6 "dout_5[6]") (joined - (portRef (member dout_5 9) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_1_6 "doutNI_1[6]") (joined + (portRef (member doutNI_1 9) (instanceRef inst_MeanIntp_8)) (portRef I1 (instanceRef doutf_1_4_6)) + (portRef (member doutNI_1 9)) )) (net (rename doutf_1_4Z0Z_6 "doutf_1_4[6]") (joined (portRef LO (instanceRef doutf_1_4_6)) (portRef D (instanceRef doutf_1_6)) )) - (net (rename dout_1_5 "dout_1[5]") (joined - (portRef (member dout_1 10) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_5_5 "doutNI_5[5]") (joined + (portRef (member doutNI_5 10) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_1_4_5)) + (portRef (member doutNI_5 10)) )) - (net (rename dout_5_5 "dout_5[5]") (joined - (portRef (member dout_5 10) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_1_5 "doutNI_1[5]") (joined + (portRef (member doutNI_1 10) (instanceRef inst_MeanIntp_8)) (portRef I1 (instanceRef doutf_1_4_5)) + (portRef (member doutNI_1 10)) )) (net (rename doutf_1_4Z0Z_5 "doutf_1_4[5]") (joined (portRef LO (instanceRef doutf_1_4_5)) (portRef D (instanceRef doutf_1_5)) )) - (net (rename dout_1_4 "dout_1[4]") (joined - (portRef (member dout_1 11) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_5_4 "doutNI_5[4]") (joined + (portRef (member doutNI_5 11) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_1_4_4)) + (portRef (member doutNI_5 11)) )) - (net (rename dout_5_4 "dout_5[4]") (joined - (portRef (member dout_5 11) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_1_4 "doutNI_1[4]") (joined + (portRef (member doutNI_1 11) (instanceRef inst_MeanIntp_8)) (portRef I1 (instanceRef doutf_1_4_4)) + (portRef (member doutNI_1 11)) )) (net (rename doutf_1_4Z0Z_4 "doutf_1_4[4]") (joined (portRef LO (instanceRef doutf_1_4_4)) (portRef D (instanceRef doutf_1_4)) )) - (net (rename dout_1_3 "dout_1[3]") (joined - (portRef (member dout_1 12) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_1_3 "doutNI_1[3]") (joined + (portRef (member doutNI_1 12) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_1_4_3)) + (portRef (member doutNI_1 12)) )) - (net (rename dout_5_3 "dout_5[3]") (joined - (portRef (member dout_5 12) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_5_3 "doutNI_5[3]") (joined + (portRef (member doutNI_5 12) (instanceRef inst_MeanIntp_8)) (portRef I1 (instanceRef doutf_1_4_3)) + (portRef (member doutNI_5 12)) )) (net (rename doutf_1_4Z0Z_3 "doutf_1_4[3]") (joined (portRef LO (instanceRef doutf_1_4_3)) (portRef D (instanceRef doutf_1_3)) )) - (net (rename dout_1_2 "dout_1[2]") (joined - (portRef (member dout_1 13) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_1_2 "doutNI_1[2]") (joined + (portRef (member doutNI_1 13) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_1_4_2)) + (portRef (member doutNI_1 13)) )) - (net (rename dout_5_2 "dout_5[2]") (joined - (portRef (member dout_5 13) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_5_2 "doutNI_5[2]") (joined + (portRef (member doutNI_5 13) (instanceRef inst_MeanIntp_8)) (portRef I1 (instanceRef doutf_1_4_2)) + (portRef (member doutNI_5 13)) )) (net (rename doutf_1_4Z0Z_2 "doutf_1_4[2]") (joined (portRef LO (instanceRef doutf_1_4_2)) (portRef D (instanceRef doutf_1_2)) )) - (net (rename dout_1_1 "dout_1[1]") (joined - (portRef (member dout_1 14) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_1_1 "doutNI_1[1]") (joined + (portRef (member doutNI_1 14) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_1_4_1)) + (portRef (member doutNI_1 14)) )) - (net (rename dout_5_1 "dout_5[1]") (joined - (portRef (member dout_5 14) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_5_1 "doutNI_5[1]") (joined + (portRef (member doutNI_5 14) (instanceRef inst_MeanIntp_8)) (portRef I1 (instanceRef doutf_1_4_1)) + (portRef (member doutNI_5 14)) )) (net (rename doutf_1_4Z0Z_1 "doutf_1_4[1]") (joined (portRef LO (instanceRef doutf_1_4_1)) (portRef D (instanceRef doutf_1_1)) )) - (net (rename dout_1_0 "dout_1[0]") (joined - (portRef (member dout_1 15) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_5_0 "doutNI_5[0]") (joined + (portRef (member doutNI_5 15) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_1_4_0)) + (portRef (member doutNI_5 15)) )) - (net (rename dout_5_0 "dout_5[0]") (joined - (portRef (member dout_5 15) (instanceRef inst_MeanIntp_8)) - (portRef I1 (instanceRef doutf_1_4_0)) + (net (rename doutni_1_0 "doutNI_1[0]") (joined + (portRef (member doutNI_1 15) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_1_4_0)) + (portRef (member doutNI_1 15)) )) (net (rename doutf_1_4Z0Z_0 "doutf_1_4[0]") (joined (portRef LO (instanceRef doutf_1_4_0)) (portRef D (instanceRef doutf_1_0)) )) - (net (rename dout_2_15 "dout_2[15]") (joined - (portRef (member dout_2 0) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_6_15 "doutNI_6[15]") (joined + (portRef (member doutNI_6 0) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_2_4_15)) + (portRef (member doutNI_6 0)) )) - (net (rename dout_6_15 "dout_6[15]") (joined - (portRef (member dout_6 0) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_2_15 "doutNI_2[15]") (joined + (portRef (member doutNI_2 0) (instanceRef inst_MeanIntp_8)) (portRef I1 (instanceRef doutf_2_4_15)) + (portRef (member doutNI_2 0)) )) (net (rename doutf_2_4Z0Z_15 "doutf_2_4[15]") (joined (portRef LO (instanceRef doutf_2_4_15)) (portRef D (instanceRef doutf_2_15)) )) - (net (rename dout_6_14 "dout_6[14]") (joined - (portRef (member dout_6 1) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_6_14 "doutNI_6[14]") (joined + (portRef (member doutNI_6 1) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_2_4_14)) + (portRef (member doutNI_6 1)) )) - (net (rename dout_2_14 "dout_2[14]") (joined - (portRef (member dout_2 1) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_2_14 "doutNI_2[14]") (joined + (portRef (member doutNI_2 1) (instanceRef inst_MeanIntp_8)) (portRef I1 (instanceRef doutf_2_4_14)) + (portRef (member doutNI_2 1)) )) (net (rename doutf_2_4Z0Z_14 "doutf_2_4[14]") (joined (portRef LO (instanceRef doutf_2_4_14)) (portRef D (instanceRef doutf_2_14)) )) - (net (rename dout_2_13 "dout_2[13]") (joined - (portRef (member dout_2 2) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_6_13 "doutNI_6[13]") (joined + (portRef (member doutNI_6 2) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_2_4_13)) + (portRef (member doutNI_6 2)) )) - (net (rename dout_6_13 "dout_6[13]") (joined - (portRef (member dout_6 2) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_2_13 "doutNI_2[13]") (joined + (portRef (member doutNI_2 2) (instanceRef inst_MeanIntp_8)) (portRef I1 (instanceRef doutf_2_4_13)) + (portRef (member doutNI_2 2)) )) (net (rename doutf_2_4Z0Z_13 "doutf_2_4[13]") (joined (portRef LO (instanceRef doutf_2_4_13)) (portRef D (instanceRef doutf_2_13)) )) - (net (rename dout_6_12 "dout_6[12]") (joined - (portRef (member dout_6 3) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_6_12 "doutNI_6[12]") (joined + (portRef (member doutNI_6 3) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_2_4_12)) + (portRef (member doutNI_6 3)) )) - (net (rename dout_2_12 "dout_2[12]") (joined - (portRef (member dout_2 3) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_2_12 "doutNI_2[12]") (joined + (portRef (member doutNI_2 3) (instanceRef inst_MeanIntp_8)) (portRef I1 (instanceRef doutf_2_4_12)) + (portRef (member doutNI_2 3)) )) (net (rename doutf_2_4Z0Z_12 "doutf_2_4[12]") (joined (portRef LO (instanceRef doutf_2_4_12)) (portRef D (instanceRef doutf_2_12)) )) - (net (rename dout_6_11 "dout_6[11]") (joined - (portRef (member dout_6 4) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_6_11 "doutNI_6[11]") (joined + (portRef (member doutNI_6 4) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_2_4_11)) + (portRef (member doutNI_6 4)) )) - (net (rename dout_2_11 "dout_2[11]") (joined - (portRef (member dout_2 4) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_2_11 "doutNI_2[11]") (joined + (portRef (member doutNI_2 4) (instanceRef inst_MeanIntp_8)) (portRef I1 (instanceRef doutf_2_4_11)) + (portRef (member doutNI_2 4)) )) (net (rename doutf_2_4Z0Z_11 "doutf_2_4[11]") (joined (portRef LO (instanceRef doutf_2_4_11)) (portRef D (instanceRef doutf_2_11)) )) - (net (rename dout_2_10 "dout_2[10]") (joined - (portRef (member dout_2 5) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_6_10 "doutNI_6[10]") (joined + (portRef (member doutNI_6 5) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_2_4_10)) + (portRef (member doutNI_6 5)) )) - (net (rename dout_6_10 "dout_6[10]") (joined - (portRef (member dout_6 5) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_2_10 "doutNI_2[10]") (joined + (portRef (member doutNI_2 5) (instanceRef inst_MeanIntp_8)) (portRef I1 (instanceRef doutf_2_4_10)) + (portRef (member doutNI_2 5)) )) (net (rename doutf_2_4Z0Z_10 "doutf_2_4[10]") (joined (portRef LO (instanceRef doutf_2_4_10)) (portRef D (instanceRef doutf_2_10)) )) - (net (rename dout_6_9 "dout_6[9]") (joined - (portRef (member dout_6 6) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_6_9 "doutNI_6[9]") (joined + (portRef (member doutNI_6 6) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_2_4_9)) + (portRef (member doutNI_6 6)) )) - (net (rename dout_2_9 "dout_2[9]") (joined - (portRef (member dout_2 6) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_2_9 "doutNI_2[9]") (joined + (portRef (member doutNI_2 6) (instanceRef inst_MeanIntp_8)) (portRef I1 (instanceRef doutf_2_4_9)) + (portRef (member doutNI_2 6)) )) (net (rename doutf_2_4Z0Z_9 "doutf_2_4[9]") (joined (portRef LO (instanceRef doutf_2_4_9)) (portRef D (instanceRef doutf_2_9)) )) - (net (rename dout_2_8 "dout_2[8]") (joined - (portRef (member dout_2 7) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_6_8 "doutNI_6[8]") (joined + (portRef (member doutNI_6 7) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_2_4_8)) + (portRef (member doutNI_6 7)) )) - (net (rename dout_6_8 "dout_6[8]") (joined - (portRef (member dout_6 7) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_2_8 "doutNI_2[8]") (joined + (portRef (member doutNI_2 7) (instanceRef inst_MeanIntp_8)) (portRef I1 (instanceRef doutf_2_4_8)) + (portRef (member doutNI_2 7)) )) (net (rename doutf_2_4Z0Z_8 "doutf_2_4[8]") (joined (portRef LO (instanceRef doutf_2_4_8)) (portRef D (instanceRef doutf_2_8)) )) - (net (rename dout_6_7 "dout_6[7]") (joined - (portRef (member dout_6 8) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_6_7 "doutNI_6[7]") (joined + (portRef (member doutNI_6 8) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_2_4_7)) + (portRef (member doutNI_6 8)) )) - (net (rename dout_2_7 "dout_2[7]") (joined - (portRef (member dout_2 8) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_2_7 "doutNI_2[7]") (joined + (portRef (member doutNI_2 8) (instanceRef inst_MeanIntp_8)) (portRef I1 (instanceRef doutf_2_4_7)) + (portRef (member doutNI_2 8)) )) (net (rename doutf_2_4Z0Z_7 "doutf_2_4[7]") (joined (portRef LO (instanceRef doutf_2_4_7)) (portRef D (instanceRef doutf_2_7)) )) - (net (rename dout_2_6 "dout_2[6]") (joined - (portRef (member dout_2 9) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_6_6 "doutNI_6[6]") (joined + (portRef (member doutNI_6 9) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_2_4_6)) + (portRef (member doutNI_6 9)) )) - (net (rename dout_6_6 "dout_6[6]") (joined - (portRef (member dout_6 9) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_2_6 "doutNI_2[6]") (joined + (portRef (member doutNI_2 9) (instanceRef inst_MeanIntp_8)) (portRef I1 (instanceRef doutf_2_4_6)) + (portRef (member doutNI_2 9)) )) (net (rename doutf_2_4Z0Z_6 "doutf_2_4[6]") (joined (portRef LO (instanceRef doutf_2_4_6)) (portRef D (instanceRef doutf_2_6)) )) - (net (rename dout_2_5 "dout_2[5]") (joined - (portRef (member dout_2 10) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_6_5 "doutNI_6[5]") (joined + (portRef (member doutNI_6 10) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_2_4_5)) + (portRef (member doutNI_6 10)) )) - (net (rename dout_6_5 "dout_6[5]") (joined - (portRef (member dout_6 10) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_2_5 "doutNI_2[5]") (joined + (portRef (member doutNI_2 10) (instanceRef inst_MeanIntp_8)) (portRef I1 (instanceRef doutf_2_4_5)) + (portRef (member doutNI_2 10)) )) (net (rename doutf_2_4Z0Z_5 "doutf_2_4[5]") (joined (portRef LO (instanceRef doutf_2_4_5)) (portRef D (instanceRef doutf_2_5)) )) - (net (rename dout_6_4 "dout_6[4]") (joined - (portRef (member dout_6 11) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_6_4 "doutNI_6[4]") (joined + (portRef (member doutNI_6 11) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_2_4_4)) + (portRef (member doutNI_6 11)) )) - (net (rename dout_2_4 "dout_2[4]") (joined - (portRef (member dout_2 11) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_2_4 "doutNI_2[4]") (joined + (portRef (member doutNI_2 11) (instanceRef inst_MeanIntp_8)) (portRef I1 (instanceRef doutf_2_4_4)) + (portRef (member doutNI_2 11)) )) (net (rename doutf_2_4Z0Z_4 "doutf_2_4[4]") (joined (portRef LO (instanceRef doutf_2_4_4)) (portRef D (instanceRef doutf_2_4)) )) - (net (rename dout_2_3 "dout_2[3]") (joined - (portRef (member dout_2 12) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_2_3 "doutNI_2[3]") (joined + (portRef (member doutNI_2 12) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_2_4_3)) + (portRef (member doutNI_2 12)) )) - (net (rename dout_6_3 "dout_6[3]") (joined - (portRef (member dout_6 12) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_6_3 "doutNI_6[3]") (joined + (portRef (member doutNI_6 12) (instanceRef inst_MeanIntp_8)) (portRef I1 (instanceRef doutf_2_4_3)) + (portRef (member doutNI_6 12)) )) (net (rename doutf_2_4Z0Z_3 "doutf_2_4[3]") (joined (portRef LO (instanceRef doutf_2_4_3)) (portRef D (instanceRef doutf_2_3)) )) - (net (rename dout_2_2 "dout_2[2]") (joined - (portRef (member dout_2 13) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_2_2 "doutNI_2[2]") (joined + (portRef (member doutNI_2 13) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_2_4_2)) + (portRef (member doutNI_2 13)) )) - (net (rename dout_6_2 "dout_6[2]") (joined - (portRef (member dout_6 13) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_6_2 "doutNI_6[2]") (joined + (portRef (member doutNI_6 13) (instanceRef inst_MeanIntp_8)) (portRef I1 (instanceRef doutf_2_4_2)) + (portRef (member doutNI_6 13)) )) (net (rename doutf_2_4Z0Z_2 "doutf_2_4[2]") (joined (portRef LO (instanceRef doutf_2_4_2)) (portRef D (instanceRef doutf_2_2)) )) - (net (rename dout_2_1 "dout_2[1]") (joined - (portRef (member dout_2 14) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_2_1 "doutNI_2[1]") (joined + (portRef (member doutNI_2 14) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_2_4_1)) + (portRef (member doutNI_2 14)) )) - (net (rename dout_6_1 "dout_6[1]") (joined - (portRef (member dout_6 14) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_6_1 "doutNI_6[1]") (joined + (portRef (member doutNI_6 14) (instanceRef inst_MeanIntp_8)) (portRef I1 (instanceRef doutf_2_4_1)) + (portRef (member doutNI_6 14)) )) (net (rename doutf_2_4Z0Z_1 "doutf_2_4[1]") (joined (portRef LO (instanceRef doutf_2_4_1)) (portRef D (instanceRef doutf_2_1)) )) - (net (rename dout_2_0 "dout_2[0]") (joined - (portRef (member dout_2 15) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_6_0 "doutNI_6[0]") (joined + (portRef (member doutNI_6 15) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_2_4_0)) + (portRef (member doutNI_6 15)) )) - (net (rename dout_6_0 "dout_6[0]") (joined - (portRef (member dout_6 15) (instanceRef inst_MeanIntp_8)) - (portRef I1 (instanceRef doutf_2_4_0)) + (net (rename doutni_2_0 "doutNI_2[0]") (joined + (portRef (member doutNI_2 15) (instanceRef inst_MeanIntp_8)) + (portRef I2 (instanceRef doutf_2_4_0)) + (portRef (member doutNI_2 15)) )) (net (rename doutf_2_4Z0Z_0 "doutf_2_4[0]") (joined (portRef LO (instanceRef doutf_2_4_0)) (portRef D (instanceRef doutf_2_0)) )) - (net (rename dout_3_15 "dout_3[15]") (joined - (portRef (member dout_3 0) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_7_15 "doutNI_7[15]") (joined + (portRef (member doutNI_7 0) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_3_4_15)) + (portRef (member doutNI_7 0)) )) - (net (rename dout_7_15 "dout_7[15]") (joined - (portRef (member dout_7 0) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_3_15 "doutNI_3[15]") (joined + (portRef (member doutNI_3 0) (instanceRef inst_MeanIntp_8)) (portRef I1 (instanceRef doutf_3_4_15)) + (portRef (member doutNI_3 0)) )) (net (rename doutf_3_4Z0Z_15 "doutf_3_4[15]") (joined (portRef LO (instanceRef doutf_3_4_15)) (portRef D (instanceRef doutf_3_15)) )) - (net (rename dout_7_14 "dout_7[14]") (joined - (portRef (member dout_7 1) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_7_14 "doutNI_7[14]") (joined + (portRef (member doutNI_7 1) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_3_4_14)) + (portRef (member doutNI_7 1)) )) - (net (rename dout_3_14 "dout_3[14]") (joined - (portRef (member dout_3 1) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_3_14 "doutNI_3[14]") (joined + (portRef (member doutNI_3 1) (instanceRef inst_MeanIntp_8)) (portRef I1 (instanceRef doutf_3_4_14)) + (portRef (member doutNI_3 1)) )) (net (rename doutf_3_4Z0Z_14 "doutf_3_4[14]") (joined (portRef LO (instanceRef doutf_3_4_14)) (portRef D (instanceRef doutf_3_14)) )) - (net (rename dout_7_13 "dout_7[13]") (joined - (portRef (member dout_7 2) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_7_13 "doutNI_7[13]") (joined + (portRef (member doutNI_7 2) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_3_4_13)) + (portRef (member doutNI_7 2)) )) - (net (rename dout_3_13 "dout_3[13]") (joined - (portRef (member dout_3 2) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_3_13 "doutNI_3[13]") (joined + (portRef (member doutNI_3 2) (instanceRef inst_MeanIntp_8)) (portRef I1 (instanceRef doutf_3_4_13)) + (portRef (member doutNI_3 2)) )) (net (rename doutf_3_4Z0Z_13 "doutf_3_4[13]") (joined (portRef LO (instanceRef doutf_3_4_13)) (portRef D (instanceRef doutf_3_13)) )) - (net (rename dout_7_12 "dout_7[12]") (joined - (portRef (member dout_7 3) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_7_12 "doutNI_7[12]") (joined + (portRef (member doutNI_7 3) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_3_4_12)) + (portRef (member doutNI_7 3)) )) - (net (rename dout_3_12 "dout_3[12]") (joined - (portRef (member dout_3 3) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_3_12 "doutNI_3[12]") (joined + (portRef (member doutNI_3 3) (instanceRef inst_MeanIntp_8)) (portRef I1 (instanceRef doutf_3_4_12)) + (portRef (member doutNI_3 3)) )) (net (rename doutf_3_4Z0Z_12 "doutf_3_4[12]") (joined (portRef LO (instanceRef doutf_3_4_12)) (portRef D (instanceRef doutf_3_12)) )) - (net (rename dout_7_11 "dout_7[11]") (joined - (portRef (member dout_7 4) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_7_11 "doutNI_7[11]") (joined + (portRef (member doutNI_7 4) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_3_4_11)) + (portRef (member doutNI_7 4)) )) - (net (rename dout_3_11 "dout_3[11]") (joined - (portRef (member dout_3 4) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_3_11 "doutNI_3[11]") (joined + (portRef (member doutNI_3 4) (instanceRef inst_MeanIntp_8)) (portRef I1 (instanceRef doutf_3_4_11)) + (portRef (member doutNI_3 4)) )) (net (rename doutf_3_4Z0Z_11 "doutf_3_4[11]") (joined (portRef LO (instanceRef doutf_3_4_11)) (portRef D (instanceRef doutf_3_11)) )) - (net (rename dout_7_10 "dout_7[10]") (joined - (portRef (member dout_7 5) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_7_10 "doutNI_7[10]") (joined + (portRef (member doutNI_7 5) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_3_4_10)) + (portRef (member doutNI_7 5)) )) - (net (rename dout_3_10 "dout_3[10]") (joined - (portRef (member dout_3 5) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_3_10 "doutNI_3[10]") (joined + (portRef (member doutNI_3 5) (instanceRef inst_MeanIntp_8)) (portRef I1 (instanceRef doutf_3_4_10)) + (portRef (member doutNI_3 5)) )) (net (rename doutf_3_4Z0Z_10 "doutf_3_4[10]") (joined (portRef LO (instanceRef doutf_3_4_10)) (portRef D (instanceRef doutf_3_10)) )) - (net (rename dout_7_9 "dout_7[9]") (joined - (portRef (member dout_7 6) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_7_9 "doutNI_7[9]") (joined + (portRef (member doutNI_7 6) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_3_4_9)) + (portRef (member doutNI_7 6)) )) - (net (rename dout_3_9 "dout_3[9]") (joined - (portRef (member dout_3 6) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_3_9 "doutNI_3[9]") (joined + (portRef (member doutNI_3 6) (instanceRef inst_MeanIntp_8)) (portRef I1 (instanceRef doutf_3_4_9)) + (portRef (member doutNI_3 6)) )) (net (rename doutf_3_4Z0Z_9 "doutf_3_4[9]") (joined (portRef LO (instanceRef doutf_3_4_9)) (portRef D (instanceRef doutf_3_9)) )) - (net (rename dout_7_8 "dout_7[8]") (joined - (portRef (member dout_7 7) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_7_8 "doutNI_7[8]") (joined + (portRef (member doutNI_7 7) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_3_4_8)) + (portRef (member doutNI_7 7)) )) - (net (rename dout_3_8 "dout_3[8]") (joined - (portRef (member dout_3 7) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_3_8 "doutNI_3[8]") (joined + (portRef (member doutNI_3 7) (instanceRef inst_MeanIntp_8)) (portRef I1 (instanceRef doutf_3_4_8)) + (portRef (member doutNI_3 7)) )) (net (rename doutf_3_4Z0Z_8 "doutf_3_4[8]") (joined (portRef LO (instanceRef doutf_3_4_8)) (portRef D (instanceRef doutf_3_8)) )) - (net (rename dout_3_7 "dout_3[7]") (joined - (portRef (member dout_3 8) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_7_7 "doutNI_7[7]") (joined + (portRef (member doutNI_7 8) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_3_4_7)) + (portRef (member doutNI_7 8)) )) - (net (rename dout_7_7 "dout_7[7]") (joined - (portRef (member dout_7 8) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_3_7 "doutNI_3[7]") (joined + (portRef (member doutNI_3 8) (instanceRef inst_MeanIntp_8)) (portRef I1 (instanceRef doutf_3_4_7)) + (portRef (member doutNI_3 8)) )) (net (rename doutf_3_4Z0Z_7 "doutf_3_4[7]") (joined (portRef LO (instanceRef doutf_3_4_7)) (portRef D (instanceRef doutf_3_7)) )) - (net (rename dout_7_6 "dout_7[6]") (joined - (portRef (member dout_7 9) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_7_6 "doutNI_7[6]") (joined + (portRef (member doutNI_7 9) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_3_4_6)) + (portRef (member doutNI_7 9)) )) - (net (rename dout_3_6 "dout_3[6]") (joined - (portRef (member dout_3 9) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_3_6 "doutNI_3[6]") (joined + (portRef (member doutNI_3 9) (instanceRef inst_MeanIntp_8)) (portRef I1 (instanceRef doutf_3_4_6)) + (portRef (member doutNI_3 9)) )) (net (rename doutf_3_4Z0Z_6 "doutf_3_4[6]") (joined (portRef LO (instanceRef doutf_3_4_6)) (portRef D (instanceRef doutf_3_6)) )) - (net (rename dout_3_5 "dout_3[5]") (joined - (portRef (member dout_3 10) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_7_5 "doutNI_7[5]") (joined + (portRef (member doutNI_7 10) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_3_4_5)) + (portRef (member doutNI_7 10)) )) - (net (rename dout_7_5 "dout_7[5]") (joined - (portRef (member dout_7 10) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_3_5 "doutNI_3[5]") (joined + (portRef (member doutNI_3 10) (instanceRef inst_MeanIntp_8)) (portRef I1 (instanceRef doutf_3_4_5)) + (portRef (member doutNI_3 10)) )) (net (rename doutf_3_4Z0Z_5 "doutf_3_4[5]") (joined (portRef LO (instanceRef doutf_3_4_5)) (portRef D (instanceRef doutf_3_5)) )) - (net (rename dout_7_4 "dout_7[4]") (joined - (portRef (member dout_7 11) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_7_4 "doutNI_7[4]") (joined + (portRef (member doutNI_7 11) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_3_4_4)) + (portRef (member doutNI_7 11)) )) - (net (rename dout_3_4 "dout_3[4]") (joined - (portRef (member dout_3 11) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_3_4 "doutNI_3[4]") (joined + (portRef (member doutNI_3 11) (instanceRef inst_MeanIntp_8)) (portRef I1 (instanceRef doutf_3_4_4)) + (portRef (member doutNI_3 11)) )) (net (rename doutf_3_4Z0Z_4 "doutf_3_4[4]") (joined (portRef LO (instanceRef doutf_3_4_4)) (portRef D (instanceRef doutf_3_4)) )) - (net (rename dout_7_3 "dout_7[3]") (joined - (portRef (member dout_7 12) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_7_3 "doutNI_7[3]") (joined + (portRef (member doutNI_7 12) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_3_4_3)) + (portRef (member doutNI_7 12)) )) - (net (rename dout_3_3 "dout_3[3]") (joined - (portRef (member dout_3 12) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_3_3 "doutNI_3[3]") (joined + (portRef (member doutNI_3 12) (instanceRef inst_MeanIntp_8)) (portRef I1 (instanceRef doutf_3_4_3)) + (portRef (member doutNI_3 12)) )) (net (rename doutf_3_4Z0Z_3 "doutf_3_4[3]") (joined (portRef LO (instanceRef doutf_3_4_3)) (portRef D (instanceRef doutf_3_3)) )) - (net (rename dout_7_2 "dout_7[2]") (joined - (portRef (member dout_7 13) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_7_2 "doutNI_7[2]") (joined + (portRef (member doutNI_7 13) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_3_4_2)) + (portRef (member doutNI_7 13)) )) - (net (rename dout_3_2 "dout_3[2]") (joined - (portRef (member dout_3 13) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_3_2 "doutNI_3[2]") (joined + (portRef (member doutNI_3 13) (instanceRef inst_MeanIntp_8)) (portRef I1 (instanceRef doutf_3_4_2)) + (portRef (member doutNI_3 13)) )) (net (rename doutf_3_4Z0Z_2 "doutf_3_4[2]") (joined (portRef LO (instanceRef doutf_3_4_2)) (portRef D (instanceRef doutf_3_2)) )) - (net (rename dout_3_1 "dout_3[1]") (joined - (portRef (member dout_3 14) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_7_1 "doutNI_7[1]") (joined + (portRef (member doutNI_7 14) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_3_4_1)) + (portRef (member doutNI_7 14)) )) - (net (rename dout_7_1 "dout_7[1]") (joined - (portRef (member dout_7 14) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_3_1 "doutNI_3[1]") (joined + (portRef (member doutNI_3 14) (instanceRef inst_MeanIntp_8)) (portRef I1 (instanceRef doutf_3_4_1)) + (portRef (member doutNI_3 14)) )) (net (rename doutf_3_4Z0Z_1 "doutf_3_4[1]") (joined (portRef LO (instanceRef doutf_3_4_1)) (portRef D (instanceRef doutf_3_1)) )) - (net (rename dout_7_0 "dout_7[0]") (joined - (portRef (member dout_7 15) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_3_0 "doutNI_3[0]") (joined + (portRef (member doutNI_3 15) (instanceRef inst_MeanIntp_8)) (portRef I0 (instanceRef doutf_3_4_0)) + (portRef (member doutNI_3 15)) )) - (net (rename dout_3_0 "dout_3[0]") (joined - (portRef (member dout_3 15) (instanceRef inst_MeanIntp_8)) + (net (rename doutni_7_0 "doutNI_7[0]") (joined + (portRef (member doutNI_7 15) (instanceRef inst_MeanIntp_8)) (portRef I1 (instanceRef doutf_3_4_0)) + (portRef (member doutNI_7 15)) )) (net (rename doutf_3_4Z0Z_0 "doutf_3_4[0]") (joined (portRef LO (instanceRef doutf_3_4_0)) (portRef D (instanceRef doutf_3_0)) )) - (net (rename vldo_r_1168_0_DOUTZ0Z_0 "vldo_r_1168_0_DOUT[0]") (joined - (portRef Q (instanceRef vldo_r_1168_0_DOUT_0)) - (portRef I0 (instanceRef vldo_r_1168_0_DOUT_RNI4CEO_0)) + (net (rename vldo_r_976_0_DOUTZ0Z_0 "vldo_r_976_0_DOUT[0]") (joined + (portRef Q (instanceRef vldo_r_976_0_DOUT_0)) + (portRef I0 (instanceRef vldo_r_976_0_DOUT_RNI6N3K_0)) )) - (net (rename vldo_r_1168_0_DOUT_RNI4CEOZ0Z_0 "vldo_r_1168_0_DOUT_RNI4CEO[0]") (joined - (portRef O (instanceRef vldo_r_1168_0_DOUT_RNI4CEO_0)) - (portRef vldo_r_1168_0_DOUT_RNI4CEO_0 (instanceRef inst_TailCorr_top)) - (portRef D (instanceRef vldo_r_1172_0_inst_z_dsp_vldo_r_1172_1)) + (net (rename vldo_r_976_0_DOUT_RNI6N3KZ0Z_0 "vldo_r_976_0_DOUT_RNI6N3K[0]") (joined + (portRef O (instanceRef vldo_r_976_0_DOUT_RNI6N3K_0)) + (portRef vldo_r_976_0_DOUT_RNI6N3K_0 (instanceRef inst_TailCorr_top)) + (portRef D (instanceRef vldo_r_980_0_inst_z_dsp_vldo_r_980_1)) )) - (net (rename vldo_r_1172_0_DOUTZ0Z_0 "vldo_r_1172_0_DOUT[0]") (joined - (portRef Q (instanceRef vldo_r_1172_0_DOUT_0)) - (portRef I0 (instanceRef vldo_r_1172_1195_RNIB8HK)) + (net (rename vldo_r_980_0_DOUTZ0Z_0 "vldo_r_980_0_DOUT[0]") (joined + (portRef Q (instanceRef vldo_r_980_0_DOUT_0)) + (portRef I0 (instanceRef vldo_r_980_1003_RNIB0LL)) )) - (net (rename vldo_r_1172Z0Z_1195 "vldo_r_1172_1195") (joined - (portRef Q (instanceRef vldo_r_1172_1195)) - (portRef I1 (instanceRef vldo_r_1172_1195_RNIB8HK)) + (net (rename vldo_r_980Z0Z_1003 "vldo_r_980_1003") (joined + (portRef Q (instanceRef vldo_r_980_1003)) + (portRef I1 (instanceRef vldo_r_980_1003_RNIB0LL)) )) (net (rename vldo_r_DOUTZ0Z_0 "vldo_r_DOUT[0]") (joined (portRef Q (instanceRef vldo_r_DOUT_0)) - (portRef I2 (instanceRef vldo_r_1172_1195_RNIB8HK)) + (portRef I2 (instanceRef vldo_r_980_1003_RNIB0LL)) )) (net vldo (joined - (portRef O (instanceRef vldo_r_1172_1195_RNIB8HK)) + (portRef O (instanceRef vldo_r_980_1003_RNIB0LL)) (portRef vldo) )) - (net (rename vldo_r_1172_0_tmp_d_array_0_0 "vldo_r_1172_0_tmp_d_array_0[0]") (joined - (portRef Q (instanceRef vldo_r_1172_0_inst_z_dsp_vldo_r_1172_1)) - (portRef D (instanceRef vldo_r_1172_0_DOUT_0)) + (net (rename vldo_r_980_0_tmp_d_array_0_0 "vldo_r_980_0_tmp_d_array_0[0]") (joined + (portRef Q (instanceRef vldo_r_980_0_inst_z_dsp_vldo_r_980_1)) + (portRef D (instanceRef vldo_r_980_0_DOUT_0)) )) (net (rename GNDZ0 "GND") (joined (portRef G (instanceRef GND)) (portRef A3 (instanceRef vldo_r_inst_z_dsp_vldo_r_1)) (portRef A1 (instanceRef vldo_r_inst_z_dsp_vldo_r_1)) - (portRef A3 (instanceRef vldo_r_1168_0_inst_z_dsp_inst_TailCorr_top_din_r4_1)) - (portRef A2 (instanceRef vldo_r_1168_0_inst_z_dsp_inst_TailCorr_top_din_r4_1)) - (portRef A1 (instanceRef vldo_r_1168_0_inst_z_dsp_inst_TailCorr_top_din_r4_1)) - (portRef A3 (instanceRef vldo_r_1172_0_inst_z_dsp_vldo_r_1172_1)) - (portRef A2 (instanceRef vldo_r_1172_0_inst_z_dsp_vldo_r_1172_1)) - (portRef A0 (instanceRef vldo_r_1172_0_inst_z_dsp_vldo_r_1172_1)) + (portRef A3 (instanceRef vldo_r_976_0_inst_z_dsp_inst_TailCorr_top_din_r4_1)) + (portRef A2 (instanceRef vldo_r_976_0_inst_z_dsp_inst_TailCorr_top_din_r4_1)) + (portRef A1 (instanceRef vldo_r_976_0_inst_z_dsp_inst_TailCorr_top_din_r4_1)) + (portRef A3 (instanceRef vldo_r_980_0_inst_z_dsp_vldo_r_980_1)) + (portRef A2 (instanceRef vldo_r_980_0_inst_z_dsp_vldo_r_980_1)) + (portRef A0 (instanceRef vldo_r_980_0_inst_z_dsp_vldo_r_980_1)) )) - (net (rename vldo_r_1168_0_tmp_d_array_0_0 "vldo_r_1168_0_tmp_d_array_0[0]") (joined - (portRef Q (instanceRef vldo_r_1168_0_inst_z_dsp_inst_TailCorr_top_din_r4_1)) - (portRef D (instanceRef vldo_r_1168_0_DOUT_0)) + (net (rename vldo_r_976_0_tmp_d_array_0_0 "vldo_r_976_0_tmp_d_array_0[0]") (joined + (portRef Q (instanceRef vldo_r_976_0_inst_z_dsp_inst_TailCorr_top_din_r4_1)) + (portRef D (instanceRef vldo_r_976_0_DOUT_0)) )) (net (rename vldo_r_tmp_d_array_0_0 "vldo_r_tmp_d_array_0[0]") (joined (portRef Q (instanceRef vldo_r_inst_z_dsp_vldo_r_1)) @@ -86312,13 +86652,25 @@ (portRef (member a0_re 0)) (portRef (member a0_re 0) (instanceRef inst_TailCorr_top)) )) + (net IIR_out_1 (joined + (portRef IIR_out_1 (instanceRef inst_TailCorr_top)) + (portRef IIR_out_1 (instanceRef inst_MeanIntp_8)) + )) + (net IIR_out_2 (joined + (portRef IIR_out_2 (instanceRef inst_TailCorr_top)) + (portRef IIR_out_2 (instanceRef inst_MeanIntp_8)) + )) + (net IIR_out_4 (joined + (portRef IIR_out_4 (instanceRef inst_TailCorr_top)) + (portRef IIR_out_4 (instanceRef inst_MeanIntp_8)) + )) (net tc_bypass (joined (portRef tc_bypass) (portRef tc_bypass (instanceRef inst_TailCorr_top)) )) - (net en (joined - (portRef en) - (portRef en (instanceRef inst_TailCorr_top)) + (net en_rep_1 (joined + (portRef en_rep_1) + (portRef en_rep_1 (instanceRef inst_TailCorr_top)) )) (net IIR_out_12 (joined (portRef IIR_out_12 (instanceRef inst_TailCorr_top)) @@ -86352,22 +86704,10 @@ (portRef IIR_out_5 (instanceRef inst_TailCorr_top)) (portRef IIR_out_5 (instanceRef inst_MeanIntp_8)) )) - (net IIR_out_4 (joined - (portRef IIR_out_4 (instanceRef inst_TailCorr_top)) - (portRef IIR_out_4 (instanceRef inst_MeanIntp_8)) - )) (net IIR_out_3 (joined (portRef IIR_out_3 (instanceRef inst_TailCorr_top)) (portRef IIR_out_3 (instanceRef inst_MeanIntp_8)) )) - (net IIR_out_2 (joined - (portRef IIR_out_2 (instanceRef inst_TailCorr_top)) - (portRef IIR_out_2 (instanceRef inst_MeanIntp_8)) - )) - (net IIR_out_1 (joined - (portRef IIR_out_1 (instanceRef inst_TailCorr_top)) - (portRef IIR_out_1 (instanceRef inst_MeanIntp_8)) - )) (net IIR_out_0 (joined (portRef IIR_out_0 (instanceRef inst_TailCorr_top)) (portRef IIR_out_0 (instanceRef inst_MeanIntp_8)) @@ -86466,6 +86806,14 @@ (port (array (rename dout1 "dout1[15:0]") 16) (direction OUTPUT)) (port (array (rename dout2 "dout2[15:0]") 16) (direction OUTPUT)) (port (array (rename dout3 "dout3[15:0]") 16) (direction OUTPUT)) + (port (array (rename doutNI_0 "doutNI_0[15:0]") 16) (direction OUTPUT)) + (port (array (rename doutNI_1 "doutNI_1[15:0]") 16) (direction OUTPUT)) + (port (array (rename doutNI_2 "doutNI_2[15:0]") 16) (direction OUTPUT)) + (port (array (rename doutNI_3 "doutNI_3[15:0]") 16) (direction OUTPUT)) + (port (array (rename doutNI_4 "doutNI_4[15:0]") 16) (direction OUTPUT)) + (port (array (rename doutNI_5 "doutNI_5[15:0]") 16) (direction OUTPUT)) + (port (array (rename doutNI_6 "doutNI_6[15:0]") 16) (direction OUTPUT)) + (port (array (rename doutNI_7 "doutNI_7[15:0]") 16) (direction OUTPUT)) (port clk (direction INPUT)) (port rstn (direction INPUT)) (port tc_bypass (direction INPUT)) @@ -86479,6 +86827,10 @@ (port saturation_5 (direction OUTPUT)) ) (contents + (instance en_rep_3 (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance en_rep_2 (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) (instance en_rep_1 (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) ) (instance en_rep_0 (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) @@ -86486,8 +86838,6 @@ (instance en_RNO (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) (property INIT (string "2'h1")) ) - (instance en (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) - ) (instance rstn_buf (viewRef PRIM (cellRef BUFG (libraryRef VIRTEX))) ) (instance inst_z_dsp (viewRef netlist (cellRef z_dsp)) @@ -86495,9 +86845,10 @@ (net clk (joined (portRef clk) (portRef clk (instanceRef inst_z_dsp)) - (portRef C (instanceRef en)) (portRef C (instanceRef en_rep_0)) (portRef C (instanceRef en_rep_1)) + (portRef C (instanceRef en_rep_2)) + (portRef C (instanceRef en_rep_3)) )) (net rstn (joined (portRef rstn) @@ -89517,6 +89868,518 @@ (portRef (member dout3 0) (instanceRef inst_z_dsp)) (portRef (member dout3 0)) )) + (net (rename doutni_0_0 "doutNI_0[0]") (joined + (portRef (member doutNI_0 15) (instanceRef inst_z_dsp)) + (portRef (member doutNI_0 15)) + )) + (net (rename doutni_0_1 "doutNI_0[1]") (joined + (portRef (member doutNI_0 14) (instanceRef inst_z_dsp)) + (portRef (member doutNI_0 14)) + )) + (net (rename doutni_0_2 "doutNI_0[2]") (joined + (portRef (member doutNI_0 13) (instanceRef inst_z_dsp)) + (portRef (member doutNI_0 13)) + )) + (net (rename doutni_0_3 "doutNI_0[3]") (joined + (portRef (member doutNI_0 12) (instanceRef inst_z_dsp)) + (portRef (member doutNI_0 12)) + )) + (net (rename doutni_0_4 "doutNI_0[4]") (joined + (portRef (member doutNI_0 11) (instanceRef inst_z_dsp)) + (portRef (member doutNI_0 11)) + )) + (net (rename doutni_0_5 "doutNI_0[5]") (joined + (portRef (member doutNI_0 10) (instanceRef inst_z_dsp)) + (portRef (member doutNI_0 10)) + )) + (net (rename doutni_0_6 "doutNI_0[6]") (joined + (portRef (member doutNI_0 9) (instanceRef inst_z_dsp)) + (portRef (member doutNI_0 9)) + )) + (net (rename doutni_0_7 "doutNI_0[7]") (joined + (portRef (member doutNI_0 8) (instanceRef inst_z_dsp)) + (portRef (member doutNI_0 8)) + )) + (net (rename doutni_0_8 "doutNI_0[8]") (joined + (portRef (member doutNI_0 7) (instanceRef inst_z_dsp)) + (portRef (member doutNI_0 7)) + )) + (net (rename doutni_0_9 "doutNI_0[9]") (joined + (portRef (member doutNI_0 6) (instanceRef inst_z_dsp)) + (portRef (member doutNI_0 6)) + )) + (net (rename doutni_0_10 "doutNI_0[10]") (joined + (portRef (member doutNI_0 5) (instanceRef inst_z_dsp)) + (portRef (member doutNI_0 5)) + )) + (net (rename doutni_0_11 "doutNI_0[11]") (joined + (portRef (member doutNI_0 4) (instanceRef inst_z_dsp)) + (portRef (member doutNI_0 4)) + )) + (net (rename doutni_0_12 "doutNI_0[12]") (joined + (portRef (member doutNI_0 3) (instanceRef inst_z_dsp)) + (portRef (member doutNI_0 3)) + )) + (net (rename doutni_0_13 "doutNI_0[13]") (joined + (portRef (member doutNI_0 2) (instanceRef inst_z_dsp)) + (portRef (member doutNI_0 2)) + )) + (net (rename doutni_0_14 "doutNI_0[14]") (joined + (portRef (member doutNI_0 1) (instanceRef inst_z_dsp)) + (portRef (member doutNI_0 1)) + )) + (net (rename doutni_0_15 "doutNI_0[15]") (joined + (portRef (member doutNI_0 0) (instanceRef inst_z_dsp)) + (portRef (member doutNI_0 0)) + )) + (net (rename doutni_1_0 "doutNI_1[0]") (joined + (portRef (member doutNI_1 15) (instanceRef inst_z_dsp)) + (portRef (member doutNI_1 15)) + )) + (net (rename doutni_1_1 "doutNI_1[1]") (joined + (portRef (member doutNI_1 14) (instanceRef inst_z_dsp)) + (portRef (member doutNI_1 14)) + )) + (net (rename doutni_1_2 "doutNI_1[2]") (joined + (portRef (member doutNI_1 13) (instanceRef inst_z_dsp)) + (portRef (member doutNI_1 13)) + )) + (net (rename doutni_1_3 "doutNI_1[3]") (joined + (portRef (member doutNI_1 12) (instanceRef inst_z_dsp)) + (portRef (member doutNI_1 12)) + )) + (net (rename doutni_1_4 "doutNI_1[4]") (joined + (portRef (member doutNI_1 11) (instanceRef inst_z_dsp)) + (portRef (member doutNI_1 11)) + )) + (net (rename doutni_1_5 "doutNI_1[5]") (joined + (portRef (member doutNI_1 10) (instanceRef inst_z_dsp)) + (portRef (member doutNI_1 10)) + )) + (net (rename doutni_1_6 "doutNI_1[6]") (joined + (portRef (member doutNI_1 9) (instanceRef inst_z_dsp)) + (portRef (member doutNI_1 9)) + )) + (net (rename doutni_1_7 "doutNI_1[7]") (joined + (portRef (member doutNI_1 8) (instanceRef inst_z_dsp)) + (portRef (member doutNI_1 8)) + )) + (net (rename doutni_1_8 "doutNI_1[8]") (joined + (portRef (member doutNI_1 7) (instanceRef inst_z_dsp)) + (portRef (member doutNI_1 7)) + )) + (net (rename doutni_1_9 "doutNI_1[9]") (joined + (portRef (member doutNI_1 6) (instanceRef inst_z_dsp)) + (portRef (member doutNI_1 6)) + )) + (net (rename doutni_1_10 "doutNI_1[10]") (joined + (portRef (member doutNI_1 5) (instanceRef inst_z_dsp)) + (portRef (member doutNI_1 5)) + )) + (net (rename doutni_1_11 "doutNI_1[11]") (joined + (portRef (member doutNI_1 4) (instanceRef inst_z_dsp)) + (portRef (member doutNI_1 4)) + )) + (net (rename doutni_1_12 "doutNI_1[12]") (joined + (portRef (member doutNI_1 3) (instanceRef inst_z_dsp)) + (portRef (member doutNI_1 3)) + )) + (net (rename doutni_1_13 "doutNI_1[13]") (joined + (portRef (member doutNI_1 2) (instanceRef inst_z_dsp)) + (portRef (member doutNI_1 2)) + )) + (net (rename doutni_1_14 "doutNI_1[14]") (joined + (portRef (member doutNI_1 1) (instanceRef inst_z_dsp)) + (portRef (member doutNI_1 1)) + )) + (net (rename doutni_1_15 "doutNI_1[15]") (joined + (portRef (member doutNI_1 0) (instanceRef inst_z_dsp)) + (portRef (member doutNI_1 0)) + )) + (net (rename doutni_2_0 "doutNI_2[0]") (joined + (portRef (member doutNI_2 15) (instanceRef inst_z_dsp)) + (portRef (member doutNI_2 15)) + )) + (net (rename doutni_2_1 "doutNI_2[1]") (joined + (portRef (member doutNI_2 14) (instanceRef inst_z_dsp)) + (portRef (member doutNI_2 14)) + )) + (net (rename doutni_2_2 "doutNI_2[2]") (joined + (portRef (member doutNI_2 13) (instanceRef inst_z_dsp)) + (portRef (member doutNI_2 13)) + )) + (net (rename doutni_2_3 "doutNI_2[3]") (joined + (portRef (member doutNI_2 12) (instanceRef inst_z_dsp)) + (portRef (member doutNI_2 12)) + )) + (net (rename doutni_2_4 "doutNI_2[4]") (joined + (portRef (member doutNI_2 11) (instanceRef inst_z_dsp)) + (portRef (member doutNI_2 11)) + )) + (net (rename doutni_2_5 "doutNI_2[5]") (joined + (portRef (member doutNI_2 10) (instanceRef inst_z_dsp)) + (portRef (member doutNI_2 10)) + )) + (net (rename doutni_2_6 "doutNI_2[6]") (joined + (portRef (member doutNI_2 9) (instanceRef inst_z_dsp)) + (portRef (member doutNI_2 9)) + )) + (net (rename doutni_2_7 "doutNI_2[7]") (joined + (portRef (member doutNI_2 8) (instanceRef inst_z_dsp)) + (portRef (member doutNI_2 8)) + )) + (net (rename doutni_2_8 "doutNI_2[8]") (joined + (portRef (member doutNI_2 7) (instanceRef inst_z_dsp)) + (portRef (member doutNI_2 7)) + )) + (net (rename doutni_2_9 "doutNI_2[9]") (joined + (portRef (member doutNI_2 6) (instanceRef inst_z_dsp)) + (portRef (member doutNI_2 6)) + )) + (net (rename doutni_2_10 "doutNI_2[10]") (joined + (portRef (member doutNI_2 5) (instanceRef inst_z_dsp)) + (portRef (member doutNI_2 5)) + )) + (net (rename doutni_2_11 "doutNI_2[11]") (joined + (portRef (member doutNI_2 4) (instanceRef inst_z_dsp)) + (portRef (member doutNI_2 4)) + )) + (net (rename doutni_2_12 "doutNI_2[12]") (joined + (portRef (member doutNI_2 3) (instanceRef inst_z_dsp)) + (portRef (member doutNI_2 3)) + )) + (net (rename doutni_2_13 "doutNI_2[13]") (joined + (portRef (member doutNI_2 2) (instanceRef inst_z_dsp)) + (portRef (member doutNI_2 2)) + )) + (net (rename doutni_2_14 "doutNI_2[14]") (joined + (portRef (member doutNI_2 1) (instanceRef inst_z_dsp)) + (portRef (member doutNI_2 1)) + )) + (net (rename doutni_2_15 "doutNI_2[15]") (joined + (portRef (member doutNI_2 0) (instanceRef inst_z_dsp)) + (portRef (member doutNI_2 0)) + )) + (net (rename doutni_3_0 "doutNI_3[0]") (joined + (portRef (member doutNI_3 15) (instanceRef inst_z_dsp)) + (portRef (member doutNI_3 15)) + )) + (net (rename doutni_3_1 "doutNI_3[1]") (joined + (portRef (member doutNI_3 14) (instanceRef inst_z_dsp)) + (portRef (member doutNI_3 14)) + )) + (net (rename doutni_3_2 "doutNI_3[2]") (joined + (portRef (member doutNI_3 13) (instanceRef inst_z_dsp)) + (portRef (member doutNI_3 13)) + )) + (net (rename doutni_3_3 "doutNI_3[3]") (joined + (portRef (member doutNI_3 12) (instanceRef inst_z_dsp)) + (portRef (member doutNI_3 12)) + )) + (net (rename doutni_3_4 "doutNI_3[4]") (joined + (portRef (member doutNI_3 11) (instanceRef inst_z_dsp)) + (portRef (member doutNI_3 11)) + )) + (net (rename doutni_3_5 "doutNI_3[5]") (joined + (portRef (member doutNI_3 10) (instanceRef inst_z_dsp)) + (portRef (member doutNI_3 10)) + )) + (net (rename doutni_3_6 "doutNI_3[6]") (joined + (portRef (member doutNI_3 9) (instanceRef inst_z_dsp)) + (portRef (member doutNI_3 9)) + )) + (net (rename doutni_3_7 "doutNI_3[7]") (joined + (portRef (member doutNI_3 8) (instanceRef inst_z_dsp)) + (portRef (member doutNI_3 8)) + )) + (net (rename doutni_3_8 "doutNI_3[8]") (joined + (portRef (member doutNI_3 7) (instanceRef inst_z_dsp)) + (portRef (member doutNI_3 7)) + )) + (net (rename doutni_3_9 "doutNI_3[9]") (joined + (portRef (member doutNI_3 6) (instanceRef inst_z_dsp)) + (portRef (member doutNI_3 6)) + )) + (net (rename doutni_3_10 "doutNI_3[10]") (joined + (portRef (member doutNI_3 5) (instanceRef inst_z_dsp)) + (portRef (member doutNI_3 5)) + )) + (net (rename doutni_3_11 "doutNI_3[11]") (joined + (portRef (member doutNI_3 4) (instanceRef inst_z_dsp)) + (portRef (member doutNI_3 4)) + )) + (net (rename doutni_3_12 "doutNI_3[12]") (joined + (portRef (member doutNI_3 3) (instanceRef inst_z_dsp)) + (portRef (member doutNI_3 3)) + )) + (net (rename doutni_3_13 "doutNI_3[13]") (joined + (portRef (member doutNI_3 2) (instanceRef inst_z_dsp)) + (portRef (member doutNI_3 2)) + )) + (net (rename doutni_3_14 "doutNI_3[14]") (joined + (portRef (member doutNI_3 1) (instanceRef inst_z_dsp)) + (portRef (member doutNI_3 1)) + )) + (net (rename doutni_3_15 "doutNI_3[15]") (joined + (portRef (member doutNI_3 0) (instanceRef inst_z_dsp)) + (portRef (member doutNI_3 0)) + )) + (net (rename doutni_4_0 "doutNI_4[0]") (joined + (portRef (member doutNI_4 15) (instanceRef inst_z_dsp)) + (portRef (member doutNI_4 15)) + )) + (net (rename doutni_4_1 "doutNI_4[1]") (joined + (portRef (member doutNI_4 14) (instanceRef inst_z_dsp)) + (portRef (member doutNI_4 14)) + )) + (net (rename doutni_4_2 "doutNI_4[2]") (joined + (portRef (member doutNI_4 13) (instanceRef inst_z_dsp)) + (portRef (member doutNI_4 13)) + )) + (net (rename doutni_4_3 "doutNI_4[3]") (joined + (portRef (member doutNI_4 12) (instanceRef inst_z_dsp)) + (portRef (member doutNI_4 12)) + )) + (net (rename doutni_4_4 "doutNI_4[4]") (joined + (portRef (member doutNI_4 11) (instanceRef inst_z_dsp)) + (portRef (member doutNI_4 11)) + )) + (net (rename doutni_4_5 "doutNI_4[5]") (joined + (portRef (member doutNI_4 10) (instanceRef inst_z_dsp)) + (portRef (member doutNI_4 10)) + )) + (net (rename doutni_4_6 "doutNI_4[6]") (joined + (portRef (member doutNI_4 9) (instanceRef inst_z_dsp)) + (portRef (member doutNI_4 9)) + )) + (net (rename doutni_4_7 "doutNI_4[7]") (joined + (portRef (member doutNI_4 8) (instanceRef inst_z_dsp)) + (portRef (member doutNI_4 8)) + )) + (net (rename doutni_4_8 "doutNI_4[8]") (joined + (portRef (member doutNI_4 7) (instanceRef inst_z_dsp)) + (portRef (member doutNI_4 7)) + )) + (net (rename doutni_4_9 "doutNI_4[9]") (joined + (portRef (member doutNI_4 6) (instanceRef inst_z_dsp)) + (portRef (member doutNI_4 6)) + )) + (net (rename doutni_4_10 "doutNI_4[10]") (joined + (portRef (member doutNI_4 5) (instanceRef inst_z_dsp)) + (portRef (member doutNI_4 5)) + )) + (net (rename doutni_4_11 "doutNI_4[11]") (joined + (portRef (member doutNI_4 4) (instanceRef inst_z_dsp)) + (portRef (member doutNI_4 4)) + )) + (net (rename doutni_4_12 "doutNI_4[12]") (joined + (portRef (member doutNI_4 3) (instanceRef inst_z_dsp)) + (portRef (member doutNI_4 3)) + )) + (net (rename doutni_4_13 "doutNI_4[13]") (joined + (portRef (member doutNI_4 2) (instanceRef inst_z_dsp)) + (portRef (member doutNI_4 2)) + )) + (net (rename doutni_4_14 "doutNI_4[14]") (joined + (portRef (member doutNI_4 1) (instanceRef inst_z_dsp)) + (portRef (member doutNI_4 1)) + )) + (net (rename doutni_4_15 "doutNI_4[15]") (joined + (portRef (member doutNI_4 0) (instanceRef inst_z_dsp)) + (portRef (member doutNI_4 0)) + )) + (net (rename doutni_5_0 "doutNI_5[0]") (joined + (portRef (member doutNI_5 15) (instanceRef inst_z_dsp)) + (portRef (member doutNI_5 15)) + )) + (net (rename doutni_5_1 "doutNI_5[1]") (joined + (portRef (member doutNI_5 14) (instanceRef inst_z_dsp)) + (portRef (member doutNI_5 14)) + )) + (net (rename doutni_5_2 "doutNI_5[2]") (joined + (portRef (member doutNI_5 13) (instanceRef inst_z_dsp)) + (portRef (member doutNI_5 13)) + )) + (net (rename doutni_5_3 "doutNI_5[3]") (joined + (portRef (member doutNI_5 12) (instanceRef inst_z_dsp)) + (portRef (member doutNI_5 12)) + )) + (net (rename doutni_5_4 "doutNI_5[4]") (joined + (portRef (member doutNI_5 11) (instanceRef inst_z_dsp)) + (portRef (member doutNI_5 11)) + )) + (net (rename doutni_5_5 "doutNI_5[5]") (joined + (portRef (member doutNI_5 10) (instanceRef inst_z_dsp)) + (portRef (member doutNI_5 10)) + )) + (net (rename doutni_5_6 "doutNI_5[6]") (joined + (portRef (member doutNI_5 9) (instanceRef inst_z_dsp)) + (portRef (member doutNI_5 9)) + )) + (net (rename doutni_5_7 "doutNI_5[7]") (joined + (portRef (member doutNI_5 8) (instanceRef inst_z_dsp)) + (portRef (member doutNI_5 8)) + )) + (net (rename doutni_5_8 "doutNI_5[8]") (joined + (portRef (member doutNI_5 7) (instanceRef inst_z_dsp)) + (portRef (member doutNI_5 7)) + )) + (net (rename doutni_5_9 "doutNI_5[9]") (joined + (portRef (member doutNI_5 6) (instanceRef inst_z_dsp)) + (portRef (member doutNI_5 6)) + )) + (net (rename doutni_5_10 "doutNI_5[10]") (joined + (portRef (member doutNI_5 5) (instanceRef inst_z_dsp)) + (portRef (member doutNI_5 5)) + )) + (net (rename doutni_5_11 "doutNI_5[11]") (joined + (portRef (member doutNI_5 4) (instanceRef inst_z_dsp)) + (portRef (member doutNI_5 4)) + )) + (net (rename doutni_5_12 "doutNI_5[12]") (joined + (portRef (member doutNI_5 3) (instanceRef inst_z_dsp)) + (portRef (member doutNI_5 3)) + )) + (net (rename doutni_5_13 "doutNI_5[13]") (joined + (portRef (member doutNI_5 2) (instanceRef inst_z_dsp)) + (portRef (member doutNI_5 2)) + )) + (net (rename doutni_5_14 "doutNI_5[14]") (joined + (portRef (member doutNI_5 1) (instanceRef inst_z_dsp)) + (portRef (member doutNI_5 1)) + )) + (net (rename doutni_5_15 "doutNI_5[15]") (joined + (portRef (member doutNI_5 0) (instanceRef inst_z_dsp)) + (portRef (member doutNI_5 0)) + )) + (net (rename doutni_6_0 "doutNI_6[0]") (joined + (portRef (member doutNI_6 15) (instanceRef inst_z_dsp)) + (portRef (member doutNI_6 15)) + )) + (net (rename doutni_6_1 "doutNI_6[1]") (joined + (portRef (member doutNI_6 14) (instanceRef inst_z_dsp)) + (portRef (member doutNI_6 14)) + )) + (net (rename doutni_6_2 "doutNI_6[2]") (joined + (portRef (member doutNI_6 13) (instanceRef inst_z_dsp)) + (portRef (member doutNI_6 13)) + )) + (net (rename doutni_6_3 "doutNI_6[3]") (joined + (portRef (member doutNI_6 12) (instanceRef inst_z_dsp)) + (portRef (member doutNI_6 12)) + )) + (net (rename doutni_6_4 "doutNI_6[4]") (joined + (portRef (member doutNI_6 11) (instanceRef inst_z_dsp)) + (portRef (member doutNI_6 11)) + )) + (net (rename doutni_6_5 "doutNI_6[5]") (joined + (portRef (member doutNI_6 10) (instanceRef inst_z_dsp)) + (portRef (member doutNI_6 10)) + )) + (net (rename doutni_6_6 "doutNI_6[6]") (joined + (portRef (member doutNI_6 9) (instanceRef inst_z_dsp)) + (portRef (member doutNI_6 9)) + )) + (net (rename doutni_6_7 "doutNI_6[7]") (joined + (portRef (member doutNI_6 8) (instanceRef inst_z_dsp)) + (portRef (member doutNI_6 8)) + )) + (net (rename doutni_6_8 "doutNI_6[8]") (joined + (portRef (member doutNI_6 7) (instanceRef inst_z_dsp)) + (portRef (member doutNI_6 7)) + )) + (net (rename doutni_6_9 "doutNI_6[9]") (joined + (portRef (member doutNI_6 6) (instanceRef inst_z_dsp)) + (portRef (member doutNI_6 6)) + )) + (net (rename doutni_6_10 "doutNI_6[10]") (joined + (portRef (member doutNI_6 5) (instanceRef inst_z_dsp)) + (portRef (member doutNI_6 5)) + )) + (net (rename doutni_6_11 "doutNI_6[11]") (joined + (portRef (member doutNI_6 4) (instanceRef inst_z_dsp)) + (portRef (member doutNI_6 4)) + )) + (net (rename doutni_6_12 "doutNI_6[12]") (joined + (portRef (member doutNI_6 3) (instanceRef inst_z_dsp)) + (portRef (member doutNI_6 3)) + )) + (net (rename doutni_6_13 "doutNI_6[13]") (joined + (portRef (member doutNI_6 2) (instanceRef inst_z_dsp)) + (portRef (member doutNI_6 2)) + )) + (net (rename doutni_6_14 "doutNI_6[14]") (joined + (portRef (member doutNI_6 1) (instanceRef inst_z_dsp)) + (portRef (member doutNI_6 1)) + )) + (net (rename doutni_6_15 "doutNI_6[15]") (joined + (portRef (member doutNI_6 0) (instanceRef inst_z_dsp)) + (portRef (member doutNI_6 0)) + )) + (net (rename doutni_7_0 "doutNI_7[0]") (joined + (portRef (member doutNI_7 15) (instanceRef inst_z_dsp)) + (portRef (member doutNI_7 15)) + )) + (net (rename doutni_7_1 "doutNI_7[1]") (joined + (portRef (member doutNI_7 14) (instanceRef inst_z_dsp)) + (portRef (member doutNI_7 14)) + )) + (net (rename doutni_7_2 "doutNI_7[2]") (joined + (portRef (member doutNI_7 13) (instanceRef inst_z_dsp)) + (portRef (member doutNI_7 13)) + )) + (net (rename doutni_7_3 "doutNI_7[3]") (joined + (portRef (member doutNI_7 12) (instanceRef inst_z_dsp)) + (portRef (member doutNI_7 12)) + )) + (net (rename doutni_7_4 "doutNI_7[4]") (joined + (portRef (member doutNI_7 11) (instanceRef inst_z_dsp)) + (portRef (member doutNI_7 11)) + )) + (net (rename doutni_7_5 "doutNI_7[5]") (joined + (portRef (member doutNI_7 10) (instanceRef inst_z_dsp)) + (portRef (member doutNI_7 10)) + )) + (net (rename doutni_7_6 "doutNI_7[6]") (joined + (portRef (member doutNI_7 9) (instanceRef inst_z_dsp)) + (portRef (member doutNI_7 9)) + )) + (net (rename doutni_7_7 "doutNI_7[7]") (joined + (portRef (member doutNI_7 8) (instanceRef inst_z_dsp)) + (portRef (member doutNI_7 8)) + )) + (net (rename doutni_7_8 "doutNI_7[8]") (joined + (portRef (member doutNI_7 7) (instanceRef inst_z_dsp)) + (portRef (member doutNI_7 7)) + )) + (net (rename doutni_7_9 "doutNI_7[9]") (joined + (portRef (member doutNI_7 6) (instanceRef inst_z_dsp)) + (portRef (member doutNI_7 6)) + )) + (net (rename doutni_7_10 "doutNI_7[10]") (joined + (portRef (member doutNI_7 5) (instanceRef inst_z_dsp)) + (portRef (member doutNI_7 5)) + )) + (net (rename doutni_7_11 "doutNI_7[11]") (joined + (portRef (member doutNI_7 4) (instanceRef inst_z_dsp)) + (portRef (member doutNI_7 4)) + )) + (net (rename doutni_7_12 "doutNI_7[12]") (joined + (portRef (member doutNI_7 3) (instanceRef inst_z_dsp)) + (portRef (member doutNI_7 3)) + )) + (net (rename doutni_7_13 "doutNI_7[13]") (joined + (portRef (member doutNI_7 2) (instanceRef inst_z_dsp)) + (portRef (member doutNI_7 2)) + )) + (net (rename doutni_7_14 "doutNI_7[14]") (joined + (portRef (member doutNI_7 1) (instanceRef inst_z_dsp)) + (portRef (member doutNI_7 1)) + )) + (net (rename doutni_7_15 "doutNI_7[15]") (joined + (portRef (member doutNI_7 0) (instanceRef inst_z_dsp)) + (portRef (member doutNI_7 0)) + )) (net vldo (joined (portRef vldo (instanceRef inst_z_dsp)) (portRef vldo) @@ -89545,22 +90408,20 @@ (portRef saturation_5 (instanceRef inst_z_dsp)) (portRef saturation_5) )) - (net (rename enZ0 "en") (joined - (portRef Q (instanceRef en)) - (portRef en (instanceRef inst_z_dsp)) - )) (net rstn_i (joined (portRef O (instanceRef rstn_buf)) (portRef rstn_i (instanceRef inst_z_dsp)) - (portRef CLR (instanceRef en)) (portRef CLR (instanceRef en_rep_0)) (portRef CLR (instanceRef en_rep_1)) + (portRef CLR (instanceRef en_rep_2)) + (portRef CLR (instanceRef en_rep_3)) )) (net en_i (joined (portRef LO (instanceRef en_RNO)) - (portRef D (instanceRef en)) (portRef D (instanceRef en_rep_0)) (portRef D (instanceRef en_rep_1)) + (portRef D (instanceRef en_rep_2)) + (portRef D (instanceRef en_rep_3)) )) (net (rename din_re_0 "din_re[0]") (joined (portRef (member din_re 15)) @@ -89637,8 +90498,16 @@ (net (rename en_repZ0Z_1 "en_rep_1") (joined (portRef Q (instanceRef en_rep_1)) (portRef en_rep_1 (instanceRef inst_z_dsp)) + )) + (net (rename en_repZ0Z_2 "en_rep_2") (joined + (portRef Q (instanceRef en_rep_2)) + (portRef en_rep_2 (instanceRef inst_z_dsp)) (portRef I0 (instanceRef en_RNO)) )) + (net (rename en_repZ0Z_3 "en_rep_3") (joined + (portRef Q (instanceRef en_rep_3)) + (portRef en_rep_3 (instanceRef inst_z_dsp)) + )) ) (property mapper_option (string "")) (property orig_inst_of (string "z_dsp_en_Test")) diff --git a/rtl/z_dsp.v b/rtl/z_dsp.v index 3f99802..5f87e5c 100644 --- a/rtl/z_dsp.v +++ b/rtl/z_dsp.v @@ -70,7 +70,15 @@ input signed [31:0] b5_im, output signed [15:0] dout0, output signed [15:0] dout1, output signed [15:0] dout2, -output signed [15:0] dout3, +output signed [15:0] dout3, +output signed [15:0] doutNI_0, +output signed [15:0] doutNI_1, +output signed [15:0] doutNI_2, +output signed [15:0] doutNI_3, +output signed [15:0] doutNI_4, +output signed [15:0] doutNI_5, +output signed [15:0] doutNI_6, +output signed [15:0] doutNI_7, output vldo, output saturation_0, output saturation_1, @@ -203,4 +211,14 @@ assign dout1 = doutf_1; assign dout2 = doutf_2; assign dout3 = doutf_3; +assign doutNI_0 = dout_0; +assign doutNI_1 = dout_1; +assign doutNI_2 = dout_2; +assign doutNI_3 = dout_3; +assign doutNI_4 = dout_4; +assign doutNI_5 = dout_5; +assign doutNI_6 = dout_6; +assign doutNI_7 = dout_7; + + endmodule diff --git a/rtl/z_dsp_en_Test.v b/rtl/z_dsp_en_Test.v index 441fdc0..1f4c37f 100644 --- a/rtl/z_dsp_en_Test.v +++ b/rtl/z_dsp_en_Test.v @@ -69,7 +69,15 @@ input signed [31:0] b5_im, output signed [15:0] dout0, output signed [15:0] dout1, output signed [15:0] dout2, -output signed [15:0] dout3, +output signed [15:0] dout3, +output signed [15:0] doutNI_0, +output signed [15:0] doutNI_1, +output signed [15:0] doutNI_2, +output signed [15:0] doutNI_3, +output signed [15:0] doutNI_4, +output signed [15:0] doutNI_5, +output signed [15:0] doutNI_6, +output signed [15:0] doutNI_7, output vldo, output saturation_0, output saturation_1, @@ -128,6 +136,14 @@ z_dsp inst_z_dsp .dout1 (dout1 ), .dout2 (dout2 ), .dout3 (dout3 ), + .doutNI_0 (doutNI_0 ), + .doutNI_1 (doutNI_1 ), + .doutNI_2 (doutNI_2 ), + .doutNI_3 (doutNI_3 ), + .doutNI_4 (doutNI_4 ), + .doutNI_5 (doutNI_5 ), + .doutNI_6 (doutNI_6 ), + .doutNI_7 (doutNI_7 ), .vldo (vldo ), .saturation_0 (saturation_0 ), .saturation_1 (saturation_1 ), diff --git a/script_m/TailCorr_IP_Test.m b/script_m/TailCorr_IP_Test.m index ef3748e..a54b136 100644 --- a/script_m/TailCorr_IP_Test.m +++ b/script_m/TailCorr_IP_Test.m @@ -17,9 +17,9 @@ cs_wave(2:4:4*N) = dout1; cs_wave(3:4:4*N) = dout2; cs_wave(4:4:4*N) = dout3; -A = [0.025 0.015 0.0002 0.2]; -tau = -[1/250 1/650 1/1600 1/20]; -fs = 0.375e9; +A = [0.025 0.015 0.0002 0]; +tau = -[1/250 1/650 1/1600 0]; +fs = 2e9; coef_len = length(A); for i = 1:coef_len @@ -36,11 +36,11 @@ wave_float_8 = interp1(1:wave_float_len,wave_float,1:1/8:(wave_float_len+1-1/8), [cs_wave_A,wave_float_8_A,Delay] = alignsignals(cs_wave,wave_float_8); N = min(length(wave_float_8_A),length(cs_wave_A)); figure(2) -diff_plot(wave_float_8_A(18:end), cs_wave_A(82:end),'float','verdi',[0 N]); +diff_plot(wave_float_8_A(90:end), cs_wave_A(154:end),'float','verdi',[0 N]); %% [wave_float_A,wave_verdi_A,Delay] = alignsignals(wave_float,wave_verdi); N = min(length(wave_float_A),length(wave_verdi_A)); -figure(1) +figure(1)git sh diff_plot(wave_float_A, wave_verdi_A,'float','verdi',[0 N]); %% signalAnalyzer(wave_float,wave_verdi,'SampleRate',1); @@ -48,6 +48,13 @@ signalAnalyzer(wave_float,wave_verdi,'SampleRate',1); fprintf("a is %.10f\n",a) fprintf("b is %.10f\n",b) %% -fprintf("a of 32 bit %d\n",round(a*2^31)); -fprintf("b of 32 bit %d\n",round(b*2^31)); +a_fix = round(a*2^31); +b_fix = round(b*2^31); + +dec2hex(a_fix,8) +dec2hex(b_fix,8) + +% dec2bin(a_fix,32) +% dec2bin(b_fix,32) + diff --git a/sim/files.f b/sim/files.f index a0e970a..317b8f7 100644 --- a/sim/files.f +++ b/sim/files.f @@ -1,6 +1,5 @@ ../rtl/diff.v -../rtl/DW_mult_pipe.v -//../rtl/z_data_mux.v + ../rtl/nco/coef_c.v ../rtl/nco/pipe_acc_48bit.v ../rtl/nco/pipe_add_48bit.v @@ -10,7 +9,7 @@ ../rtl/nco/sin_op.v ../rtl/nco/ph2amp.v ../rtl/nco/cos_op.v -../rtl/lsdacif.v + ../rtl/TailCorr_top.v ../rtl/z_dsp.v ../rtl/z_dsp_en_Test.v @@ -18,5 +17,6 @@ ../rtl/DW02_mult.v ../rtl/DW_iir_dc.v ../tb/clk_gen.v +../tb/DW_mult_pipe.v ../tb/tb_z_dsp.v diff --git a/tb/DW_mult_pipe.v b/tb/DW_mult_pipe.v new file mode 100755 index 0000000..a99a7b9 --- /dev/null +++ b/tb/DW_mult_pipe.v @@ -0,0 +1,357 @@ +//////////////////////////////////////////////////////////////////////////////// +// +// This confidential and proprietary software may be used only +// as authorized by a licensing agreement from Synopsys Inc. +// In the event of publication, the following notice is applicable: +// +// (C) COPYRIGHT 2002 - 2018 SYNOPSYS INC. +// ALL RIGHTS RESERVED +// +// The entire notice above must be reproduced on all authorized +// copies. +// +// AUTHOR: Rajeev Huralikoppi Feb 15, 2002 +// +// VERSION: Verilog Simulation Architecture +// +// DesignWare_version: 4e25d03d +// DesignWare_release: O-2018.06-DWBB_201806.3 +// +//////////////////////////////////////////////////////////////////////////////// +//----------------------------------------------------------------------------- +// ABSTRACT: An n stage pipelined multipler simulation model +// +// Parameters Valid Values Description +// ========== ========= =========== +// a_width >= 1 default: none +// Word length of a +// +// b_width >= 1 default: none +// Word length of b +// +// num_stages >= 2 default: 2 +// Number of pipelined stages +// +// stall_mode 0 or 1 default: 1 +// Stall mode +// 0 => non-stallable +// 1 => stallable +// +// rst_mode 0 to 2 default: 1 +// Reset mode +// 0 => no reset +// 1 => asynchronous reset +// 2 => synchronous reset +// +// op_iso_mode 0 to 4 default: 0 +// Type of operand isolation +// If 'stall_mode' is '0', this parameter is ignored and no isolation is applied +// 0 => Follow intent defined by Power Compiler user setting +// 1 => no operand isolation +// 2 => 'and' gate operand isolaton +// 3 => 'or' gate operand isolation +// 4 => preferred isolation style: 'and' +// +// +// Input Ports Size Description +// =========== ==== ============ +// clk 1 Clock +// rst_n 1 Reset, active low +// en 1 Register enable, active high +// tc 1 2's complement control +// a a_width Multiplier +// b b_width Multiplicand +// +// product a_width+b_width Product (a*b) +// +// MODIFIED: +// RJK 05/14/15 Updated model to work with less propagated 'X's +// so as to be more friendly with VCS-NLP +// +// RJK 05/28/13 Updated documentation in comments to properly +// describe the "en" input (STAR 9000627580) +// +// DLL 02/01/08 Enhanced abstract and added "op_iso_mode" parameter +// and related code. +// +// DLL 11/14/05 Changed legality checking of 'num_stages' +// parameter along with its abstract "Valid Values" +// +// +//----------------------------------------------------------------------------- + +module DW_mult_pipe (clk,rst_n,en,tc,a,b,product); + + parameter integer a_width = 2; + parameter integer b_width = 2; + parameter integer num_stages = 2; + parameter integer stall_mode = 1; + parameter integer rst_mode = 1; + parameter integer op_iso_mode = 0; + + + input clk; + input rst_n; + input [a_width-1 : 0] a; + input [b_width-1 : 0] b; + input tc; + input en; + + output [a_width+b_width-1: 0] product; + + reg [a_width-1 : 0] a_reg [0 : num_stages-2]; + reg [b_width-1 : 0] b_reg [0 : num_stages-2]; + reg tc_reg [0 : num_stages-2]; + + // synopsys translate_off + //--------------------------------------------------------------------------- + // Behavioral model + //--------------------------------------------------------------------------- + +generate + if (rst_mode == 0) begin : GEN_RSM_EQ_0 + + if (stall_mode == 0) begin : GEN_RM0_SM0 + always @(posedge clk) begin: rm0_sm0_pipe_reg_PROC + integer i; + + for(i= 0; i < num_stages-1; i=i+1) begin + if (i == 0) begin + a_reg[0] <= a; + b_reg[0] <= b; + tc_reg[0] <= tc; + end else begin + a_reg[i] <= a_reg[i-1]; + b_reg[i] <= b_reg[i-1]; + tc_reg[i] <= tc_reg[i-1]; + end + end // for (i= 0; i < num_stages-1; i++) + end // block: rm0_pipe_reg_PROC + end else begin : GEN_RM0_SM1 + always @(posedge clk) begin: rm0_sm1_pipe_reg_PROC + integer i; + + for(i= 0; i < num_stages-1; i=i+1) begin + if (i == 0) begin + a_reg[0] <= (en == 1'b0)? a_reg[0] : ((en == 1'b1)? a : {a_width{1'bx}}); + b_reg[0] <= (en == 1'b0)? b_reg[0] : ((en == 1'b1)? b : {b_width{1'bx}}); + tc_reg[0] <= (en == 1'b0)? tc_reg[0]: ((en == 1'b1)? tc: 1'bx); + end else begin + a_reg[i] <= (en == 1'b0)? a_reg[i] : ((en == 1'b1)? a_reg[i-1] : {a_width{1'bx}}); + b_reg[i] <= (en == 1'b0)? b_reg[i] : ((en == 1'b1)? b_reg[i-1] : {b_width{1'bx}}); + tc_reg[i] <= (en == 1'b0)? tc_reg[i]: ((en == 1'b1)? tc_reg[i-1]: 1'bx); + end + end + end + end + + end else if (rst_mode == 1) begin : GEN_RM_EQ_1 + + if (stall_mode == 0) begin : GEN_RM1_SM0 + always @(posedge clk or negedge rst_n) begin: rm1_pipe_reg_PROC + integer i; + + if (rst_n == 1'b0) begin + for (i= 0; i < num_stages-1; i=i+1) begin + a_reg[i] <= {a_width{1'b0}}; + b_reg[i] <= {b_width{1'b0}}; + tc_reg[i] <= 1'b0; + end // for (i= 0; i < num_stages-1; i++) + end else if (rst_n == 1'b1) begin + for(i= 0; i < num_stages-1; i=i+1) begin + if (i == 0) begin + a_reg[0] <= a; + b_reg[0] <= b; + tc_reg[0] <= tc; + end else begin + a_reg[i] <= a_reg[i-1]; + b_reg[i] <= b_reg[i-1]; + tc_reg[i] <= tc_reg[i-1]; + end + end // for (i= 0; i < num_stages-1; i++) + end else begin // rst_n not 1'b0 and not 1'b1 + for (i= 0; i < num_stages-1; i=i+1) begin + a_reg[i] <= {a_width{1'bx}}; + b_reg[i] <= {b_width{1'bx}}; + tc_reg[i] <= 1'bx; + end // for (i= 0; i < num_stages-1; i++) + end + end // block: rm1_pipe_reg_PROC + end else begin : GEN_RM1_SM1 + always @(posedge clk or negedge rst_n) begin: rm1_pipe_reg_PROC + integer i; + + if (rst_n == 1'b0) begin + for (i= 0; i < num_stages-1; i=i+1) begin + a_reg[i] <= {a_width{1'b0}}; + b_reg[i] <= {b_width{1'b0}}; + tc_reg[i] <= 1'b0; + end // for (i= 0; i < num_stages-1; i++) + end else if (rst_n == 1'b1) begin + for(i= 0; i < num_stages-1; i=i+1) begin + if (i == 0) begin + a_reg[0] <= (en == 1'b0)? a_reg[0] : ((en == 1'b1)? a : {a_width{1'bx}}); + b_reg[0] <= (en == 1'b0)? b_reg[0] : ((en == 1'b1)? b : {b_width{1'bx}}); + tc_reg[0] <= (en == 1'b0)? tc_reg[0]: ((en == 1'b1)? tc: 1'bx); + end else begin + a_reg[i] <= (en == 1'b0)? a_reg[i] : ((en == 1'b1)? a_reg[i-1] : {a_width{1'bx}}); + b_reg[i] <= (en == 1'b0)? b_reg[i] : ((en == 1'b1)? b_reg[i-1] : {b_width{1'bx}}); + tc_reg[i] <= (en == 1'b0)? tc_reg[i]: ((en == 1'b1)? tc_reg[i-1]: 1'bx); + end + end // for (i= 0; i < num_stages-1; i++) + end else begin // rst_n not 1'b0 and not 1'b1 + for (i= 0; i < num_stages-1; i=i+1) begin + a_reg[i] <= {a_width{1'bx}}; + b_reg[i] <= {b_width{1'bx}}; + tc_reg[i] <= 1'bx; + end // for (i= 0; i < num_stages-1; i++) + end + end // block: rm1_pipe_reg_PROC + end + + end else begin : GEN_RM_GT_1 + + if (stall_mode == 0) begin : GEN_RM2_SM0 + always @(posedge clk) begin: rm2_pipe_reg_PROC + integer i; + + if (rst_n == 1'b0) begin + for (i= 0; i < num_stages-1; i=i+1) begin + a_reg[i] <= {a_width{1'b0}}; + b_reg[i] <= {b_width{1'b0}}; + tc_reg[i] <= 1'b0; + end // for (i= 0; i < num_stages-1; i++) + end else if (rst_n == 1'b1) begin + for(i= 0; i < num_stages-1; i=i+1) begin + if (i == 0) begin + a_reg[0] <= a; + b_reg[0] <= b; + tc_reg[0] <= tc; + end else begin + a_reg[i] <= a_reg[i-1]; + b_reg[i] <= b_reg[i-1]; + tc_reg[i] <= tc_reg[i-1]; + end + end // for (i= 0; i < num_stages-1; i++) + end else begin // rst_n not 1'b0 and not 1'b1 + for (i= 0; i < num_stages-1; i=i+1) begin + a_reg[i] <= {a_width{1'bx}}; + b_reg[i] <= {b_width{1'bx}}; + tc_reg[i] <= 1'bx; + end // for (i= 0; i < num_stages-1; i++) + end + end // block: rm2_pipe_reg_PROC + end else begin : GEN_RM2_SM1 + always @(posedge clk) begin: rm2_pipe_reg_PROC + integer i; + + if (rst_n == 1'b0) begin + for (i= 0; i < num_stages-1; i=i+1) begin + a_reg[i] <= {a_width{1'b0}}; + b_reg[i] <= {b_width{1'b0}}; + tc_reg[i] <= 1'b0; + end // for (i= 0; i < num_stages-1; i++) + end else if (rst_n == 1'b1) begin + for(i= 0; i < num_stages-1; i=i+1) begin + if (i == 0) begin + a_reg[0] <= (en == 1'b0)? a_reg[0] : ((en == 1'b1)? a : {a_width{1'bx}}); + b_reg[0] <= (en == 1'b0)? b_reg[0] : ((en == 1'b1)? b : {b_width{1'bx}}); + tc_reg[0] <= (en == 1'b0)? tc_reg[0]: ((en == 1'b1)? tc: 1'bx); + end else begin + a_reg[i] <= (en == 1'b0)? a_reg[i] : ((en == 1'b1)? a_reg[i-1] : {a_width{1'bx}}); + b_reg[i] <= (en == 1'b0)? b_reg[i] : ((en == 1'b1)? b_reg[i-1] : {b_width{1'bx}}); + tc_reg[i] <= (en == 1'b0)? tc_reg[i]: ((en == 1'b1)? tc_reg[i-1]: 1'bx); + end + end // for (i= 0; i < num_stages-1; i++) + end else begin // rst_n not 1'b0 and not 1'b1 + for (i= 0; i < num_stages-1; i=i+1) begin + a_reg[i] <= {a_width{1'bx}}; + b_reg[i] <= {b_width{1'bx}}; + tc_reg[i] <= 1'bx; + end // for (i= 0; i < num_stages-1; i++) + end + end // block: rm2_pipe_reg_PROC + end + + end +endgenerate + + DW02_mult #(a_width, b_width) + U1 (.A(a_reg[num_stages-2]), + .B(b_reg[num_stages-2]), + .TC(tc_reg[num_stages-2]), + .PRODUCT(product)); + //--------------------------------------------------------------------------- + // Parameter legality check and initializations + //--------------------------------------------------------------------------- + + + initial begin : parameter_check + integer param_err_flg; + + param_err_flg = 0; + + + if (a_width < 1) begin + param_err_flg = 1; + $display( + "ERROR: %m :\n Invalid value (%d) for parameter a_width (lower bound: 1)", + a_width ); + end + + if (b_width < 1) begin + param_err_flg = 1; + $display( + "ERROR: %m :\n Invalid value (%d) for parameter b_width (lower bound: 1)", + b_width ); + end + + if (num_stages < 2) begin + param_err_flg = 1; + $display( + "ERROR: %m :\n Invalid value (%d) for parameter num_stages (lower bound: 2)", + num_stages ); + end + + if ( (stall_mode < 0) || (stall_mode > 1) ) begin + param_err_flg = 1; + $display( + "ERROR: %m :\n Invalid value (%d) for parameter stall_mode (legal range: 0 to 1)", + stall_mode ); + end + + if ( (rst_mode < 0) || (rst_mode > 2) ) begin + param_err_flg = 1; + $display( + "ERROR: %m :\n Invalid value (%d) for parameter rst_mode (legal range: 0 to 2)", + rst_mode ); + end + + if ( (op_iso_mode < 0) || (op_iso_mode > 4) ) begin + param_err_flg = 1; + $display( + "ERROR: %m :\n Invalid value (%d) for parameter op_iso_mode (legal range: 0 to 4)", + op_iso_mode ); + end + + if ( param_err_flg == 1) begin + $display( + "%m :\n Simulation aborted due to invalid parameter value(s)"); + $finish; + end + + end // parameter_check + + + //--------------------------------------------------------------------------- + // Report unknown clock inputs + //--------------------------------------------------------------------------- + + always @ (clk) begin : clk_monitor + if ( (clk !== 1'b0) && (clk !== 1'b1) && ($time > 0) ) + $display( "WARNING: %m :\n at time = %t, detected unknown value, %b, on clk input.", + $time, clk ); + end // clk_monitor + + // synopsys translate_on +endmodule //